JP2010093235A - 半導体装置および半導体装置の製造方法 - Google Patents

半導体装置および半導体装置の製造方法 Download PDF

Info

Publication number
JP2010093235A
JP2010093235A JP2009191749A JP2009191749A JP2010093235A JP 2010093235 A JP2010093235 A JP 2010093235A JP 2009191749 A JP2009191749 A JP 2009191749A JP 2009191749 A JP2009191749 A JP 2009191749A JP 2010093235 A JP2010093235 A JP 2010093235A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
insulating film
surface modification
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009191749A
Other languages
English (en)
Other versions
JP5554951B2 (ja
Inventor
Makoto Ueki
誠 植木
Takahiro Onodera
貴弘 小野寺
Yoshihiro Hayashi
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2009191749A priority Critical patent/JP5554951B2/ja
Publication of JP2010093235A publication Critical patent/JP2010093235A/ja
Application granted granted Critical
Publication of JP5554951B2 publication Critical patent/JP5554951B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】低誘電率膜を用いた層間絶縁膜SiOCH膜をCMPプロセスにおけるダメージから保護しつつ、配線間層間絶縁膜SiOCH膜の実効誘電率を低減する。
【解決手段】半導体装置100は、SiOCH膜10の表層が改質されることにより形成された、SiOCH膜10よりも炭素濃度が低くかつSiOCH膜10よりも酸素濃度が高い表面改質層20が設けられるとともに、Cu配線50の表面及び表面改質層20の表面に接するキャップ絶縁膜60を有している。このため、SiOCH膜10全体の誘電率の上昇を低減しつつ、CMPプロセスにおいて親水性の表面改質層20が露出することによって水滴が残りにくくなり、CMPプロセス後のパーティクルの残留やウォーターマークの発生を低減できる。
【選択図】図1

Description

本発明は、半導体装置および半導体装置の製造方法に関する。
従来、半導体装置の配線材料にはアルミニウム(Al)もしくはAl合金が広く用いられ、層間絶縁膜材料としてはシリコン酸化膜(SiO)が広く用いられてきた。しかし、半導体装置の微細化および高速化の進行に伴い、配線における信号伝達遅延を改善するうえで、配線材料としてはより低抵抗な銅(Cu)が、絶縁膜としてはより誘電率の低い低誘電率膜、例えばSiOCH膜が、それぞれ用いられるようになってきた。
Cu配線を形成する場合には、ドライエッチングによる加工が困難であるため、一般にダマシン法が用いられている。ダマシン法は、半導体基板上に形成された絶縁膜上に溝を形成し、その溝にCuを埋設し、配線溝以外の余剰なCuを研磨することでCu配線を形成する方法である。
以下に、一般的なCu配線の製造方法について、図10、11を用いて説明する。
図10(a)は、この上に上層配線が形成される下層配線を示している。下層配線は、絶縁膜としてSiOCH膜10a上にキャップ絶縁膜60aが形成され、内側にバリア膜40aが形成された配線溝または配線孔にCu配線50aが埋め込まれた構造となっている。下層配線も、以下に説明する上層配線と同様のプロセスにより形成できる。
まず、図10(b)に示すように、下層配線上にSiOCH膜10bを成膜する。次に、図10(c)に示すように、リソグラフィーと異方性エッチングによって、SiOCH膜10bに配線溝または配線孔を形成する。続けて、図11(a)に示すように、配線溝または配線孔上に導体膜であるバリア膜40bを形成し、さらに配線溝または配線孔にCu配線50bを埋め込む。次に、化学機械研磨(Chemical Mechanical Polishing:CMP)によって、配線溝もしくは配線孔の外部の余剰なCu配線50bおよびバリア膜40bを除去する(図11(b))。この上に、絶縁体であるキャップ絶縁膜60bを成膜することで、図11(c)に示すように、下面および側面をバリア膜40bで、上面をキャップ絶縁膜60bで覆われたCu配線構造が形成される。
配線間絶縁膜として用いたSiOCH膜10(10a,10b)は、配線間の寄生容量低減のために、膜中に空孔を導入することで比誘電率を2.6以下に下げた多孔質(ポーラス)低誘電率膜である。しかし、低誘電率膜は、機械強度が弱く、また疎水性表面であるという特徴点があった。そのため、ダマシン法によるCu配線形成時のCMPの際に低誘電率膜が露出した場合には、膜剥れや、洗浄性劣化にともなうパーティクルやウォーターマークが生成するという問題があった。
このような問題から、低誘電率膜を保護するため、低誘電率膜上にはSiOや、空孔を含まない比誘電率が3.0程度のリジット低誘電率膜によるハードマスクを形成しておくことがあった。
特許文献1および2には、Cu配線構造の層間絶縁膜である低誘電率膜上にハードマスクが形成された半導体装置が記載されている。
特許文献1には、第1のSiOCH膜、前記第1のSiOCH膜よりも膜中のC濃度とH濃度が低くO濃度が高い第2のSiOCH膜、およびSiO膜が積層された層間絶縁膜に、銅配線が形成され、さらにSiO膜上に金属拡散防止絶縁膜としてSiCNH膜が形成された半導体装置が記載されている。
特許文献2には、多孔質の低誘電率膜およびハードマスクを有する層間絶縁膜に、配線が形成され、さらにハードマスク層上に酸化防止膜層として例えば炭化シリコン(SiC)膜が積層された半導体装置が記載されている。このハードマスクには、酸化シリコン(SiO)が用いられている。
特開2004−253790号公報 特開2007−27347号公報
しかしながら、特許文献1に記載された半導体装置では、低誘電率膜として用いた第1のSiOCH膜、および第2のSiOCH膜の上に、誘電率の高い酸化シリコン(SiO)膜が形成されている。また、特許文献2に記載された半導体装置でも、多孔質の低誘電率膜上に誘電率の高い酸化シリコン(SiO)を用いたハードマスクが形成されている。したがって、特許文献記載のいずれの半導体装置においても、ハードマスク等によって低誘電率の層間絶縁膜を保護する構造を備えた場合には、層間絶縁膜の誘電率が上昇していた。
本発明による半導体装置は、
半導体基板と、
前記半導体基板上に設けられたSiOCH膜からなる第一絶縁膜と、
前記第一絶縁膜の表層を改質することにより形成された、前記第一絶縁膜よりも炭素濃度が低くかつ前記第一絶縁膜よりも酸素濃度が高い表面改質層と、
前記表面改質層及び前記第一絶縁膜に形成された凹部内に埋設された金属配線と、
前記金属配線の表面及び前記表面改質層の表面に接する第二絶縁膜と、
を含むことを特徴とする。
この半導体装置は、SiOCH膜からなる第一絶縁膜の表層が改質されることにより形成された、第一絶縁膜よりも炭素濃度が低くかつ第一絶縁膜よりも酸素濃度が高い表面改質層が設けられるとともに、金属配線の表面及び表面改質層の表面に接する第二絶縁膜を有している。
この表面改質層は、SiOCH膜の表層の改質によって形成されているため、成膜する場合と比較して薄い層となっている。そのため、表面改質層の誘電率がSiOCH膜の誘電率よりも高くなる場合でも、表面改質層が十分に薄いため、SiOCH膜全体の誘電率の上昇が抑制できる。
さらに、表面改質層は、第一絶縁膜よりも、炭素濃度が低くかつ酸素濃度が高くなっているため、親水性である。このため、CMPプロセスにおいて表面改質層が露出することによって水滴が残りにくくなり、CMPプロセス後のパーティクルの残留やウォーターマークの発生を低減できる。
本発明による半導体装置の製造方法は、
半導体基板上にSiOCH膜からなる第一絶縁膜を形成する工程と、
不活性ガスを用いたプラズマ処理を施し、前記第一絶縁膜の表層に表面改質層を形成する工程と、
前記表面改質層上にハードマスクを形成する工程と、
前記ハードマスクおよび前記第一絶縁膜に、前記ハードマスクおよび前記表面改質層を貫通する凹部を形成する工程と、
前記凹部内を埋め込むように金属配線を形成する工程と、
前記凹部の外部に露出した前記金属配線を除去し、かつ前記ハードマスクを除去して前記表面改質層を露出する工程と、
露出された前記表面改質層、および前記金属配線の表面に第二絶縁膜を形成する工程と、
を含むことを特徴とする。
この半導体装置の製造方法においては、SiOCH膜からなる第一絶縁膜に不活性ガスを用いたプラズマ処理を施し、第一絶縁膜の表層に表面改質層を形成する工程と、その後、凹部の外部に露出した金属配線を除去し、かつハードマスクを除去して表面改質層を露出する工程と、露出された表面改質層、および金属配線の表面に第二絶縁膜を形成する工程と、を有している。
かかる半導体装置の製造方法によれば、この表面改質層は、SiOCH膜の表層に不活性ガスを用いたプラズマ処理を施して形成されているため、成膜する場合と比較して薄い層となっている。そのため、表面改質層の誘電率がSiOCH膜の誘電率よりも高くなる場合でも、表面改質層が十分に薄いため、SiOCH膜全体の誘電率の上昇が抑制できる。
さらに、表面改質層は、第一絶縁膜よりも、炭素濃度が低くかつ酸素濃度が高くなっているため、親水性である。このため、CMPプロセスにおいて表面改質層が露出することによって水滴が残りにくくなり、CMPプロセス後のパーティクルの残留やウォーターマークの発生を低減できる。
本発明によれば、低誘電率膜を用いた層間絶縁膜をCMPプロセスにおけるダメージから保護しつつ、層間絶縁膜の実効誘電率が低減された構造の半導体装置および半導体装置の製造方法が実現される。
本実施形態における半導体装置の構成を示す断面図である。 本実施形態における半導体装置の製造工程を示す断面図である。 本実施形態における半導体装置の製造工程を示す断面図である。 本実施形態における半導体装置の製造工程を示す断面図である。 SiOCH膜の成膜に用いた装置を示す模式図である。 SiOCH膜の深さと炭素濃度及び酸素濃度との関係を示すグラフ図である。 CMP完了後のウェハ面内の欠陥分布を示す図である。 SiOCH膜のC/Si組成比と、研磨レートとの関係を示すグラフ図である。 SiOCH膜と、研磨量との関係を示すグラフ図である。 従来のCu配線の製造工程を示す断面図である。 従来のCu配線の製造工程を示す断面図である。
以下、図面を参照しつつ、本発明による半導体装置の好適な実施形態について詳細に説明する。なお、図面の説明においては、同一要素には同一符号を付し、重複する説明を省略する。
また、本実施形態における用語の意味については、以下に説明するとおりである。
ダマシン配線とは、あらかじめ形成された層間絶縁膜の溝に、金属配線材を埋め込み、溝内以外の余剰な金属を、例えばCMPなどにより除去することで形成される埋め込み配線をさす。Cuによりダマシン配線を形成する場合には、Cu配線の側面および外周をバリアメタルで覆い、Cu配線の上面を絶縁性バリア膜で覆う配線構造が一般に用いられる。
CMP(Chemical Mechanical Polishing)法とは、多層配線形成プロセス中に生じるウェハ表面の凹凸を、研磨液をウェハ表面に流しながら回転させた研磨パッドに接触させて研磨することによって平坦化する方法である。ダマシン法による配線形成においては、特に、配線溝あるいはビアホールに対し金属を埋設した後に、余剰の金属部分を除去し、平坦な配線表面を得るために用いられる。
プラズマCVD法とは、例えば、気体状の原料を減圧下の反応室に連続的に供給し、電気エネルギーによって、分子を励起状態にし、気相反応、あるいは基板表面反応などによって基板上に連続膜を形成する手法である。
PVD法とは、通常のスパッタリング法でもよいが、埋め込み特性の向上や、膜質の向上や、膜厚のウェハ面内均一性を図る上では、例えばロングスロースパッタリング法やコリメートスパッタリング法、イオナイズドスパッタリング法、などの指向性の高いスパッタリング法を用いることもできる。合金をスパッタする場合には、あらかじめ金属ターゲット内に主成分以外の金属を固溶限以下で含有させることで、成膜された金属膜を合金膜とすることができる。主にダマシンCu配線を形成する際のCuシード層やCu合金シード層、及びバリアメタル層を形成する際に使用することができる。
絶縁膜とは、例えば配線材を絶縁分離する膜(層間絶縁膜)である。また、低誘電率絶縁膜とは、シリコン酸化膜(比誘電率3.9〜4.5)よりも比誘電率の低い膜を指す。
実効的誘電率とは、配線間または層間の絶縁膜と、バリア膜などの比誘電率の異なる複数の層からなる構造を有している場合に、層間絶縁膜の平均的な誘電率の値をいう。
(第1実施形態)
図1は、本発明による半導体装置の第1実施形態を示す断面図である。
半導体装置100は、
半導体基板と、
半導体基板上に設けられたSiOCH膜10(第一絶縁膜)と、
SiOCH膜10の表層を改質することにより形成された、SiOCH膜10よりも炭素濃度が低くかつSiOCH膜10よりも酸素濃度が高い表面改質層20と、
表面改質層20及びSiOCH膜10に形成された凹部内に埋設されたCu配線50と、
Cu配線50の表面及び表面改質層20の表面に接するキャップ絶縁膜(第二絶縁膜)60と、
を含む。
SiOCH膜10(10a,10b)は、半導体基板上に設けられている。SiOCH膜10は半導体素子を接続する多層配線間の容量を低減する膜として機能する。
SiOCH膜10は、シリコン酸化膜(比誘電率3.9〜4.5)よりも比誘電率が低い低誘電率絶縁膜であって、多孔質絶縁膜である。SiOCH膜10としては、例えば、シリコン酸化膜を多孔質化して比誘電率を小さくした膜、HSQ(ハイドロゲンシルセスキオキサン(Hydrogen SilsesQuioxane))膜、およびSiOCH或いはSiOC(例えば、Black DiamondTM、CORALTM、AuroraTM)などを多孔質化して比誘電率を小さくした膜などが挙げられる。
SiOCH膜10は、C/Siで表される組成比が1以上10以下であることが好ましい。すなわち、SiOCH膜10としては、炭素量(C量)が多いことが好ましい。この理由としては以下の2点が挙げられる。
1つ目は、Heプラズマ処理により形成される表面改質層20の厚さを薄くでき、実効誘電率の上昇を抑制できることである。SiOCH10の表面へのHeプラズマ処理では、表面のSiCH結合のメチル基が脱離し、SiOH結合に変化するため、表面改質層20の比誘電率は上昇することとなる。そこで、膜中に高次のハイドロカーボン(CHx)結合を導入し、C/Siで表される組成比を1以上とすることで、Heプラズマのエネルギーが、主にC−C結合の切断に使用され、Si−CH結合は切断されずに維持されるため、表面改質層20の厚さを薄くできる。
また、2つ目は、後述するハードマスク30として用いられるSiOとのCMP選択比が大きくなるため、十分CMPプロセスマージンを確保できることである。すなわち、SiOCH膜10に含まれるC量が多い場合には、Heプラズマによる改質によりC量が減ったとしても、表面改質層20にはある程度のC量が含まれる。そこで、ハードマスク30として用いたSiOが研磨される条件でCMPを行った場合には、C量の増加に伴ってCMP研磨レートが落ちてくるため、オーバー研磨に対して、膜厚変動を小さく抑えることが可能である。また、表面改質層20によってオーバー研磨によるSiOCH膜10の露出が低減でき、これによりウォーターマークやパーティクル数の発生が低減する。
SiOCH膜10は、複数の空孔を有した多孔質膜であってもよい。これにより、さらに誘電率を低くできる。また、空孔は互いに独立した孔であってもよい。これにより、空孔の最大径を小さくしつつ、SiOCH膜10全体の空孔率を高くできる。
また、空孔の平均径は、特に下限値の限定はなく、例えば、0.8nm未満であることが好ましい。これにより、Heプラズマ処理を施した場合に形成される表面改質層20が、SiOCH膜10の表層、すなわち表面近傍に限定される。そのため、表面改質層20の誘電率増加による実効誘電率の上昇を最小限に抑制することができる。また、平均空孔径が0.8nm未満であることにより、CMP時に表面改質層20が露出した場合の吸水を抑制することができる。
SiOCH膜10は、下記式(1)で表される環状有機シリカ構造を有する化合物を材料に用いてプラズマ重合法により形成されてもよい。これにより、空孔径が小さいSiOCH膜10が得られる。また、SiOCH膜10の空孔径が小さいため吸水されにくくなり、CMP時に表面改質層20が露出した場合の吸水による誘電率上昇が抑制される。
Figure 2010093235
(1)
(式(1)中、R1、R2は、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基、およびブチル基のいずれかである。)
前記環状有機シリカ構造を有する化合物が、R1が不飽和炭化水素基であり、R2が飽和炭化水素基であってもよい。この場合、R1の不飽和炭化水素のプラズマ重合反応により環状シリカを結合させた低誘電率絶縁膜を成長できる。具体的には、R1がビニル基であり、R2がメチル基である下記式(2)で表される環状有機シリカ構造を有する化合物であってもよい。なお、R1としては、アリル基、ブチニル基、エチニル基などであり、R2としてはエチル基、プロピル基、ブチル基などであってもよい。
Figure 2010093235
(2)
前記環状有機シリカ構造を有する化合物が、R1がビニル基であり、R2が立体障害の大きな分岐構造を有する飽和炭化水素であり、例えばイソプロピル基である下記式(3)で表される環状有機シリカ構造を有する化合物であってもよい。側鎖R2の立体障害が大きいことにより膜密度を減らし、比誘電率を低減させることができるので望ましい。なお、立体障害の大きな分岐構造を有する飽和炭化水素(R2)としては、イソブチル、ターシャリーブチルなどでもよい。
Figure 2010093235
(3)
また、上記式(2)及び(3)に示す環状有機シリカ構造を有する化合物を材料に用いて、プラズマCVD法により膜を形成した場合、平均空孔径が例えば、0.3〜0.7nmである小さい緻密な空孔構造を有する膜が形成される。これにより、CMP時に表面改質層20が露出した場合の吸水をさらに抑制することができる。
表面改質層20(20a,20b)は、SiOCH膜10の表層近傍を改質することにより形成された、SiOCH膜10よりも炭素濃度が低くかつSiOCH膜10よりも酸素濃度が高い層である。このような表面改質層20は親水性である。
表面改質層20は、SiOCH膜10の表面にHeプラズマ処理を施すことで形成される。
具体的には、SiOCH膜10上にハードマスク30を形成する前に、ハードマスク形成チャンバーにてHeプラズマ処理を行う。適度なHeプラズマ処理を行うことで、CMP時にハードマスク30を除去した場合でも、親水化した表面改質層20が存在するため、CMP後のパーティクルやウォーターマークの生成を抑制できる。
一方、過度のHeプラズマ処理を施した場合には、表面改質層20が厚くなり、硬化した表面改質層20がCMP時に剥離し、大規模スクラッチを誘起するという問題が生じる。そのため、表面改質層20がSiOCH膜10の表層に形成されるよう、Heプラズマ処理の条件が適宜調整される。
また、Heプラズマ処理によって表面改質層20の炭素濃度は低減するものの、SiOCH膜10の炭素濃度が高い場合は、表面改質層20においてもある程度の濃度の炭素が含まれることになる。この場合、SiOを用いたハードマスク30に対してCMP選択比が確保される。すなわち、ハードマスク30をCMPで取りきった後のオーバー研磨に対して、表面改質層20が研磨され難くなる。さらに、薄い表面改質層20でも、十分なマージンをもって表面改質層20を残した状態でCMPストップすることが可能である。
表面改質層20の厚さは、3nm以上30nm未満であることが好ましい。表面改質層20の厚さとは、SiOCH膜10の表層であって、例えば、炭素濃度が、SiOCH膜10の炭素濃度の50%以上90%未満であり、かつ酸素濃度が、SiOCH膜10の酸素濃度の110%以上200%未満である領域の厚みをいう。
Cu配線50(50a,50b)は、SiOCH膜10に形成されている。図1に示すように、Cu配線50は、凹部(配線溝、配線孔)の内壁面に形成されたバリア膜40で覆われ、上面がバリア絶縁膜またはキャップ絶縁膜60など絶縁性の膜で覆われている。Cu配線50は、SiOCH膜10および表面改質層20に形成された凹部に、Cu配線50が埋め込まれ、凹部の外部に露出した余剰なCu配線50を、例えばCMPなどにより除去することで形成される。
Cu配線50は、Cuを主成分とする金属配線である。Cu配線50の信頼性を向上させるため、Cu以外の金属元素がCuからなる部材に含まれていてもよい。また、Cu以外の金属元素がCuの上面や側面などに形成されていてもよい。
バリア膜40(40a,40b)は、Cu配線50が形成される凹部の内壁面に形成されている。バリア膜40は、Cu配線50を構成する金属元素が層間絶縁膜や下層へ拡散することを防止する機能を有する。
また、バリア膜40は、例えば、バリア性を有する導電性膜であって、配線がCuを主成分とする金属元素からなる場合には、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、炭窒化タングステン(WCN)のような高融点金属やその窒化物等が用いられる。また、これらの材料を用いた積層の膜であってもよい。
キャップ絶縁膜60(60a、60b)は、Cu配線50及び表面改質層20の上面に接するように形成されている。キャップ絶縁膜60は、Cu配線50に含まれるCuの酸化や絶縁膜中へのCuの拡散を防ぐ機能、および加工時にエッチングストップ層としての役割を有する。キャップ絶縁膜60の誘電率を低くすることで、配線信号伝達遅延が改善できる。キャップ絶縁膜60は、バリア絶縁膜としても機能する。
キャップ絶縁膜60としては、SiN膜、SiCN膜、SiC膜、およびCu拡散バリア性を有する有機シリカ膜などが挙げられる。
また、キャップ絶縁膜60は、Cu拡散バリア性を有する有機シリカ膜、SiN膜、SiCN膜、およびSiC膜のいずれかを用いた単層または少なくとも2以上を用いた積層の膜であってもよい。また、キャップ絶縁膜60は、不飽和炭化水素とアモルファスカーボンを有する膜、またはSiN膜、SiCN膜、およびSiC膜のうち少なくとも一つを用いた膜と不飽和炭化水素およびアモルファスカーボンを有する膜との積層膜であってもよい。
ハードマスク30(30b)は、表面改質層20の上に形成され、ハードマスク30及びSiOCH膜10(表面改質層20を含む)に形成された凹部内にCu配線50が埋め込まれた後、凹部の外部の過剰なCu配線50をCMPにより除去するとともに除去される(図3(b)〜図4(b)参照)。また、SiOCH膜10および表面改質層20などの層間絶縁膜は、低誘電率化のために多孔質となっている場合は、その強度が低下している。そこで、ハードマスク30を設けると、CMP処理の際にSiOCH膜10および表面改質層20がハードマスク30によって保護される。
ハードマスク30の材料としては、C量が少ないものであって、例えばSiOなどが挙げられる。
半導体基板(不図示)は、半導体装置100が構成された基板であり、例えば、単結晶シリコン基板である。また、半導体基板としては、これに限られず、SOI(Silicon On Insulator)基板やTFT(Thin Film Transistor)、液晶製造用基板などであってもよい。
パッシベーション膜(不図示)は、半導体装置100の最上層に形成されている。パッシベーション膜は、外部からの水分などから半導体素子を保護する役割を有する。パッシベーション膜としては、例えばプラズマCVD法で形成したシリコン酸窒素化膜(SiON)や、ポリイミド膜などが用いられる。
図2および図5を参照しつつ、本実施形態における半導体装置100の製造方法を説明する。
半導体装置100の製造方法は、
半導体基板上にSiOCH膜10(第一絶縁膜)を形成する工程と、
不活性ガスを用いたプラズマ処理を施し、SiOCH膜10の表層に表面改質層20を形成する工程と、
表面改質層20上にハードマスク30を形成する工程と、
ハードマスク30およびSiOCH膜10に、ハードマスク30および表面改質層20を貫通する凹部を形成する工程と、
凹部内を埋め込むようにCu配線50を形成する工程と、
凹部の外部に露出したCu配線50を除去し、かつハードマスク30を除去して表面改質層20を露出する工程と、
露出された表面改質層20、およびCu配線50の表面にキャップ絶縁膜60(第二絶縁膜)を形成する工程と、を含む。
以下、各工程について詳述する。
図2(a)は、半導体装置100の下層配線の断面を示している。下層配線は、絶縁膜としてSiOCH膜10aに形成された表面改質層20a及びCu配線50aの上にキャップ絶縁膜60aが形成され、内側にバリア膜40aが形成された配線溝または配線孔にCu配線50aが埋め込まれた構造となっている。この下層配線も、以下に説明する上層配線と同様のプロセスにより形成できる。
まず、半導体基板上に、SiOCH膜10bを形成する(図2(b))。次に、ヘリウムガスを用いたHeプラズマ処理を施す(図2(c))。これにより、SiOCH膜10b表面を改質させ、C濃度が低減し、O濃度が増加した表面改質層20bを形成する(図3(a))。
Heプラズマ処理条件としては、たとえば、処理温度200〜400℃、Heガス流量10〜5000sccm、圧力1〜20Torr、プラズマ発生用高周波電源パワー200〜500W、時間は5〜60秒に設定する。なお、不活性ガスとは、たとえば、ヘリウム、ネオン、アルゴンなどの希ガスをいう。
次に、表面改質層20b上にSiOなどのハードマスク30bを形成する(図3(b))。続いて、リソグラフィーと異方性エッチングによって、ハードマスク30bおよびSiOCH膜10bに、ハードマスク30bおよび表面改質層20bを貫通する凹部(配線溝、配線孔)を形成する(図3(c))。その後、凹部上に、バリア膜40bを形成し、Cu配線50bを埋め込む(図4(a))。
次に、Cu粒成長のための熱処理を施す。この熱処理の温度は、たとえば200〜400℃、時間は30秒〜1時間に設定する。続いて研磨液としてアルカリ性のスラリーを用いたCMPなどの研磨技術により、凹部の外部に露出したCu配線50b、バリア膜40b、およびハードマスク30bを除去し、表面改質層20bを露出させてその一部が残った状態でCMPを停止する(図4(b))。
次に、露出された表面改質層20b、およびCu配線50bの表面にプラズマCVD法によりキャップ絶縁膜60bを形成する(図4(c))。これにより、Cu配線50b及び表面改質層20bの上面はキャップ絶縁膜60bに覆われる。すなわち、Cu配線50b及び表面改質層20bの表面はキャップ絶縁膜60bに接している。
図2(b)〜図4(c)で示された工程を繰り返すことで、下層配線の上に上層配線が積層される。また、本実施形態では、配線溝と配線孔を同時に形成するデュアルダマシン法を用いて説明したが、シングルダマシン法を用いた配線形成であってもよい。
以下、SiOCH膜10の製造工程について、さらに詳述する。図5は、SiOCH膜10の成膜に用いる装置を示す模式図である。
図5に示すように、リザーバー101は、第一絶縁膜となる原料モノマーを供給する容器である。原料圧送部102は、リザーバー101内の原料を送り出すため加圧する部位であり圧送ガスにはHeが使われる。キャリアガス供給部103は、原料モノマーを輸送するHeを供給する部分である。液体マスフロー104は、供給する原料モノマーの流量を制御する装置である。ガスマスフロー105は、キャリアガスであるHeの流量を制御する装置である。気化器106は、原料モノマーを気化する装置である。リアクター107は、気体となった原料モノマーを化学気相成長により成膜を行う容器である。
プラズマ発生用高周波(RF)電源109は、気体となった原料モノマーとキャリアガスをプラズマ化する電力を供給する装置である。基板108は、化学気相成長により成膜されるターゲットである。排気ポンプ110は、リアクター107に導入された原料ガスとキャリアガスを排気する装置である。
次に、図5に示した装置を用いたSiOCH膜10の製造工程について、説明する。
第一絶縁膜(SiOCH膜10)となる原料モノマーとして、下記式(3)に示す環状有機シリカ構造を有する原料を用いる。原料圧送部102からのHeガスによりリザーバー101からは原料モノマーが送り出され、液体マスフロー104によりその流量が制御される。一方、キャリアガス供給部103からはHeガスが供給され、その流量はガスマスフロー105によって制御される。
Figure 2010093235
(3)
原料モノマーとキャリガスであるHeは、気化器106の直前で混合され、気化器106内に導入される。気化器106内には加熱されたヒータブロック(図示せず)が存在し、ここで液体の原料モノマーは気化され、リアクター107に導入される。リアクター107内では13.56MHzの高周波により、気化した原料モノマーとキャリアガスはプラズマ化し、化学気相成長により基板108上にSiOCH膜が成膜される。
原料モノマーの供給量は、0.1g/min以上10g/min以下であることが好ましく、さらに好ましくは2g/min以下である。キャリアガスであるHe流量は、50sccm以上5000sccm以下であることが好ましく、さらに好ましくは2000sccm以下である。リアクター107内の圧力は133〜1333Paであることが好ましい。RF電源109の出力は2000W以下であることが好ましく、さらに好ましくは1000W以下である。
本実施形態の効果を説明する。
半導体装置100は、SiOCH膜10の表層が改質されることにより形成された、SiOCH膜10よりも炭素濃度が低くかつSiOCH膜10よりも酸素濃度が高い表面改質層20が設けられるとともに、Cu配線50の表面及び表面改質層20の表面に接するキャップ絶縁膜60を有している。
この表面改質層20は、SiOCH膜10の表層の改質によって形成されているため、成膜する場合と比較して薄い層となっている。そのため、表面改質層20の誘電率がSiOCH膜10の誘電率よりも高くなる場合でも、表面改質層20が十分に薄いため、SiOCH膜10全体の誘電率の上昇が抑制できる。
さらに、表面改質層20は、SiOCH膜10よりも、炭素濃度が低くかつ酸素濃度が高くなっているため、親水性である。このため、CMPプロセスにおいて表面改質層20が露出することによって水滴が残りにくくなり、CMPプロセス後のパーティクルの残留やウォーターマークの発生を低減できる。
本実施形態における半導体装置100およびその製造方法によれば、低誘電率膜を用いた層間絶縁膜SiOCH膜10をCMPプロセスにおけるダメージから保護しつつ、配線間絶縁膜SiOCH膜10の実効誘電率が低減することができる。
さらに、SiOCH膜10が有するポーラス構造の中へ、水が吸収されることによってSiOCH膜10の誘電率が増大するという問題があった。しかしながら、本実施形態における半導体装置100においては、SiOCH膜10が有するポーラス構造の口径が小さいため、水が吸収されにくく、誘電率の上昇が抑制できる。
本発明による半導体装置およびその製造方法は、上記実施形態に限定されるものではなく、様々な変形が可能である。
次に、本発明の実施例について説明する。以下の実施例では、シングルダマシン法を用いて第1の配線層を作成した。第1の配線層は、第一実施形態に記載された半導体装置100の上層配線または下層配線と同様にして製造した。
なお、本発明は以下の実施例に限定されるものではなく、本発明の目的を達成できる範囲での変更、改良等は本発明に含まれるものである。デュアルダマシン法またはシングルダマシン法によって形成される第2以降の配線層についても第1の配線層と同様な方法で形成することが可能である。
(実施例1)
まず、シリコン基板上に300nmのSiO膜を成膜し、この上に第1配線層をシングルダマシン法によって形成した。
次に、このSiO膜上に、下記式(3)に示す環状有機シリカ構造を有する原料を用いて、上記第一実施形態で説明したのと同様にしてプラズマCVD法により、ポーラスSiOCH膜を形成した。ポーラスSiOCH膜は、配線間絶縁膜であって、厚さ120nm、比誘電率2.5であった。
Figure 2010093235
(3)
その後、RFパワー440Wにて処理時間15秒のHeプラズマ処理を行い、ポーラスSiOCH膜の表面に表面改質層を形成した。
さらにこの上に、Heプラズマ処理チャンバーと同一チャンバーにて、ハードマスクとして厚さ80nmのSiO膜を、SiHをソースガスに用いたプラズマCVD法により成膜した。ここで、ハードマスクとしては、TEOS(テトラエトキシシラン)をソースガスに用いたSiO膜を用いてもよい。また、Heプラズマ処理による表面改質層の形成と、SiOハードマスク成膜は別チャンバーで行ってもよい。
次に、リソグラフィーとドライエッチングによって、SiOCH膜、表面改質層、およびハードマスクの積層絶縁膜に、配線溝を形成した。その後、シリコン基板表面全面にイオン化スパッタ法によって、TaN膜とTa膜のバリア膜、および40nmのCu薄膜を形成し、さらに電極として電解めっき法によってCu配線を埋め込んだ。
次に、Cu粒成長のために窒素雰囲気中で350℃、2分間の熱処理をした後、余剰なCu配線をCMPにより除去した。すなわち、スラリー、研磨ヘッドを変えて、余剰なCu配線、Ta膜、TaN膜、及びハードマスクをCMPにて完全に除去し、ポーラスSiOCH膜の表面改質層を露出させ、表面改質層が一部残った状態でCMPを完了させた。
その後、Cu配線と表面改質層の全面にキャップ絶縁膜としてSiCN膜を30nm形成した。これにより、Cu配線の表面及びポーラスSiOCH膜の表層の表面改質層の表面に接するようにキャップ絶縁膜が形成された構造の装置が得られた。
(実験1)
図6は、実施例1で作成したポーラスSiOCH膜にHeプラズマ処理を施した場合(Heプラズマ処理)と、実施例1で作成したポーラスSiOCH膜にHeプラズマ処理を行わなかった場合(未処理)の、ポーラスSiOCH膜の深さと炭素濃度及び酸素濃度との関係を示すグラフ図である。ポーラスSiOCH膜の炭素濃度(図6(a))及び酸素濃度(図6(b))は、X線光電子分光(XPS)分析により求められた。グラフより、Heプラズマ処理によって、ポーラスSiOCH膜表面のC濃度が、60atomic%から43atomic%に低減し、O濃度が20atomic%から35atomic%に変化していることがわかった。
(実験2)
図7は、CMP完了後のウェハ面内の欠陥分布を示す図である。図7(a)〜(c)はそれぞれ、上記実施例1で示したポーラスSiOCH上にHeプラズマ処理を、全く行わない場合、15秒行った場合、50秒行った場合をそれぞれ示す。
図7(a)に示すように、Heプラズマ処理を全く行わない場合には、ウェハ面内で放射状に分布する欠陥が確認された。この欠陥箇所を観察した結果、ウォーターマークに起因する欠陥であることが判明した。一方で、図7(b)に示すように、Heプラズマ処理を15秒行った場合には、低欠陥状態であった。ただし、図7(c)に示すように、Heプラズマ処理時間を50秒まで延ばした場合には、大規模なスクラッチが発生した。
この原因としては、Heプラズマ処理を全く行わない場合には、ポーラスSiOCH表面には表面改質層が形成されずに、疎水性のままの状態であるため、CMP後にウォーターマークが増大したものと思われる。一方、Heプラズマ処理を施すことで、表面がSi−OH化し、親水化したことで、ウォーターマークが抑制されたと考えられる。ただし、過剰なHeプラズマ処理では、表面改質層が硬化することで、CMP時に剥れやすくなり、大規模なスクラッチを誘起している可能性があると考えられる。
(実験3)
図8は、SiOCH膜のC/Si組成比と、研磨レートとの関係を示すグラフ図である。図8より、SiOCH膜のC/Si比が増すに従って、CMP研磨レートが低減していることがわかった。
(実験4)
図9は、SiOCH膜と、研磨量との関係を示すグラフである。また、横軸のSiOCH膜は、比誘電率及びC/Si組成が異なる3種類のSiOCH膜であって、縦軸にはそれぞれのSiOCH膜の配線パターン(L/S;配線幅(L)、配線スペース(S))、および配線幅(L)ごとの研磨量が示されている。
SiOCH膜の研磨量は、SiOCH膜にHeプラズマ処理を15秒施した後、十分にSiOハードマスクが取りきれている状態から、さらに30秒オーバー研磨を追加した場合の膜減り量を電子顕微鏡(SEM)で観察して求めた。
図9に示すように、ポーラスSiOCH膜、リジットSiOCH膜は、比誘電率にはよらずにC量が多い膜で研磨ストップしていることがわかる。したがって、C量が多いポーラスSiOCHを用いることで、オーバー研磨に対して、膜厚変動を小さく抑え、表面改質層を確実に残せるため、ウォーターマークまたはパーティクルの発生の低減が可能となる。
10 SiOCH膜
10a SiOCH膜
10b SiOCH膜
20 表面改質層
20a 表面改質層
20b 表面改質層
30b ハードマスク
40 バリア膜
40a バリア膜
40b バリア膜
50 Cu配線
50a Cu配線
50b Cu配線
60 キャップ絶縁膜
60a キャップ絶縁膜
60b キャップ絶縁膜
100 半導体装置
101 リザーバー
102 原料圧送部
103 キャリアガス供給部
104 液体マスフロー
105 ガスマスフロー
106 気化器
107 リアクター
108 基板
109 電源
110 排気ポンプ

Claims (17)

  1. 半導体基板と、
    前記半導体基板上に設けられたSiOCH膜からなる第一絶縁膜と、
    前記第一絶縁膜の表層を改質することにより形成された、前記第一絶縁膜よりも炭素濃度が低くかつ前記第一絶縁膜よりも酸素濃度が高い表面改質層と、
    前記表面改質層及び前記第一絶縁膜に形成された凹部内に埋設された金属配線と、
    前記金属配線の表面及び前記表面改質層の表面に接する第二絶縁膜と、
    を含むことを特徴とする半導体装置。
  2. 請求項1に記載の半導体装置において、
    前記表面改質層の厚さが3nm以上30nm未満であることを特徴とする半導体装置。
  3. 請求項1または2に記載の半導体装置において、
    前記第一絶縁膜は、SiOCH膜であって、C/Siで表される組成比が1以上10以下であることを特徴とする半導体装置。
  4. 請求項1乃至3いずれかに記載の半導体装置において、
    前記表面改質層の炭素濃度は、前記第一絶縁膜の炭素濃度の50%以上90%未満であり、かつ前記表面改質層の酸素濃度は、前記第一絶縁膜の酸素濃度の110%以上200%未満であることを特徴とする半導体装置。
  5. 請求項1乃至4いずれかに記載の半導体装置において、
    前記第一絶縁膜は複数の空孔を有し、前記空孔の平均径は0.8nm未満で、かつ前記空孔が互いに独立した孔であることを特徴とする半導体装置。
  6. 請求項1乃至5いずれかに記載の半導体装置において、
    前記第二絶縁膜は、SiN膜、SiCN膜、およびSiC膜のいずれかを用いた単層または少なくとも2以上を用いた積層の膜であることを特徴とする半導体装置。
  7. 請求項1乃至5いずれかに記載の半導体装置において、
    前記第二絶縁膜は、不飽和炭化水素とアモルファスカーボンを有する第一膜、またはSiN膜、SiCN膜、およびSiC膜のうち少なくとも一つを用いた第二膜と前記第一膜との積層膜であることを特徴とする半導体装置。
  8. 請求項1乃至7いずれかに記載の半導体装置において、
    前記第一絶縁膜は、下記式(1)で表される環状有機シリカ構造を有する化合物を材料に用いて形成されることを特徴とする半導体装置。
    Figure 2010093235
    (1)
    (式(1)中、R1、R2は、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基、およびブチル基のいずれかである。)
  9. 請求項8に記載の半導体装置において、
    前記環状有機シリカ構造を有する化合物が、下記式(2)で表されることを特徴とする半導体装置。
    Figure 2010093235
    (2)
  10. 請求項8に記載の半導体装置において、
    前記環状有機シリカ構造を有する化合物が、下記式(3)で表されることを特徴とする半導体装置。
    Figure 2010093235
    (3)
  11. 請求項1乃至10いずれかに記載の半導体装置において、
    前記金属配線は、銅含有配線であることを特徴とする半導体装置。
  12. 半導体基板上にSiOCH膜からなる第一絶縁膜を形成する工程と、
    不活性ガスを用いたプラズマ処理を施し、前記第一絶縁膜の表層に表面改質層を形成する工程と、
    前記表面改質層上にハードマスクを形成する工程と、
    前記ハードマスクおよび前記第一絶縁膜に、前記ハードマスクおよび前記表面改質層を貫通する凹部を形成する工程と、
    前記凹部内を埋め込むように金属配線を形成する工程と、
    前記凹部の外部に露出した前記金属配線を除去し、かつ前記ハードマスクを除去して前記表面改質層を露出する工程と、
    露出された前記表面改質層、および前記金属配線の表面に第二絶縁膜を形成する工程と、
    を含むことを特徴とする半導体装置の製造方法。
  13. 請求項12に記載の半導体装置の製造方法において、
    前記第一絶縁膜は、下記式(1)で表される環状有機シリカ構造を有する化合物を材料に用いたプラズマ重合法により形成されることを特徴とする半導体装置の製造方法。
    Figure 2010093235
    (1)
    (式(1)中、R1、R2は、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基、およびブチル基のいずれかである。)
  14. 請求項13に記載の半導体装置の製造方法において、
    前記環状有機シリカ構造を有する化合物は、下記式(2)で表されることを特徴とする半導体装置の製造方法。
    Figure 2010093235
    (2)
  15. 請求項13に記載の半導体装置の製造方法において、
    前記環状有機シリカ構造を有する化合物は、下記式(3)で表されることを特徴とする半導体装置の製造方法。
    Figure 2010093235
    (3)
  16. 請求項12乃至15いずれかに記載の半導体装置の製造方法において、
    前記表面改質層を露出する前記工程は、
    研磨液としてアルカリ性のスラリーを用いた化学機械研磨により、前記凹部の外部に露出した前記金属配線を除去し、かつ前記ハードマスクを除去して前記表面改質層を露出することを特徴とする半導体装置の製造方法。
  17. 請求項12乃至16いずれかに記載の半導体装置の製造方法において、
    前記ハードマスクは、SiOであることを特徴とする半導体装置の製造方法。
JP2009191749A 2008-09-11 2009-08-21 半導体装置の製造方法 Expired - Fee Related JP5554951B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009191749A JP5554951B2 (ja) 2008-09-11 2009-08-21 半導体装置の製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008233764 2008-09-11
JP2008233764 2008-09-11
JP2009191749A JP5554951B2 (ja) 2008-09-11 2009-08-21 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2010093235A true JP2010093235A (ja) 2010-04-22
JP5554951B2 JP5554951B2 (ja) 2014-07-23

Family

ID=41798516

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009191749A Expired - Fee Related JP5554951B2 (ja) 2008-09-11 2009-08-21 半導体装置の製造方法

Country Status (2)

Country Link
US (2) US8080878B2 (ja)
JP (1) JP5554951B2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011238704A (ja) * 2010-05-07 2011-11-24 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
WO2016209296A1 (en) * 2015-06-26 2016-12-29 Intel Corporation Bottom-up selective dielectric cross-linking to prevent via landing shorts
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
WO2020235234A1 (ja) * 2019-05-20 2020-11-26 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置及び電子機器

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5554951B2 (ja) * 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5654794B2 (ja) * 2010-07-15 2015-01-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
CN103187359B (zh) * 2011-12-29 2015-07-08 中芯国际集成电路制造(上海)有限公司 金属互连线的形成方法
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
FR3013895B1 (fr) * 2013-11-25 2017-04-14 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9196583B1 (en) * 2014-05-09 2015-11-24 Qualcomm Incorporated Via material selection and processing
US10147747B2 (en) * 2014-08-21 2018-12-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method thereof, and electronic device
US9865798B2 (en) 2015-02-24 2018-01-09 Qualcomm Incorporated Electrode structure for resistive memory device
KR102460075B1 (ko) * 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
KR102450580B1 (ko) * 2017-12-22 2022-10-07 삼성전자주식회사 금속 배선 하부의 절연층 구조를 갖는 반도체 장치
CN109994429B (zh) * 2017-12-29 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102606765B1 (ko) 2018-02-07 2023-11-27 삼성전자주식회사 비아 플러그를 갖는 반도체 소자 및 그 형성 방법
US10896874B2 (en) * 2019-03-25 2021-01-19 Globalfoundries Inc. Interconnects separated by a dielectric region formed using removable sacrificial plugs

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003309173A (ja) * 2002-04-18 2003-10-31 Hitachi Ltd 半導体装置及びその製造方法
JP2004128484A (ja) * 1997-03-31 2004-04-22 Renesas Technology Corp 半導体集積回路装置およびその製造方法
JP2004165447A (ja) * 2002-11-13 2004-06-10 Kao Corp 半導体基板製造工程用組成物
JP2004207604A (ja) * 2002-12-26 2004-07-22 Toshiba Corp 半導体装置およびその製造方法
JP2004253790A (ja) * 2003-01-29 2004-09-09 Nec Electronics Corp 半導体装置及びその製造方法
JP2006049655A (ja) * 2004-08-06 2006-02-16 Renesas Technology Corp 半導体装置の製造方法
JP2006156519A (ja) * 2004-11-26 2006-06-15 Renesas Technology Corp 半導体装置の製造方法
JP2007027347A (ja) * 2005-07-15 2007-02-01 Sony Corp 半導体装置およびその製造方法
WO2008010591A1 (fr) * 2006-07-21 2008-01-24 Nec Corporation Procédé de formation d'un film isolant poreux

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261944B1 (en) * 1998-11-24 2001-07-17 Vantis Corporation Method for forming a semiconductor device having high reliability passivation overlying a multi-level interconnect
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
JP3914452B2 (ja) * 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
KR100593737B1 (ko) * 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
JP4917249B2 (ja) * 2004-02-03 2012-04-18 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP5060037B2 (ja) * 2005-10-07 2012-10-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2007132879A1 (ja) * 2006-05-17 2007-11-22 Nec Corporation 半導体装置、半導体装置の製造方法及び半導体製造装置
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
JP5261964B2 (ja) * 2007-04-10 2013-08-14 東京エレクトロン株式会社 半導体装置の製造方法
JP5554951B2 (ja) * 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128484A (ja) * 1997-03-31 2004-04-22 Renesas Technology Corp 半導体集積回路装置およびその製造方法
JP2003309173A (ja) * 2002-04-18 2003-10-31 Hitachi Ltd 半導体装置及びその製造方法
JP2004165447A (ja) * 2002-11-13 2004-06-10 Kao Corp 半導体基板製造工程用組成物
JP2004207604A (ja) * 2002-12-26 2004-07-22 Toshiba Corp 半導体装置およびその製造方法
JP2004253790A (ja) * 2003-01-29 2004-09-09 Nec Electronics Corp 半導体装置及びその製造方法
JP2006049655A (ja) * 2004-08-06 2006-02-16 Renesas Technology Corp 半導体装置の製造方法
JP2006156519A (ja) * 2004-11-26 2006-06-15 Renesas Technology Corp 半導体装置の製造方法
JP2007027347A (ja) * 2005-07-15 2007-02-01 Sony Corp 半導体装置およびその製造方法
WO2008010591A1 (fr) * 2006-07-21 2008-01-24 Nec Corporation Procédé de formation d'un film isolant poreux

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011238704A (ja) * 2010-05-07 2011-11-24 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8759212B2 (en) 2010-05-07 2014-06-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
WO2016209296A1 (en) * 2015-06-26 2016-12-29 Intel Corporation Bottom-up selective dielectric cross-linking to prevent via landing shorts
US10366950B2 (en) 2015-06-26 2019-07-30 Intel Corporation Bottom-up selective dielectric cross-linking to prevent via landing shorts
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
WO2020235234A1 (ja) * 2019-05-20 2020-11-26 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置及び電子機器

Also Published As

Publication number Publication date
US8080878B2 (en) 2011-12-20
US8492266B2 (en) 2013-07-23
US20120070986A1 (en) 2012-03-22
US20100059887A1 (en) 2010-03-11
JP5554951B2 (ja) 2014-07-23

Similar Documents

Publication Publication Date Title
JP5554951B2 (ja) 半導体装置の製造方法
JP5267130B2 (ja) 半導体装置およびその製造方法
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7510982B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8043957B2 (en) Semiconductor device, method for manufacturing semiconductor device and apparatus for manufacturing semiconductor
JP4812838B2 (ja) 多孔質絶縁膜の形成方法
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
JP2011166106A (ja) 半導体装置の製造方法及び半導体装置
JP2005513766A (ja) ダマシン適用において誘電体材料を堆積する方法
JP2013520841A (ja) プラズマ化学気相堆積による、有機官能基と共にシリコンを含有するハイブリッド前駆体を使用する超低誘電材料
WO2007061134A1 (ja) 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
JP2009194072A (ja) 半導体装置の製造方法
JP2010278330A (ja) 半導体装置及び半導体装置の製造方法
JP2007227507A (ja) 半導体装置の製造方法および半導体装置
US8390135B2 (en) Semiconductor device
US20120276301A1 (en) Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
JP5303568B2 (ja) 半導体装置の製造方法
US7763538B2 (en) Dual plasma treatment barrier film to reduce low-k damage
JP2006156519A (ja) 半導体装置の製造方法
JP2009094123A (ja) 半導体装置の製造方法
JP2011124472A (ja) 半導体装置の製造方法
JP5408116B2 (ja) 半導体装置の製造方法
JP2005340604A (ja) 半導体装置の製造方法
JP2010287653A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120706

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140527

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140530

R150 Certificate of patent or registration of utility model

Ref document number: 5554951

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees