JP2005513766A - ダマシン適用において誘電体材料を堆積する方法 - Google Patents
ダマシン適用において誘電体材料を堆積する方法 Download PDFInfo
- Publication number
- JP2005513766A JP2005513766A JP2003553028A JP2003553028A JP2005513766A JP 2005513766 A JP2005513766 A JP 2005513766A JP 2003553028 A JP2003553028 A JP 2003553028A JP 2003553028 A JP2003553028 A JP 2003553028A JP 2005513766 A JP2005513766 A JP 2005513766A
- Authority
- JP
- Japan
- Prior art keywords
- oxygen
- organosilicon compound
- bis
- compound
- carbon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 137
- 238000000151 deposition Methods 0.000 title claims abstract description 52
- 239000003989 dielectric material Substances 0.000 title claims abstract description 44
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 133
- 239000001301 oxygen Substances 0.000 claims abstract description 133
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 131
- 150000003961 organosilicon compounds Chemical class 0.000 claims abstract description 79
- 239000000758 substrate Substances 0.000 claims abstract description 69
- 230000004888 barrier function Effects 0.000 claims abstract description 63
- 230000008569 process Effects 0.000 claims abstract description 62
- 239000007789 gas Substances 0.000 claims abstract description 56
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims abstract description 30
- 239000001569 carbon dioxide Substances 0.000 claims abstract description 15
- 229910002092 carbon dioxide Inorganic materials 0.000 claims abstract description 15
- 239000000463 material Substances 0.000 claims description 60
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims description 40
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 35
- 229910052710 silicon Inorganic materials 0.000 claims description 34
- 229910052799 carbon Inorganic materials 0.000 claims description 32
- 239000010703 silicon Substances 0.000 claims description 31
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims description 30
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 29
- -1 organosilane compound Chemical class 0.000 claims description 26
- 239000004020 conductor Substances 0.000 claims description 19
- 239000001307 helium Substances 0.000 claims description 18
- 229910052734 helium Inorganic materials 0.000 claims description 18
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 18
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 16
- 229920002120 photoresistant polymer Polymers 0.000 claims description 16
- 238000005498 polishing Methods 0.000 claims description 15
- 239000011261 inert gas Substances 0.000 claims description 12
- 150000001875 compounds Chemical class 0.000 claims description 11
- 238000005530 etching Methods 0.000 claims description 11
- 239000002243 precursor Substances 0.000 claims description 11
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 claims description 10
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 10
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 10
- KWEKXPWNFQBJAY-UHFFFAOYSA-N (dimethyl-$l^{3}-silanyl)oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)C KWEKXPWNFQBJAY-UHFFFAOYSA-N 0.000 claims description 9
- 239000001257 hydrogen Substances 0.000 claims description 9
- 229910052739 hydrogen Inorganic materials 0.000 claims description 9
- OFLMWACNYIOTNX-UHFFFAOYSA-N methyl(methylsilyloxy)silane Chemical compound C[SiH2]O[SiH2]C OFLMWACNYIOTNX-UHFFFAOYSA-N 0.000 claims description 9
- FWITZJRQRZACHD-UHFFFAOYSA-N methyl-[2-[methyl(silyloxy)silyl]propan-2-yl]-silyloxysilane Chemical compound C[SiH](O[SiH3])C(C)(C)[SiH](C)O[SiH3] FWITZJRQRZACHD-UHFFFAOYSA-N 0.000 claims description 9
- ANKWZKDLZJQPKN-UHFFFAOYSA-N methyl-[[methyl(silyloxy)silyl]methyl]-silyloxysilane Chemical compound [SiH3]O[SiH](C)C[SiH](C)O[SiH3] ANKWZKDLZJQPKN-UHFFFAOYSA-N 0.000 claims description 9
- 229910052786 argon Inorganic materials 0.000 claims description 8
- VFHJWQUCFQTIAR-UHFFFAOYSA-N 2-methylideneoxatrisiletane Chemical compound C=[Si]1O[SiH2][SiH2]1 VFHJWQUCFQTIAR-UHFFFAOYSA-N 0.000 claims description 6
- 229910052754 neon Inorganic materials 0.000 claims description 6
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 6
- OPLQHQZLCUPOIX-UHFFFAOYSA-N 2-methylsilirane Chemical compound CC1C[SiH2]1 OPLQHQZLCUPOIX-UHFFFAOYSA-N 0.000 claims description 5
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 claims description 5
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 claims description 5
- 229910052743 krypton Inorganic materials 0.000 claims description 5
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 5
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 claims description 5
- 238000007517 polishing process Methods 0.000 claims description 5
- 229910052724 xenon Inorganic materials 0.000 claims description 5
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 5
- 238000000059 patterning Methods 0.000 claims description 4
- JPBJMYVOQWDQNS-UHFFFAOYSA-N silirane Chemical compound C1C[SiH2]1 JPBJMYVOQWDQNS-UHFFFAOYSA-N 0.000 claims description 3
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 2
- VUZPPFZMUPKLLV-UHFFFAOYSA-N methane;hydrate Chemical compound C.O VUZPPFZMUPKLLV-UHFFFAOYSA-N 0.000 claims description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 2
- 229910001868 water Inorganic materials 0.000 claims description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 claims 8
- XOAJIYVOSJHEQB-UHFFFAOYSA-N trimethyl trimethoxysilyl silicate Chemical compound CO[Si](OC)(OC)O[Si](OC)(OC)OC XOAJIYVOSJHEQB-UHFFFAOYSA-N 0.000 claims 8
- PUNGSQUVTIDKNU-UHFFFAOYSA-N 2,4,6,8,10-pentamethyl-1,3,5,7,9,2$l^{3},4$l^{3},6$l^{3},8$l^{3},10$l^{3}-pentaoxapentasilecane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O[Si](C)O1 PUNGSQUVTIDKNU-UHFFFAOYSA-N 0.000 claims 7
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 claims 4
- HTDJPCNNEPUOOQ-UHFFFAOYSA-N hexamethylcyclotrisiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O1 HTDJPCNNEPUOOQ-UHFFFAOYSA-N 0.000 claims 4
- 239000001294 propane Substances 0.000 claims 4
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical group [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims 3
- 125000001570 methylene group Chemical group [H]C([H])([*:1])[*:2] 0.000 claims 3
- 150000003377 silicon compounds Chemical class 0.000 claims 1
- 230000009977 dual effect Effects 0.000 abstract description 9
- 239000010410 layer Substances 0.000 description 166
- 229910010271 silicon carbide Inorganic materials 0.000 description 92
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 88
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical group [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 30
- 229910052802 copper Inorganic materials 0.000 description 30
- 239000010949 copper Substances 0.000 description 30
- 230000008021 deposition Effects 0.000 description 19
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 238000009832 plasma treatment Methods 0.000 description 9
- 239000011229 interlayer Substances 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 7
- 238000009792 diffusion process Methods 0.000 description 7
- 238000001465 metallisation Methods 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 125000004122 cyclic group Chemical group 0.000 description 6
- 239000011521 glass Substances 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 230000001590 oxidative effect Effects 0.000 description 5
- 239000011241 protective layer Substances 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- OANQMIHEPFMBKR-UHFFFAOYSA-N [Si].C[SiH](C)C Chemical compound [Si].C[SiH](C)C OANQMIHEPFMBKR-UHFFFAOYSA-N 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 230000005012 migration Effects 0.000 description 4
- 238000013508 migration Methods 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- 238000000137 annealing Methods 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 150000001282 organosilanes Chemical class 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000002210 silicon-based material Substances 0.000 description 3
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- 150000001343 alkyl silanes Chemical class 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 125000004432 carbon atom Chemical group C* 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 125000005375 organosiloxane group Chemical group 0.000 description 2
- 125000004430 oxygen atom Chemical group O* 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000002861 polymer material Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 208000032750 Device leakage Diseases 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 125000005376 alkyl siloxane group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 150000001721 carbon Chemical group 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000007521 mechanical polishing technique Methods 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 238000007086 side reaction Methods 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
- H01L21/0212—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3121—Layers comprising organo-silicon compounds
- H01L21/3122—Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3127—Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
[0002]本発明は、集積回路の製造に関し、基板上に誘電体層を堆積する方法及び誘電体層によって形成された構造に関する。
[0003]現代の半導体デバイスの製造において主要なステップの一つは、ガスの化学反応により基板上に金属層と誘電体層を形成することである。そのような堆積プロセスは、化学気相堆積、又はCVDと呼ばれる。従来のCVDプロセスは、熱誘導化学反応又はエネルギー増強化学反応が起こる基板表面に反応性ガスを供給して所望の層を製造するものである。
メチルシラン CH3-SiH3
ジメチルシラン (CH3)2-SiH2
トリメチルシラン(TMS) (CH3)3-SiH
エチルシラン CH3-CH2-SiH3
ジシラノメタン SiH3-CH2-SiH3
ビス(メチルシラノ)メタン CH3-SiH2-CH2-SiH2-CH3
1,2-シラノエタン SiH3-CH2-CH2-SiH3
1,2-ビス(メチルシラノ)エタン CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-ジシラノプロパン SiH3-C(CH3)2-SiH3
1,3,5-トリシラノ-2,4,6-トリメチレン -(-SiH2CH2-)3-(環状)
[0028]適切な酸素含有有機ケイ素化合物としては、例えば、下記化合物の1種以上が含まれる。
ジメチルジメトキシラン (CH3)3-Si-(OCH3)2
1,3-ジメチルジシロキサン CH3-SiH2-O-SiH2-CH3
1,1,3,3-テトラメチルジシロキサン(TMDSO) (CH3)2-SiH-O-SiH-(CH3)2
ヘキサメチルジシロキサン(HMDS) (CH3)3-Si-O-Si-(CH3)3
1,3-ビス(シラノメチレン)ジシロキサン (SiH3-CH2-SiH2-)2-O
ビス(1-メチルジシロキサニル)メタン (CH3-SiH2-O-SiH2-)2-CH2
2,2-ビス(1-メチルジシロキサニル)プロパン (CH3-SiH2-O-SiH2-)2-C(CH3)
1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS) -(-SiHCH3-O-)4-(環状)
オクタメチルシクロテトラシロキサン(OMCTS) -(-Si(CH3)2-O-)4-(環状)
2,4,6,8,10-ペンタメチルシクロペンタシロキサン -(-SiHCH3-O-)5-(環状)
1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン -(SiH2-CH2-SiH2-O-)2-(環状)
ヘキサメチルシクロトリシロキサン -(-Si(CH3)2-O-)3-(環状)
上記のリストは、例示であり、本発明の範囲を制限するものとして解釈又は説明すべきではない。
[0050]バリヤ層として本明細書に記載された酸素ドープされた炭化ケイ素材料を用いて形成されるダマシン構造の一実施例は、図1に示されている。酸素ドープされた炭化ケイ素バリヤ層110は、一般的には、材料の中間層拡散を減少又は最少にするために基板表面上に本明細書に記載されたプロセスの酸素を含有しない有機ケイ素化合物と酸素含有有機ケイ素化合物を用いて堆積される。基板表面は、誘電体材料105に形成された金属形状107を含むことができる。
[0064]ダマシン構造の代替的実施形態においては、メタライゼーション構造をエッチングする場合、ダマシン構成を改善し且つダマシン構造のk材料を保護するためにフォトレジスト材料を堆積する前に誘電体層上にハードマスクとして本明細書に記載される酸素ドープされた炭化ケイ素材料がを堆積させることができる。本明細書に記載された炭化ケイ素をハードマスクとして酸素ドープされた炭化ケイ素膜を用いて形成されるダマシン構造の一実施例は、図3A〜図3Gに示され、それらは本発明のステップがその上に形成された基板の断面図である。
[0076]本明細書に記載された有機ケイ素化合物を基板表面上にバリヤ層として堆積させ、分析した。一例においては、炭化ケイ素膜を、酸素含有有機ケイ素前駆体と、酸素を含有しない有機ケイ素化合物を含有する混合前駆処理ガスから堆積させ、従来の炭化ケイ素前駆体、トリメチルシランからの炭化ケイ素膜と比較した。
Claims (50)
- 基板を処理する方法であって、
本質的に酸素と炭素とを含む化合物と、酸素を含有しない有機ケイ素化合物とからなる処理ガスを処理チャンバに導入するステップと、
該処理ガスを反応させて該基板上に誘電体材料を堆積させるステップと
を含み、該誘電体材料がケイ素と、酸素と、炭素とを含み、酸素含量が約15原子%以下である、前記方法。 - 該酸素を含有しない有機ケイ素化合物が、メチルシラン、ジメチルシラン、トリメチルシラン、エチルシラン、ジシラノメタン、ビス(メチルシラノ)メタン、1,2-シラノエタン、1,2-ビス(メチルシラノ)エタン、2,2-ジシラノプロパン、1,3,5-トリシラノ-2,4,6-トリメチレン、及びその組合せの群より選ばれた有機シラン化合物を含む、請求項1記載の方法。
- 酸素と炭素とを含む該化合物が、ジメチルジメトキシラン、1,3-ジメチルジシロキサン、1,1,3,3-テトラメチルジシロキサン(TMDSO)、ヘキサメチルジシロキサン(HMDS)、1,3-ビス(シラノメチレン)ジシロキサン、ビス(1-メチルジシロキサニル)メタン、2,2-ビス(1-メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、1,3,5-トリシラノ-2,4,6-トリメチレン、1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS)、オクタメチルシクロテトラシロキサン(OMCTS)、2,4,6,8,10-ペンタメチルシクロペンタシロキサン、1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、ヘキサメチルシクロトリシロキサン、及びその組合せの群より選ばれた酸素含有有機ケイ素化合物である、請求項2記載の方法。
- 該酸素含有有機ケイ素化合物と、該酸素を含有しない有機ケイ素化合物との比が約1:4〜約1:1である、請求項3記載の方法。
- 該誘電体材料が、ケイ素と、酸素と、炭素とを含み、酸素含量が約3原子%〜約10原子%の酸素である、請求項1記載の方法。
- 該処理ガスが、アルゴン、ヘリウム、ネオン、キセノン、又はクリプトン、及びその組合せの群より選ばれた不活性ガスを更に含む、請求項1記載の方法。
- 該酸素含有有機ケイ素化合物が、1,3,5,7-テトラメチルシクロテトラシロキサンを含み、該酸素を含有しない有機ケイ素化合物がトリメチルシランを含む、請求項3記載の方法。
- 該処理ガスを反応させるステップが、約0.03ワット/cm2〜約1500ワット/cm2の電力密度を加えることによりプラズマを生成させる工程を含む、請求項1記載の方法。
- 該酸素を含有しない有機ケイ素前駆体がSi-H結合を含む、請求項1記載の方法。
- 酸素と炭素とを含む該化合物が式CXHYOZを有し、Xは0〜2であり、Yは0〜2であり、Zは1〜3であり、ここで、X+Yは少なくとも1であり、X+Y+Zは3以下である、請求項2記載の方法。
- 酸素と炭素とを含む該化合物が式CXHYOZを有し、Xは0〜2であり、Yは0〜2であり、Zは1〜3であり、ここで、X+Yは少なくとも1であり、X+Y+Zは3以下である、請求項2記載の方法。
- 酸素と炭素とを含む該化合物が、二酸化炭素、一酸化炭素、水、及びその組合せの群より選ばれる、請求項11記載の方法。
- 酸素と炭素とを含む該化合物が二酸化炭素であり、該酸素を含有しない有機ケイ素化合物がトリメチルシランであり、不活性ガスがヘリウムである、請求項6記載の方法。
- 基板を処理する方法であって、
酸素含有有機ケイ素化合物と、酸素を含有しない有機ケイ素化合物とを含む処理ガスを反応させることにより該基板上にバリヤ層を堆積させるステップであって、該誘電体材料がケイ素と、酸素と、炭素とを含み、酸素含量が約5原子%以下である、前記ステップと、
金属間誘電体層を該バリヤ層上に堆積させるステップと
を含む、前記方法。 - 該酸素を含有しない有機ケイ素前駆体がSi-H結合を含む、請求項14記載の方法。
- 該酸素を含有しない有機ケイ素化合物が、メチルシラン、ジメチルシラン、トリメチルシラン、エチルシラン、ジシラノメタン、ビス(メチルシラノ)メタン、1,2-シラノエタン、1,2-ビス(メチルシラノ)エタン、2,2-ジシラノプロパン、1,3,5-トリシラノ-2,4,6-トリメチレン、及びその組合せの群より選ばれた有機シラン化合物を含む、請求項15記載の方法。
- 該酸素含有有機ケイ素化合物が、ジメチルジメトキシラン、1,3-ジメチルジシロキサン、1,1,3,3-テトラメチルジシロキサン(TMDSO)、ヘキサメチルジシロキサン(HMDS)、1,3-ビス(シラノメチレン)ジシロキサン、ビス(1-メチルジシロキサニル)メタン、2,2-ビス(1-メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、1,3,5-トリシラノ-2,4,6-トリメチレン、1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS)、オクタメチルシクロテトラシロキサン(OMCTS)、2,4,6,8,10-ペンタメチルシクロペンタシロキサン、1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、ヘキサメチルシクロトリシロキサン、及びその組合せの群より選ばれた有機シロキサン化合物を含む、請求項14記載の方法。
- 該酸素含有有機ケイ素化合物がケイ素-水素結合を含む有機シロキサン化合物を含み、1,3-ジメチルジシロキサン、1,1,3,3-テトラメチルジシロキサン(TMDSO)、1,3-ビス(シラノメチレン)ジシロキサン、ビス(1-メチルジシロキサニル)メタン、2,2-ビス(1-メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、1,3,5-トリシラノ-2,4,6-トリメチレン、1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS)、2,4,6,8,10-ペンタメチルシクロペンタシロキサン、1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、及びその組合せの群より選ばれる、請求項14記載の方法。
- 該酸素含有有機ケイ素化合物と、該酸素を含有しない有機ケイ素化合物との比が約1:4〜約1:1である、請求項14記載の方法。
- 該酸素含有有機ケイ素化合物が、1,3,5,7-テトラメチルシクロテトラシロキサンを含み、該酸素を含有しない有機ケイ素化合物がトリメチルシランを含む、請求項14記載の方法。
- 該誘電体材料が、ケイ素と、酸素と、炭素とを含み、酸素含量が約3原子%〜約10原子%である、請求項14記載の方法。
- 該処理ガスが、アルゴン、ヘリウム、ネオン、キセノン、又はクリプトン、及びその組合せの群より選ばれた不活性ガスを更に含む、請求項14記載の方法。
- 該処理ガスを反応させるステップが、電力密度を約0.03ワット/cm2〜約1500ワット/cm2で加えることによりプラズマを生成させる工程を含む、請求項14記載の方法。
- 該金属間誘電体層が
フォトレジスト材料をブランケット誘電体層上に堆積させるステップと、
該フォトレジスト層をパターン形成するステップと、
該ブランケット誘電体層と該バリヤ層をエッチングしてそれを通って相互接続開口を画成するステップと、
1種以上の導電材料を堆積させて該相互接続開口を充填するステップと
を含む方法によって堆積される、請求項14記載の方法。 - 基板を処理する方法であって、
少なくとも一つの誘電体層を基板表面上に堆積させるステップと、
ハードマスク層を少なくとも一つの誘電体層上に形成するステップであって、該ハードマスク層がプラズマ中に酸素含有有機ケイ素化合物を含む処理ガスを反応させてケイ素、炭素、約15原子%以下の酸素含量を含有する材料を堆積させることにより堆積される、前記ステップと、
該ハードマスク層の少なくとも一つの領域内にパターンを画成するステップと、
該ハードマスク層の該少なくとも一つの領域内に形成された該パターンによって該少なくとも一つの誘電体層内に形状画成を形成するステップと、
導電材料を該形状画成内に堆積させるステップと、
該導電材料を研磨するステップであって、該研磨プロセスの該導電材料と該ハードマスク層との除去速度比が4:1以上である、前記ステップと
を含む、前記方法。 - 該少なくとも一つの誘電体層の少なくとも一つが、ケイ素と、酸素と、炭素とを含み、誘電率が約3以下である、請求項25記載の方法。
- 該酸素含有有機ケイ素化合物が、ジメチルジメトキシラン、1,3-ジメチルジシロキサン、1,1,3,3-テトラメチルジシロキサン(TMDSO)、ヘキサメチルジシロキサン(HMDS)、1,3-ビス(シラノメチレン)ジシロキサン、ビス(1-メチルジシロキサニル)メタン、2,2-ビス(1-メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、1,3,5-トリシラノ-2,4,6-トリメチレン、1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS)、オクタメチルシクロテトラシロキサン(OMCTS)、2,4,6,8,10-ペンタメチルシクロペンタシロキサン、1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、ヘキサメチルシクロトリシロキサン、及びその組合せの群より選ばれた有機ケイ素化合物を含む、請求項25記載の方法。
- 該導電材料と該ハードマスク層との該除去速度比が約4.5:1より大きい、請求項25記載の方法。
- 該処理ガスが、酸素を含有しない有機ケイ素化合物を更に含む、請求項25記載の方法。
- 該酸素を含有しない有機ケイ素化合物が、メチルシラン、ジメチルシラン、トリメチルシラン、エチルシラン、ジシラノメタン、ビス(メチルシラノ)メタン、1,2-ジシラノエタン、1,2-ビス(メチルシラノ)エタン、2,2-ジシラノプロパン、1,3,5-トリシラノ-2,4,6-トリメチレン、及びその組合せの群より選ばれた有機シラン化合物を含む、請求項29記載の方法。
- 該酸素含有有機ケイ素化合物と、該酸素を含有しない有機ケイ素化合物との比が約1:4〜約1:1である、請求項29記載の方法。
- 該酸素含有有機ケイ素化合物が1,3,5,7-テトラメチルシクロテトラシロキサンを含み、該酸素を含有しない有機ケイ素化合物がトリメチルシランを含む、請求項29記載の方法。
- 該ハードマスク層が、ケイ素と、酸素と、炭素とを含み、酸素含量が約3原子%〜約10原子%である、請求項25記載の方法。
- 該処理ガスが、アルゴン、ヘリウム、ネオン、キセノン、又はクリプトン、及びその組合せの群より選ばれた不活性ガスを更に含む、請求項25記載の方法。
- プラズマ中で酸素含有有機ケイ素化合物を含む処理ガスを反応させるステップであって、酸素含有有機ケイ素化合物を該処理チャンバへ約3000sccm以下の流量で導入する工程と、不活性ガスを該処理チャンバへ約5000sccm以下の流速で導入する工程と、該処理チャンバを約1Torr〜約12Torrの圧力で維持する工程と、該基板温度を約200℃〜約450℃に維持する工程と、200mm基板の電力レベルを約200ワット〜約1000ワットで該処理チャンバへ供給することにより該プラズマを生成させる工程とを含む、請求項25記載の方法。
- 該処理ガスを反応させるステップが、電力密度を約0.03ワット/cm2〜約1500ワット/cm2で加えることによりプラズマを生成させる工程を含む、請求項25記載の方法。
- 該酸素含有有機ケイ素化合物がケイ素-水素結合を有する有機シロキサン化合物を含み、1,3-ジメチルジシロキサン、1,1,3,3-テトラメチルジシロキサン(TMDSO)、1,3-ビス(シラノメチレン)ジシロキサン、ビス(1-メチルジシロキサニル)メタン、2,2-ビス(1-メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、1,3,5-トリシラノ-2,4,6-トリメチレン、1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS)、2,4,6,8,10-ペンタメチルシクロペンタシロキサン、1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、及びその組合せの群より選ばれる、請求項25記載の方法。
- 基板を処理する方法であって、
本質的に二酸化炭素と、酸素を含有しない有機ケイ素化合物からなる処理ガスを約0.03ワット/cm2〜約1500ワット/cm2の電力密度で生成したプラズマ中で反応させることによりバリヤ層を該基板上に堆積させるステップであって、酸素を含有しない有機ケイ素化合物がケイ素-水素結合を含み、該誘電材料がケイ素と、酸素と、炭素とを含み、酸素含量が約15原子%以下である、前記ステップを含む、前記方法。 - 該酸素を含有しない有機ケイ素化合物が、メチルシラン、ジメチルシラン、トリメチルシラン、エチルシラン、ジシラノメタン、ビス(メチルシラノ)メタン、1,2-ジシラノエタン、1,2-ビス(メチルシラノ)エタン、2,2-ジシラノプロパン、1,3,5-トリシラノ-2,4,6-トリメチレン、及びその組合せの群より選ばれた有機シラン化合物を含む、請求項38記載の方法。
- 該処理ガス中の該二酸化炭素と、該酸素を含有しない有機ケイ素化合物との比が約1:3〜約1:1である、請求項38記載の方法。
- 該酸素を含有しない有機ケイ素化合物がトリメチルシランを含む、請求項38記載の方法。
- 該誘電材料が、ケイ素と、酸素と、炭素とを含み、酸素含量が約3原子%〜約10原子%である、請求項38記載の方法。
- 該処理ガスが、アルゴン、ヘリウム、ネオン、キセノン、又はクリプトン、及びその組合せの群より選ばれた不活性ガスを更に含む、請求項38記載の方法。
- 該金属間誘電体層が、
フォトレジスト材料をブランケット誘電体層上に堆積させるステップと、
該フォトレジスト層をパターン形成するステップと、
該ブランケット誘電体層と該バリヤ層をエッチングしてそれを通って相互接続開口を画成するステップと、
1種以上の導電材料を堆積して該相互接続開口を充填するステップと
を含む方法で堆積される、請求項38記載の方法。 - 該処理ガスが酸素含有有機ケイ素化合物を更に含む、請求項38記載の方法。
- 該酸素含有有機ケイ素化合物が、ジメチルジメトキシラン、1,3-ジメチルジシロキサン、1,1,3,3-テトラメチルジシロキサン(TMDSO)、ヘキサメチルジシロキサン(HMDS)、1,3-ビス(シラノメチレン)ジシロキサン、ビス(1-メチルジシロキサニル)メタン、2,2-ビス(1-メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、1,3,5-トリシラノ-2,4,6-トリメチレン、1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS)、オクタメチルシクロテトラシロキサン(OMCTS)、2,4,6,8,10-ペンタメチルシクロペンタシロキサン、1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、ヘキサメチルシクロトリシロキサン、及びその組合せの群より選ばれた有機シロキサン化合物を含む、請求項45記載の方法。
- 該酸素含有有機ケイ素化合物がケイ素-水素結合を有する有機シロキサン化合物を含み、1,3-ジメチルジシロキサン、1,1,3,3-テトラメチルジシロキサン(TMDSO)、1,3-ビス(シラノメチレン)ジシロキサン、ビス(1-メチルジシロキサニル)メタン、2,2-ビス(1-メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、1,3,5-トリシラノ-2,4,6-トリメチレン、1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS)、2,4,6,8,10-ペンタメチルシクロペンタシロキサン、1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、及びその組合せの群より選ばれる、請求項45記載の方法。
- 該酸素含有有機ケイ素化合物と、該酸素を含有しない有機ケイ素化合物との比が約1:4〜1:1である、請求項45記載の方法。
- 該酸素含有有機ケイ素化合物が、1,3,5,7-テトラメチルシクロテトラシロキサンを含み、該酸素を含有しない有機ケイ素化合物がトリメチルシランを含む、請求項47記載の方法。
- 酸素と炭素とを含む該化合物がケイ素-水素結合を有する有機シロキサン化合物であり、1,3-ジメチルジシロキサン、1,1,3,3-テトラメチルジシロキサン(TMDSO)、1,3-ビス(シラノメチレン)ジシロキサン、ビス(1-メチルジシロキサニル)メタン、2,2-ビス(1-メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、1,3,5-トリシラノ-2,4,6-トリメチレン、1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS)、2,4,6,8,10-ペンタメチルシクロペンタシロキサン、1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、及びその組合せの群より選ばれる、請求項49記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US34061501P | 2001-12-14 | 2001-12-14 | |
US10/196,498 US6890850B2 (en) | 2001-12-14 | 2002-07-15 | Method of depositing dielectric materials in damascene applications |
PCT/US2002/040034 WO2003052162A1 (en) | 2001-12-14 | 2002-12-13 | A method of depositing dielectric materials in damascene applications |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2005513766A true JP2005513766A (ja) | 2005-05-12 |
Family
ID=26891965
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003553028A Pending JP2005513766A (ja) | 2001-12-14 | 2002-12-13 | ダマシン適用において誘電体材料を堆積する方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US6890850B2 (ja) |
EP (1) | EP1456434A1 (ja) |
JP (1) | JP2005513766A (ja) |
KR (1) | KR100960755B1 (ja) |
WO (1) | WO2003052162A1 (ja) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005294333A (ja) * | 2004-03-31 | 2005-10-20 | Semiconductor Process Laboratory Co Ltd | 成膜方法及び半導体装置 |
JP2007258403A (ja) * | 2006-03-23 | 2007-10-04 | United Microelectronics Corp | 多孔性低誘電率薄膜及びその製作方法 |
WO2008020592A1 (fr) | 2006-08-15 | 2008-02-21 | Jsr Corporation | Matériau filmogène, film isolant contenant du silicium et procédé de formation de celui-ci |
JP2008147644A (ja) * | 2006-11-21 | 2008-06-26 | Applied Materials Inc | ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法 |
WO2008099811A1 (ja) | 2007-02-14 | 2008-08-21 | Jsr Corporation | ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法 |
JP2009509319A (ja) * | 2005-09-19 | 2009-03-05 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 金属相互接続構造体 |
JP2012513117A (ja) * | 2008-12-18 | 2012-06-07 | アプライド マテリアルズ インコーポレイテッド | 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加 |
JP2016135842A (ja) * | 2014-11-03 | 2016-07-28 | エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated | シリコン系膜及びその形成方法 |
Families Citing this family (228)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6821571B2 (en) * | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6879046B2 (en) * | 2001-06-28 | 2005-04-12 | Agere Systems Inc. | Split barrier layer including nitrogen-containing portion and oxygen-containing portion |
US6759327B2 (en) | 2001-10-09 | 2004-07-06 | Applied Materials Inc. | Method of depositing low k barrier layers |
US6838393B2 (en) * | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
US7091137B2 (en) * | 2001-12-14 | 2006-08-15 | Applied Materials | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications |
US6890850B2 (en) * | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6897144B1 (en) * | 2002-03-20 | 2005-05-24 | Advanced Micro Devices, Inc. | Cu capping layer deposition with improved integrated circuit reliability |
US6936309B2 (en) * | 2002-04-02 | 2005-08-30 | Applied Materials, Inc. | Hardness improvement of silicon carboxy films |
US7749563B2 (en) * | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
US7132369B2 (en) * | 2002-12-31 | 2006-11-07 | Applied Materials, Inc. | Method of forming a low-K dual damascene interconnect structure |
US20040124420A1 (en) * | 2002-12-31 | 2004-07-01 | Lin Simon S.H. | Etch stop layer |
US6790788B2 (en) * | 2003-01-13 | 2004-09-14 | Applied Materials Inc. | Method of improving stability in low k barrier layers |
US7238393B2 (en) * | 2003-02-13 | 2007-07-03 | Asm Japan K.K. | Method of forming silicon carbide films |
US6913992B2 (en) * | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
US7208389B1 (en) | 2003-03-31 | 2007-04-24 | Novellus Systems, Inc. | Method of porogen removal from porous low-k films using UV radiation |
US20040253378A1 (en) * | 2003-06-12 | 2004-12-16 | Applied Materials, Inc. | Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes |
JP4032044B2 (ja) * | 2003-06-17 | 2008-01-16 | 株式会社半導体プロセス研究所 | 成膜方法、半導体装置の製造方法及び半導体装置 |
US20050037153A1 (en) * | 2003-08-14 | 2005-02-17 | Applied Materials, Inc. | Stress reduction of sioc low k films |
US6849561B1 (en) * | 2003-08-18 | 2005-02-01 | Asm Japan K.K. | Method of forming low-k films |
US20050062164A1 (en) * | 2003-09-23 | 2005-03-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for improving time dependent dielectric breakdown lifetimes |
US7420275B1 (en) | 2003-09-24 | 2008-09-02 | Novellus Systems, Inc. | Boron-doped SIC copper diffusion barrier films |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US6903004B1 (en) * | 2003-12-16 | 2005-06-07 | Freescale Semiconductor, Inc. | Method of making a semiconductor device having a low K dielectric |
US7030468B2 (en) * | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7341761B1 (en) | 2004-03-11 | 2008-03-11 | Novellus Systems, Inc. | Methods for producing low-k CDO films |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US7288284B2 (en) * | 2004-03-26 | 2007-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post-cleaning chamber seasoning method |
US7196423B2 (en) * | 2004-03-26 | 2007-03-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure with dielectric barrier and fabrication method thereof |
US7547643B2 (en) * | 2004-03-31 | 2009-06-16 | Applied Materials, Inc. | Techniques promoting adhesion of porous low K film to underlying barrier layer |
US7781351B1 (en) | 2004-04-07 | 2010-08-24 | Novellus Systems, Inc. | Methods for producing low-k carbon doped oxide films with low residual stress |
US6949472B1 (en) * | 2004-05-03 | 2005-09-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for high kinetic energy plasma barrier deposition |
US20050277302A1 (en) * | 2004-05-28 | 2005-12-15 | Nguyen Son V | Advanced low dielectric constant barrier layers |
US7282438B1 (en) | 2004-06-15 | 2007-10-16 | Novellus Systems, Inc. | Low-k SiC copper diffusion barrier films |
US7229041B2 (en) * | 2004-06-30 | 2007-06-12 | Ohio Central Steel Company | Lifting lid crusher |
US7422776B2 (en) * | 2004-08-24 | 2008-09-09 | Applied Materials, Inc. | Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD) |
US7166544B2 (en) * | 2004-09-01 | 2007-01-23 | Applied Materials, Inc. | Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors |
US7695765B1 (en) | 2004-11-12 | 2010-04-13 | Novellus Systems, Inc. | Methods for producing low-stress carbon-doped oxide films with improved integration properties |
US20060199386A1 (en) * | 2004-12-27 | 2006-09-07 | Jim-Jey Huang | Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same |
US7253123B2 (en) * | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US7166531B1 (en) | 2005-01-31 | 2007-01-23 | Novellus Systems, Inc. | VLSI fabrication processes for introducing pores into dielectric materials |
JP5180426B2 (ja) * | 2005-03-11 | 2013-04-10 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7704887B2 (en) * | 2005-11-22 | 2010-04-27 | Applied Materials, Inc. | Remote plasma pre-clean with low hydrogen pressure |
US20070134435A1 (en) * | 2005-12-13 | 2007-06-14 | Ahn Sang H | Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films |
KR101350908B1 (ko) * | 2006-12-05 | 2014-01-16 | 부산대학교 산학협력단 | 액정 표시 패널 및 그 제조 방법 |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
KR20090104896A (ko) * | 2007-01-26 | 2009-10-06 | 어플라이드 머티어리얼스, 인코포레이티드 | 공기―갭 ild를 위한 pecvd-증착된 희생 폴리머 필름의 uv 경화 |
WO2008094792A1 (en) * | 2007-01-29 | 2008-08-07 | Applied Materials, Inc. | Novel air gap integration scheme |
US7915166B1 (en) | 2007-02-22 | 2011-03-29 | Novellus Systems, Inc. | Diffusion barrier and etch stop films |
US7878054B2 (en) * | 2007-02-28 | 2011-02-01 | The Boeing Company | Barrier coatings for polymeric substrates |
US8173537B1 (en) | 2007-03-29 | 2012-05-08 | Novellus Systems, Inc. | Methods for reducing UV and dielectric diffusion barrier interaction |
WO2009008424A1 (ja) * | 2007-07-10 | 2009-01-15 | Jsr Corporation | ケイ素化合物の製造方法 |
US7879683B2 (en) * | 2007-10-09 | 2011-02-01 | Applied Materials, Inc. | Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay |
US20090093100A1 (en) * | 2007-10-09 | 2009-04-09 | Li-Qun Xia | Method for forming an air gap in multilevel interconnect structure |
US8124522B1 (en) | 2008-04-11 | 2012-02-28 | Novellus Systems, Inc. | Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties |
FR2930561B1 (fr) * | 2008-04-28 | 2011-01-14 | Altatech Semiconductor | Dispositif et procede de traitement chimique en phase vapeur. |
US8247332B2 (en) * | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
US8349746B2 (en) * | 2010-02-23 | 2013-01-08 | Applied Materials, Inc. | Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8524600B2 (en) | 2011-03-31 | 2013-09-03 | Applied Materials, Inc. | Post deposition treatments for CVD cobalt films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US10211310B2 (en) | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
CN110391177A (zh) * | 2013-09-29 | 2019-10-29 | 格罗方德半导体公司 | 自组装单层原位气相沉积作为铜助粘剂及扩散阻障件的方法 |
US9371579B2 (en) | 2013-10-24 | 2016-06-21 | Lam Research Corporation | Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9281238B2 (en) * | 2014-07-11 | 2016-03-08 | United Microelectronics Corp. | Method for fabricating interlayer dielectric layer |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9754822B1 (en) * | 2016-03-02 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10199500B2 (en) | 2016-08-02 | 2019-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-layer film device and method |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US9837270B1 (en) | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR20230085953A (ko) | 2018-10-19 | 2023-06-14 | 램 리써치 코포레이션 | 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US20230155001A1 (en) * | 2021-11-12 | 2023-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual Damascene Structure in Forming Source/Drain Contacts |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09260369A (ja) * | 1996-03-25 | 1997-10-03 | Toshiba Corp | 絶縁膜の形成方法 |
JPH1044160A (ja) * | 1996-07-29 | 1998-02-17 | Tdk Corp | 成形金型とその製造方法 |
JP2001185547A (ja) * | 1999-10-18 | 2001-07-06 | Applied Materials Inc | 極限低誘電率膜のためのキャッピング層 |
JP2001196365A (ja) * | 2000-01-11 | 2001-07-19 | Fujitsu Vlsi Ltd | 成膜方法、成膜装置及び半導体装置の製造方法 |
JP2001326224A (ja) * | 2000-02-28 | 2001-11-22 | Canon Sales Co Inc | 半導体装置及びその製造方法 |
JP2001332550A (ja) * | 2000-05-24 | 2001-11-30 | Canon Sales Co Inc | 半導体装置及びその製造方法 |
WO2002054484A2 (en) * | 2001-01-03 | 2002-07-11 | Dow Corning Corporation | Metal ion diffusion barrier layers |
WO2002077320A1 (en) * | 2001-03-23 | 2002-10-03 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films |
WO2003005438A2 (en) * | 2001-07-02 | 2003-01-16 | Dow Corning Corporation | Improved metal barrier behavior by sic:h deposition on porous materials |
Family Cites Families (137)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1147014A (en) | 1967-01-27 | 1969-04-02 | Westinghouse Electric Corp | Improvements in diffusion masking |
US4262631A (en) | 1979-10-01 | 1981-04-21 | Kubacki Ronald M | Thin film deposition apparatus using an RF glow discharge |
JPS59128281A (ja) | 1982-12-29 | 1984-07-24 | 信越化学工業株式会社 | 炭化けい素被覆物の製造方法 |
JPH07111957B2 (ja) | 1984-03-28 | 1995-11-29 | 圭弘 浜川 | 半導体の製法 |
US4649071A (en) | 1984-04-28 | 1987-03-10 | Kabushiki Kaisha Toyota Chuo Kenkyusho | Composite material and process for producing the same |
US4759947A (en) | 1984-10-08 | 1988-07-26 | Canon Kabushiki Kaisha | Method for forming deposition film using Si compound and active species from carbon and halogen compound |
US4795947A (en) | 1984-11-16 | 1989-01-03 | Deutsche Thomson-Brandt Gmbh | Device for eliminating the interline flicker |
US5000178A (en) | 1986-05-23 | 1991-03-19 | Lti Biomedical, Inc. | Shielded electromagnetic transducer |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US4872947A (en) | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4895734A (en) | 1987-03-31 | 1990-01-23 | Hitachi Chemical Company, Ltd. | Process for forming insulating film used in thin film electroluminescent device |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US4981724A (en) | 1988-10-27 | 1991-01-01 | Hochberg Arthur K | Deposition of silicon oxide films using alkylsilane liquid sources |
US5003178A (en) | 1988-11-14 | 1991-03-26 | Electron Vision Corporation | Large-area uniform electron source |
US5011706A (en) | 1989-04-12 | 1991-04-30 | Dow Corning Corporation | Method of forming coatings containing amorphous silicon carbide |
JPH03105974A (ja) | 1989-09-19 | 1991-05-02 | Kobe Steel Ltd | 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法 |
EP0449117A3 (en) | 1990-03-23 | 1992-05-06 | Matsushita Electric Industrial Co., Ltd. | Organic polymer and preparation and use thereof |
WO1992012535A1 (en) | 1991-01-08 | 1992-07-23 | Fujitsu Limited | Process for forming silicon oxide film |
US5525550A (en) | 1991-05-21 | 1996-06-11 | Fujitsu Limited | Process for forming thin films by plasma CVD for use in the production of semiconductor devices |
US5242530A (en) | 1991-08-05 | 1993-09-07 | International Business Machines Corporation | Pulsed gas plasma-enhanced chemical vapor deposition of silicon |
US5238866A (en) | 1991-09-11 | 1993-08-24 | GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate | Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating |
US5224441A (en) | 1991-09-27 | 1993-07-06 | The Boc Group, Inc. | Apparatus for rapid plasma treatments and method |
US5472829A (en) | 1991-12-30 | 1995-12-05 | Sony Corporation | Method of forming a resist pattern by using an anti-reflective layer |
US5472827A (en) | 1991-12-30 | 1995-12-05 | Sony Corporation | Method of forming a resist pattern using an anti-reflective layer |
US5480300A (en) | 1992-05-15 | 1996-01-02 | Shin-Etsu Quartz Products Co. Ltd. | Vertical heat-treating apparatus and heat insulator |
US5298597A (en) | 1992-09-18 | 1994-03-29 | Industrial Technology Research Institute | Aqueous preparation of polyamide with catalyst mixture |
JP2734915B2 (ja) | 1992-11-18 | 1998-04-02 | 株式会社デンソー | 半導体のドライエッチング方法 |
JP2684942B2 (ja) | 1992-11-30 | 1997-12-03 | 日本電気株式会社 | 化学気相成長法と化学気相成長装置および多層配線の製造方法 |
US5298587A (en) | 1992-12-21 | 1994-03-29 | The Dow Chemical Company | Protective film for articles and method |
US5360491A (en) | 1993-04-07 | 1994-11-01 | The United States Of America As Represented By The United States Department Of Energy | β-silicon carbide protective coating and method for fabricating same |
US5465680A (en) | 1993-07-01 | 1995-11-14 | Dow Corning Corporation | Method of forming crystalline silicon carbide coatings |
US5468978A (en) | 1993-07-07 | 1995-11-21 | Dowben; Peter A. | Forming B1-x Cx semiconductor devices by chemical vapor deposition |
US5433786A (en) | 1993-08-27 | 1995-07-18 | The Dow Chemical Company | Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein |
JP2899600B2 (ja) | 1994-01-25 | 1999-06-02 | キヤノン販売 株式会社 | 成膜方法 |
US5618619A (en) | 1994-03-03 | 1997-04-08 | Monsanto Company | Highly abrasion-resistant, flexible coatings for soft substrates |
JPH07245332A (ja) | 1994-03-04 | 1995-09-19 | Hitachi Ltd | 半導体製造装置および半導体装置の製造方法ならびに半導体装置 |
US5565084A (en) | 1994-10-11 | 1996-10-15 | Qnix Computer Co., Ltd. | Electropolishing methods for etching substrate in self alignment |
US5607773A (en) | 1994-12-20 | 1997-03-04 | Texas Instruments Incorporated | Method of forming a multilevel dielectric |
EP0720223B1 (en) | 1994-12-30 | 2003-03-26 | STMicroelectronics S.r.l. | Process for the production of a semiconductor device having better interface adhesion between dielectric layers |
US5818071A (en) | 1995-02-02 | 1998-10-06 | Dow Corning Corporation | Silicon carbide metal diffusion barrier layer |
US5710067A (en) | 1995-06-07 | 1998-01-20 | Advanced Micro Devices, Inc. | Silicon oxime film |
US5623160A (en) | 1995-09-14 | 1997-04-22 | Liberkowski; Janusz B. | Signal-routing or interconnect substrate, structure and apparatus |
US5789776A (en) | 1995-09-22 | 1998-08-04 | Nvx Corporation | Single poly memory cell and array |
US5638251A (en) | 1995-10-03 | 1997-06-10 | Advanced Refractory Technologies, Inc. | Capacitive thin films using diamond-like nanocomposite materials |
JPH09237785A (ja) | 1995-12-28 | 1997-09-09 | Toshiba Corp | 半導体装置およびその製造方法 |
US5741626A (en) | 1996-04-15 | 1998-04-21 | Motorola, Inc. | Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC) |
US5780163A (en) | 1996-06-05 | 1998-07-14 | Dow Corning Corporation | Multilayer coating for microelectronic devices |
US5869396A (en) | 1996-07-15 | 1999-02-09 | Chartered Semiconductor Manufacturing Ltd. | Method for forming a polycide gate electrode |
US5989998A (en) | 1996-08-29 | 1999-11-23 | Matsushita Electric Industrial Co., Ltd. | Method of forming interlayer insulating film |
DE19637334A1 (de) | 1996-09-13 | 1998-03-19 | Bayer Ag | Stabilisierte blockierte Isocyanate |
US5730792A (en) | 1996-10-04 | 1998-03-24 | Dow Corning Corporation | Opaque ceramic coatings |
US5711987A (en) | 1996-10-04 | 1998-01-27 | Dow Corning Corporation | Electronic coatings |
US5776235A (en) | 1996-10-04 | 1998-07-07 | Dow Corning Corporation | Thick opaque ceramic coatings |
US5855681A (en) | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5789316A (en) | 1997-03-10 | 1998-08-04 | Vanguard International Semiconductor Corporation | Self-aligned method for forming a narrow via |
US6080526A (en) | 1997-03-24 | 2000-06-27 | Alliedsignal Inc. | Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation |
US5817579A (en) | 1997-04-09 | 1998-10-06 | Vanguard International Semiconductor Corporation | Two step plasma etch method for forming self aligned contact |
US5976979A (en) | 1997-06-10 | 1999-11-02 | Industrial Technology Research Institute | Sequential oxygen plasma treatment and chemical mechanical polish (CMP) planarizing method for forming planarized low dielectric constant dielectric layer |
JPH1116904A (ja) | 1997-06-26 | 1999-01-22 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
WO1999004911A1 (en) | 1997-07-28 | 1999-02-04 | Massachusetts Institute Of Technology | Pyrolytic chemical vapor deposition of silicone films |
US5926740A (en) | 1997-10-27 | 1999-07-20 | Micron Technology, Inc. | Graded anti-reflective coating for IC lithography |
KR19990030660A (ko) | 1997-10-02 | 1999-05-06 | 윤종용 | 전자빔을 이용한 반도체장치의 층간 절연막 형성방법 |
US6051321A (en) | 1997-10-24 | 2000-04-18 | Quester Technology, Inc. | Low dielectric constant materials and method |
US6291334B1 (en) | 1997-12-19 | 2001-09-18 | Applied Materials, Inc. | Etch stop layer for dual damascene process |
US6555476B1 (en) * | 1997-12-23 | 2003-04-29 | Texas Instruments Incorporated | Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric |
US6107192A (en) | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
US6140226A (en) | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US6432846B1 (en) | 1999-02-02 | 2002-08-13 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6514880B2 (en) | 1998-02-05 | 2003-02-04 | Asm Japan K.K. | Siloxan polymer film on semiconductor substrate and method for forming same |
TW437017B (en) | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6627532B1 (en) * | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6660656B2 (en) * | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
JP3305251B2 (ja) | 1998-02-26 | 2002-07-22 | 松下電器産業株式会社 | 配線構造体の形成方法 |
US6068884A (en) | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6159871A (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6060132A (en) | 1998-06-15 | 2000-05-09 | Siemens Aktiengesellschaft | High density plasma CVD process for making dielectric anti-reflective coatings |
US6316167B1 (en) | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6071809A (en) | 1998-09-25 | 2000-06-06 | Rockwell Semiconductor Systems, Inc. | Methods for forming high-performing dual-damascene interconnect structures |
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6528426B1 (en) * | 1998-10-16 | 2003-03-04 | Texas Instruments Incorporated | Integrated circuit interconnect and method |
US6169039B1 (en) | 1998-11-06 | 2001-01-02 | Advanced Micro Devices, Inc. | Electron bean curing of low-k dielectrics in integrated circuits |
CN1116343C (zh) * | 1998-12-22 | 2003-07-30 | 弗门尼舍有限公司 | 具有吸附性的多孔聚甲基倍半硅氧烷 |
JP3353743B2 (ja) | 1999-05-18 | 2002-12-03 | 日本電気株式会社 | 半導体装置とその製造方法 |
US6312793B1 (en) | 1999-05-26 | 2001-11-06 | International Business Machines Corporation | Multiphase low dielectric constant material |
US6204201B1 (en) | 1999-06-11 | 2001-03-20 | Electron Vision Corporation | Method of processing films prior to chemical vapor deposition using electron beam processing |
US6436824B1 (en) | 1999-07-02 | 2002-08-20 | Chartered Semiconductor Manufacturing Ltd. | Low dielectric constant materials for copper damascene |
US6114259A (en) | 1999-07-27 | 2000-09-05 | Lsi Logic Corporation | Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage |
US6399489B1 (en) | 1999-11-01 | 2002-06-04 | Applied Materials, Inc. | Barrier layer deposition using HDP-CVD |
FR2802336B1 (fr) * | 1999-12-13 | 2002-03-01 | St Microelectronics Sa | Structure d'interconnexions de type damascene et son procede de realisation |
JP3348084B2 (ja) | 1999-12-28 | 2002-11-20 | キヤノン販売株式会社 | 成膜方法及び半導体装置 |
US6331494B1 (en) | 1999-12-30 | 2001-12-18 | Novellus Systems, Inc. | Deposition of low dielectric constant thin film without use of an oxidizer |
US6582777B1 (en) | 2000-02-17 | 2003-06-24 | Applied Materials Inc. | Electron beam modification of CVD deposited low dielectric constant materials |
US6410462B1 (en) | 2000-05-12 | 2002-06-25 | Sharp Laboratories Of America, Inc. | Method of making low-K carbon doped silicon oxide |
JP4659329B2 (ja) | 2000-06-26 | 2011-03-30 | ルネサスエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US6764958B1 (en) | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6703265B2 (en) * | 2000-08-02 | 2004-03-09 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method of manufacturing the same |
US6573196B1 (en) * | 2000-08-12 | 2003-06-03 | Applied Materials Inc. | Method of depositing organosilicate layers |
US6365527B1 (en) | 2000-10-06 | 2002-04-02 | United Microelectronics Corp. | Method for depositing silicon carbide in semiconductor devices |
US6756323B2 (en) | 2001-01-25 | 2004-06-29 | International Business Machines Corporation | Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
KR100586133B1 (ko) | 2000-10-25 | 2006-06-07 | 인터내셔널 비지네스 머신즈 코포레이션 | 반도체 장치에서 레벨내 또는 레벨간 유전체로서의 극저유전상수 물질, 이의 제조방법 및 상기 물질을 함유하는전자 장치 |
US6790789B2 (en) | 2000-10-25 | 2004-09-14 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made |
US6441491B1 (en) | 2000-10-25 | 2002-08-27 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same |
US6500773B1 (en) | 2000-11-27 | 2002-12-31 | Applied Materials, Inc. | Method of depositing organosilicate layers |
US6340628B1 (en) | 2000-12-12 | 2002-01-22 | Novellus Systems, Inc. | Method to deposit SiOCH films with dielectric constant below 3.0 |
JP3545364B2 (ja) * | 2000-12-19 | 2004-07-21 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
US6583048B2 (en) | 2001-01-17 | 2003-06-24 | Air Products And Chemicals, Inc. | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
US6429121B1 (en) | 2001-02-07 | 2002-08-06 | Advanced Micro Devices, Inc. | Method of fabricating dual damascene with silicon carbide via mask/ARC |
US6537733B2 (en) * | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US6532150B2 (en) * | 2001-05-31 | 2003-03-11 | American Megatrends, Inc. | Disk drive carrier apparatus and associated method |
US6486082B1 (en) | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
US6875699B1 (en) * | 2001-06-21 | 2005-04-05 | Lam Research Corporation | Method for patterning multilevel interconnects |
US6879046B2 (en) | 2001-06-28 | 2005-04-12 | Agere Systems Inc. | Split barrier layer including nitrogen-containing portion and oxygen-containing portion |
US6562725B2 (en) * | 2001-07-05 | 2003-05-13 | Taiwan Semiconductor Manufacturing Co., Ltd | Dual damascene structure employing nitrogenated silicon carbide and non-nitrogenated silicon carbide etch stop layers |
AU2002323040A1 (en) * | 2001-08-06 | 2003-02-24 | Advanced Technology Material, Inc. | Low-k dielectric thin films and chemical vapor deposition method of making same |
US6573193B2 (en) * | 2001-08-13 | 2003-06-03 | Taiwan Semiconductor Manufacturing Co., Ltd | Ozone-enhanced oxidation for high-k dielectric semiconductor devices |
US20030040195A1 (en) * | 2001-08-27 | 2003-02-27 | Ting-Chang Chang | Method for fabricating low dielectric constant material film |
US6887780B2 (en) * | 2001-08-31 | 2005-05-03 | Intel Corporation | Concentration graded carbon doped oxide |
US6759327B2 (en) * | 2001-10-09 | 2004-07-06 | Applied Materials Inc. | Method of depositing low k barrier layers |
US6656837B2 (en) * | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
US20030085408A1 (en) * | 2001-11-02 | 2003-05-08 | Neng-Hui Yang | Oxygen-doped silicon carbide etch stop layer |
JP4152619B2 (ja) | 2001-11-14 | 2008-09-17 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US6838393B2 (en) * | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
US6890850B2 (en) * | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US20030194495A1 (en) | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric |
US20030211244A1 (en) | 2002-04-11 | 2003-11-13 | Applied Materials, Inc. | Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric |
US20030194496A1 (en) * | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Methods for depositing dielectric material |
US7384471B2 (en) | 2002-04-17 | 2008-06-10 | Air Products And Chemicals, Inc. | Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants |
US6846515B2 (en) | 2002-04-17 | 2005-01-25 | Air Products And Chemicals, Inc. | Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants |
US7105460B2 (en) * | 2002-07-11 | 2006-09-12 | Applied Materials | Nitrogen-free dielectric anti-reflective coating and hardmask |
-
2002
- 2002-07-15 US US10/196,498 patent/US6890850B2/en not_active Expired - Fee Related
- 2002-12-13 WO PCT/US2002/040034 patent/WO2003052162A1/en active Application Filing
- 2002-12-13 KR KR1020047009222A patent/KR100960755B1/ko not_active IP Right Cessation
- 2002-12-13 JP JP2003553028A patent/JP2005513766A/ja active Pending
- 2002-12-13 EP EP02805144A patent/EP1456434A1/en not_active Withdrawn
-
2005
- 2005-04-28 US US11/118,678 patent/US7151053B2/en not_active Expired - Fee Related
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09260369A (ja) * | 1996-03-25 | 1997-10-03 | Toshiba Corp | 絶縁膜の形成方法 |
JPH1044160A (ja) * | 1996-07-29 | 1998-02-17 | Tdk Corp | 成形金型とその製造方法 |
JP2001185547A (ja) * | 1999-10-18 | 2001-07-06 | Applied Materials Inc | 極限低誘電率膜のためのキャッピング層 |
JP2001196365A (ja) * | 2000-01-11 | 2001-07-19 | Fujitsu Vlsi Ltd | 成膜方法、成膜装置及び半導体装置の製造方法 |
JP2001326224A (ja) * | 2000-02-28 | 2001-11-22 | Canon Sales Co Inc | 半導体装置及びその製造方法 |
JP2001332550A (ja) * | 2000-05-24 | 2001-11-30 | Canon Sales Co Inc | 半導体装置及びその製造方法 |
WO2002054484A2 (en) * | 2001-01-03 | 2002-07-11 | Dow Corning Corporation | Metal ion diffusion barrier layers |
WO2002077320A1 (en) * | 2001-03-23 | 2002-10-03 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films |
WO2003005438A2 (en) * | 2001-07-02 | 2003-01-16 | Dow Corning Corporation | Improved metal barrier behavior by sic:h deposition on porous materials |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005294333A (ja) * | 2004-03-31 | 2005-10-20 | Semiconductor Process Laboratory Co Ltd | 成膜方法及び半導体装置 |
JP2009509319A (ja) * | 2005-09-19 | 2009-03-05 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 金属相互接続構造体 |
JP2007258403A (ja) * | 2006-03-23 | 2007-10-04 | United Microelectronics Corp | 多孔性低誘電率薄膜及びその製作方法 |
WO2008020592A1 (fr) | 2006-08-15 | 2008-02-21 | Jsr Corporation | Matériau filmogène, film isolant contenant du silicium et procédé de formation de celui-ci |
JP2008147644A (ja) * | 2006-11-21 | 2008-06-26 | Applied Materials Inc | ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法 |
WO2008099811A1 (ja) | 2007-02-14 | 2008-08-21 | Jsr Corporation | ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法 |
JP2012513117A (ja) * | 2008-12-18 | 2012-06-07 | アプライド マテリアルズ インコーポレイテッド | 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加 |
JP2016135842A (ja) * | 2014-11-03 | 2016-07-28 | エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated | シリコン系膜及びその形成方法 |
US9879340B2 (en) | 2014-11-03 | 2018-01-30 | Versum Materials Us, Llc | Silicon-based films and methods of forming the same |
US10422034B2 (en) | 2014-11-03 | 2019-09-24 | Versum Materials Us, Llc | Silicon-based films and methods of forming the same |
Also Published As
Publication number | Publication date |
---|---|
KR20040068586A (ko) | 2004-07-31 |
US20050233576A1 (en) | 2005-10-20 |
EP1456434A1 (en) | 2004-09-15 |
US6890850B2 (en) | 2005-05-10 |
US7151053B2 (en) | 2006-12-19 |
KR100960755B1 (ko) | 2010-06-01 |
US20030129827A1 (en) | 2003-07-10 |
WO2003052162A1 (en) | 2003-06-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7151053B2 (en) | Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications | |
US7091137B2 (en) | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications | |
US7960294B2 (en) | Method of modifying interlayer adhesion | |
US7229911B2 (en) | Adhesion improvement for low k dielectrics to conductive materials | |
US7459404B2 (en) | Adhesion improvement for low k dielectrics | |
US7910897B2 (en) | Process and apparatus for post deposition treatment of low dielectric materials | |
KR101122458B1 (ko) | 차세대 다마신 배리어 용도를 위한 양호한 내산화성의두-층 필름 | |
US20130230986A1 (en) | Adhesion improvement for low k dielectrics to conductive materials | |
US20050277302A1 (en) | Advanced low dielectric constant barrier layers | |
US7288205B2 (en) | Hermetic low dielectric constant layer for barrier applications | |
US7105460B2 (en) | Nitrogen-free dielectric anti-reflective coating and hardmask |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20051111 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20081002 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081028 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090128 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090204 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090421 |