JP2012513117A - 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加 - Google Patents

低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加 Download PDF

Info

Publication number
JP2012513117A
JP2012513117A JP2011542184A JP2011542184A JP2012513117A JP 2012513117 A JP2012513117 A JP 2012513117A JP 2011542184 A JP2011542184 A JP 2011542184A JP 2011542184 A JP2011542184 A JP 2011542184A JP 2012513117 A JP2012513117 A JP 2012513117A
Authority
JP
Japan
Prior art keywords
silicon oxide
silicon
precursor
flowing
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011542184A
Other languages
English (en)
Other versions
JP2012513117A5 (ja
Inventor
シャンカー ヴェンカタラマン,
宏 ▲浜▼名
マニュエル, エー. ヘルナンデス,
ニティン, ケー. イングル,
ポール, エドワード ジー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012513117A publication Critical patent/JP2012513117A/ja
Publication of JP2012513117A5 publication Critical patent/JP2012513117A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Abstract

基板上に酸化シリコン層を堆積させる方法は、一様な酸化シリコン成長速度が基板表面全域にわたって実現されるように、処理チャンバ中にシリコン含有前駆体、酸化性ガス、水、および添加剤前駆体を流入させることを伴う。実施形態により成長した酸化シリコン層の表面粗さは、添加剤前駆体を用いて成長させることにより低減させることができる。本発明の別の態様では、トレンチが、内部のボイドの数量が少なくおよび/またはサイズが小さい酸化シリコンフィラー材料で埋められるように、処理チャンバ中にシリコン含有前駆体、酸化性ガス、水、および添加剤前駆体を流入させることによって、表面上にトレンチを具備するパターン形成基板上に酸化シリコン層を堆積させる。

Description

関連出願の相互参照
本出願は、2008年12月18日に出願した「PRECURSOR ADDITION TO SILICON OXIDE CVD FOR IMPROVED LOW TEMPERATURE GAPFILL」という名称のVenkataraman他による米国特許仮出願番号第61/138864号の利点を主張し、この出願は、すべての目的のためにその全体が本明細書中に取り込まれている。
本出願は、薄膜の堆積、パターニング、処理およびコーティングの際に使用する機器、プロセス、および材料を包含する製造技術解に関するものであり、その代表的な実施例には、半導体および誘電体材料およびデバイス、シリコンベースのウェーハ、ならびに(TFTなどの)フラットパネルディスプレイが含まれる(がこれらに限定されない)。
集積回路の製作シーケンスは、多くの場合いくつかのパターニングプロセスを含む。金属、ポリシリコン、またはドープトシリコン中に形成することができる導電性フィーチャの層を画定するために、パターニングプロセスを使用することができる。その後で、電気的活性領域間に位置するトレンチを含むパターン形成した層上に誘電体材料を堆積させることによって、電気的分離構造を形成することができる。誘電体材料は、堆積物の面内だけでなく導電性フィーチャの垂直に分離された層間に電気的アイソレーションを作る。
サブミクロンデバイスの形成に関係する課題は、ボイドを生じないように狭いトレンチを埋めることである。酸化シリコンでトレンチを埋めるために、酸化シリコンの層を、パターンを形成した基板上にまず堆積させる。酸化シリコン層は、典型的にはフィールドと同様にトレンチの壁および底部を覆う。トレンチが広く浅い場合には、トレンチを完全に埋めることが比較的容易である。トレンチが狭くなりアスペクト比(トレンチ幅に対するトレンチ高さの比率)が増加するにつれて、トレンチが完全に埋められる前にトレンチの開口部が閉じられる(すなわち「ピンチオフする」)可能性が高くなる。
トレンチをピンチオフすることが、トレンチ内にボイドを閉じ込める場合がある。ある種の条件下では、例えば、堆積した酸化シリコンがドープされ高温において粘性流動を生じるリフロープロセス中に、ボイドが埋められると考えられる。しかしながら、トレンチがさらに狭くなってくるので、リフロープロセスでさえボイドを埋めることが困難になる場合がある。その上、いくつかの種類の用途では、高温においてさえリフローさせることが困難でありうるわずかにドープした酸化シリコンまたはアンドープの酸化シリコンの堆積を求めている。ボイドが性能およびウェーハ当たりの良品チップの歩留りならびにデバイスの信頼性を低下させることがあるので、ピンチオフの結果として生じるボイドは望ましくない。
処理チャンバへのTEOSおよびオゾン(O)流入は、基板上での初期移動度を大きくするために高アスペクト比トレンチをうまく埋めることができる酸化シリコン膜を作るために使用される技術である。(600℃より高い)比較的高い基板温度で、トレンチをボイドなく埋めることができる。膜の初期粘性をさらに低下させるために、水蒸気などの添加剤を使用することができ、それによって後のステップにおいて膜をリフローさせる必要性が低下するか、または排除される。より低い基板温度で類似の前駆体を使用することにより、膜成長速度が空間的に変化する。膜成長速度のゆらぎは、トレンチ内にボイドを閉じ込めるように作用することがあり、それによってTEOS/オゾンプロセスを使用することの利点が低減する。
それゆえ、ボイドを残さずにより低い温度で酸化シリコン膜を用いて狭いトレンチを埋めることができることが望ましい。
本開示の態様は、基板上に酸化シリコン層を堆積させる方法に属する。実施形態では、一様な酸化シリコン成長速度が基板表面全域にわたって実現されるように、処理チャンバ中にシリコン含有前駆体、酸化性ガス、水、および添加剤前駆体を流入させることによって、酸化シリコン層を堆積させる。本発明の実施形態により成長させた酸化シリコン層の表面粗さは、添加剤前駆体を用いて成長させることにより低減させることができる。開示される別の態様では、内部のボイドの数量が少ないおよび/またはサイズが小さい酸化シリコンフィラー材料でトレンチが埋められるように、処理チャンバ中にシリコン含有前駆体、酸化性ガス、水、および添加剤前駆体を流入させることによって、表面上にトレンチを具備するパターン形成基板上に酸化シリコン層を堆積させる。
一実施形態では、本発明は、処理チャンバ内の基板上に酸化シリコン層を形成するための方法を提供する。本方法は、処理チャンバ中にシリコン含有前駆体を流入させ、酸化性ガスを流入させることを含む。本方法は、処理チャンバ中に水および添加剤前駆体を流入させることをさらに含む。酸化シリコン層が、化学気相成長法によってシリコン含有前駆体、酸化性ガス、水、および添加剤前駆体から基板上に形成される。添加剤前駆体が、基板全域にわたる酸化シリコン層の一様な成長速度を助長し、酸化シリコン層の粗さを小さくする。
別の一実施形態では、本開示は、酸化シリコンでトレンチを埋めるための方法を提供する。トレンチは、処理チャンバ内の基板上にある。本方法は、処理チャンバ中に第1のシリコン含有前駆体を流入させることを含む。第1のシリコン含有前駆体は、少なくとも一のSi−O結合を包含する。本方法は、チャンバ中に酸化性前駆体、水、および第2のシリコン含有前駆体を流入させることをさらに含む。第2のシリコン含有前駆体は、少なくとも一のSi−C結合を包含する。本方法は、化学気相成長法によって第1のシリコン含有前駆体、第2のシリコン含有前駆体、および酸化性前駆体を用いてトレンチ中に酸化シリコンを堆積させることをさらに含む。第2の前駆体が、成長速度を均等にし、それによって堆積の後にトレンチ内に残るボイドのサイズおよび/またはボイドの数を減少させる。
追加の実施形態およびフィーチャを下記の説明中の一部において明記し、本明細書を精査すると、その一部が当業者には明確になるであろう、または開示した実施形態の実施によって習得することができる。開示した実施形態のフィーチャおよび利点を、本明細書において説明する道具、組み合わせ、および方法によって認識することができ、得ることができる。
明細書の残りの部分および図面を参照することによって、開示した実施形態の本質および利点のより一層の理解を、実現することができる。
開示した実施形態による酸化シリコンギャップフィル堆積プロセスの流れ図である。 開示した実施形態による酸化シリコンを堆積させる前のトレンチの断面図である。 開示した実施形態による酸化シリコンギャップフィル堆積プロセスの流れ図である。 本発明の実施形態による半導体処理システムの簡略化した図である。 マルチチャンバシステム内の処理チャンバに関連する半導体処理システム用のユーザインターフェースの簡略化した図である。 処理チャンバに関連するガスパネルおよびガス供給配管の簡略化した図である。
添付した図では、類似の構成要素および/またはフィーチャは、同じ参照符号を有することができる。さらに、同じタイプの様々な構成要素を、類似の構成要素の中で識別する参照符号に続くダッシュおよび副符号によって識別することができる。主参照符号だけを明細書中で使用する場合には、副参照符号に拘わらず同じ主参照符号を有する類似の構成要素のうちのいずれか一に、説明を適用可能である。
本開示の態様は、基板上に酸化シリコン層を堆積させる方法に属する。実施形態では、一様な酸化シリコン成長速度が基板表面全域にわたって実現されるように、処理チャンバ中にシリコン含有前駆体、酸化性ガス、水、および添加剤前駆体を流入させることによって、酸化シリコン層を堆積させる。実施形態により成長した酸化シリコン層の表面粗さは、添加剤前駆体を用いて成長させることにより低減させることができる。本開示の別の態様では、内部のボイドの数量が少ないおよび/またはサイズが小さい酸化シリコンフィラー材料でトレンチを埋めるように、処理チャンバ中にシリコン含有前駆体、酸化性ガス、水、および添加剤前駆体を流入させることによって、表面上にトレンチを具備するパターン形成基板上に、酸化シリコン層を堆積させる。
本発明の実施形態は、基板のパターンを形成した表面上のトレンチ中に酸化シリコンを形成する方法に向けられている。空間的に一様な成長速度を容易にするために、膜の形成中に添加剤前駆体を処理チャンバ中に流入させる。サブ−アトモスフェリックCVD(SACVD)プロセスおよび関連プロセスは、基板上に酸化シリコンを形成するために処理チャンバ中にシリコン含有前駆体および酸化性前駆体を流入させることを包含する。シリコン含有前駆体は、TEOSを含むことができ、酸化性前駆体は、オゾン(O)、酸素(O)、および/または酸素ラジカルを含むことができる。基板上の物質の流動性を高めるために、もう一の前駆体、例えば、水蒸気を、処理チャンバに添加することができる。約600℃以下で基板上にTEOSおよびオゾン前駆体から成長させた酸化シリコン膜が、原子間力顕微鏡法などの様々な表面画像化技術を用いて見ることが可能である粗い表面を有することを見出した。添加剤前駆体、例えば、HMDSまたはTMDSOの添加が、堆積の後でより小さな表面粗さをもたらすことを見出した。
本発明をより良く理解し評価するために、開示した実施形態による酸化シリコンギャップフィル堆積プロセスの流れ図である図1および堆積の後で調べた表面粗さ測定値を含有する表Iをここで参照する。プロセスは、処理チャンバ中へ基板を搬送したときに始まる(工程110)。HO、オゾン、およびTEOSを、工程115、120、および122においてチャンバ中に流入させる。これらの前駆体を流入させる間に、添加剤前駆体(例えば、TMDSO、HMDS、またはこれらの組み合わせ)をチャンバ中に流入させ(工程125)、工程130における基板上の酸化シリコン層の一様な成長を容易にする。膜の成長の後で、基板を、工程135においてチャンバから取り出す。
表Iのために解析する膜の調製中には、TEOSの流量は、毎分約3グラムであり、オゾンの流量は、約30,000sccmであった。蒸気(HO)を、4000sccm近くのレートで膜の成長中に流し、TMDSOを、約0mg/min、約75mg/min、約150mg/min、約300mg/min、および約700mg/minの基本的に一定のレートで流した。実質的に不活性なキャリアガス(HeおよびN2)を、チャンバ中へのTMDSO、HO、およびTEOSの配送を支援するために使用した。キャリアガス流量の大きさは、典型的には標準状態立方センチメートル毎分(sccm)で与えられる。キャリアガスによって運ばれるガスの質量流の大きさは、典型的にはキャリアガスの質量流を含まないmg/minで与えられる。粗さを多くの方法で測定することができる。本明細書中で記載する粗さの大きさは、酸化シリコン膜の表面の5μm×5μm部分上で行った2次元AFM測定値で与えられる。Plainview、New YorkのVeecoから入手可能なDimension 7000 AFMを、約10/μmまたはそれ以上の測定点密度で、タッピングモードで動作するコメンシュレート分解能チップを使用した。
本明細書中で使用するように、流量は、プロセス中には必ずしも一定である必要がない。異なる前駆体の流量を、異なる順番で開始し終了させることができ、その量を変えることができる。指示しない限りは、本明細書中で指示される質量流量の大きさは、プロセス中に使用するほぼピーク流量で与えられる。TMDSOの流量は、堆積中および堆積後の酸化シリコン膜の粗さの減少と関連がある。
表I:酸化シリコン粗さの添加剤前駆体流量依存性
Figure 2012513117
本明細書中で指示する流量の大きさは、一枚の300mm直径ウェーハの片面(ほぼ700cmの面積)上への堆積用である。堆積面積に基づく適切な補正が、複数のウェーハに対して、より大きなウェーハもしくは小さなウェーハに対して、両面堆積に対して、または代替の幾何学的形状の基板(例えば、長方形基板)上への堆積に対して必要である。
TMDSOおよび/またはHMDSなどの添加剤前駆体の支援で酸化シリコン膜を成長させることは、後で説明するパターンを形成した表面上の酸化シリコンの堆積に関係を有する堆積の共形性を増加させる。ブランケットウェーハ上にかかる膜を形成することは、実用性を提供し、その上、添加剤前駆体を用いて成長させた膜の一般的な性質への見識を提供する。成長している膜の表面の粗さの低減は、パターンを形成していない基板上に形成した酸化シリコン膜中のボイドの形成を減少させる。ボイドの(サイズおよび/または総数の)減少は、より密度の高い膜もたらし、ウェットエッチ速度(WER)の減少ならびに反射率(RI)の増加をもたらすことを見出している。
図1のプロセスを、やはり、より均一な成長速度のために酸化シリコンでトレンチをより完全に埋めるために使用することができる。添加剤前駆体を用いないで比較的低い温度の基板上に行ったTEOS−オゾンプロセスは、「フィンガリング」または「マッシュルーミング」と時には呼ばれる不均一な成長速度のために結果として閉じ込められたボイドを生じることがある。図2は、開示した実施形態による酸化シリコンを堆積させる前のトレンチの断面図である。図示したトレンチを、本明細書中に開示した方法の例示的な応用例であるシャロートレンチアイソレーション(STI)プロセスと一致する、シリコン200、酸化シリコン205、および窒化シリコン210中に形成する。
TEOS−オゾン酸化シリコン成長プロセスは、窒化シリコン210上に成長するよりもシリコン200および酸化シリコン205上で短い遅延時間膜成長を結果としてもたらす。短い遅延時間または低遅延時間膜成長は、高遅延時間膜成長よりもより迅速に開始する膜成長である。遅延時間は、前駆体の流し始めと高速度の膜成長の始まりとの間の遅延を記述する。遅延時間は、成長速度の加速に先立って開始層または核形成サイトを作り出す必要性からもたらされる場合がある。遅延時間の変動は、異なる下地材料の間では一般的である。高い基板温度では、遅延時間の相違は、深いトレンチ領域215がより完全に埋められることを可能にする浅いトレンチ領域220中の酸化シリコン成長を遅らせる。一方で、低い温度の基板上の同じプロセスは、浅いトレンチ領域220中の開口部のサイズに拘わらずマッシュルーミング成長挙動のために深いトレンチ領域215中にボイドを閉じ込めることがある。
TEOSおよびオゾン(および、実施される場合には、水蒸気)の流れが添加剤前駆体の流れを伴うときには、低い温度の基板上のトレンチ内に酸化シリコンを堆積させることは、結果としてより少ないボイドしか生じさせない。例えば、深いトレンチ領域215中の酸化シリコンの成長速度は、より均一であり、数少ないおよび/または小さなボイドを形成しつつ膜成長が進行することを可能にする。深いトレンチ領域215を、酸化シリコンで埋め、窒化シリコン上の成長に伴う遅延期間の後で、窒化シリコン上の成長速度が増加し、浅いトレンチ領域220の残部を埋める。
下地材料の相違に拘わらず、他の前駆体に加える添加剤前駆体の流量が、トレンチ中に取り込まれるボイドの数量および/またはサイズを減少させることによって埋め込みを改善することができる。300mmウェーハまたは同様な面積の基板のケースでは、別の実施形態における前駆体の流れの期間のある点において、添加剤前駆体流量を、約1mg/min以上、約5mg/min以上、約10mg/min以上、約25mg/min以上、約50mg/min以上、約100mg/min以上、約150mg/min以上、約200mg/min以上、約300mg/min以上、または約500mg/min以上とすることができる。
TEOS−オゾン−水蒸気プロセスにおいて添加剤前駆体を含ませることが、成長する膜の粗さを減少させることを見出したが、堆積した材料の表面流動性もまた減少させる。大きな速度の表面流動が深いトレンチを埋めることに役立つので、添加剤前駆体の流量を、堆積中にランプさせる、そうでなければ増加させることができる。図3は、このタイプのプロセスの流れを示す。基板を処理チャンバ中へ配送する(工程310)。水、オゾン、およびTEOSの流れを、工程315、320、および322において始める。添加剤前駆体の流れを、比較的低い流量で工程325において始める。膜成長が始められた(工程330)後で、堆積中の一または複数の比較的離れた時間に急激にまたは徐々に、のいずれかで、流量を増加させる(工程335)。前駆体の流れを止め、基板をチャンバから取り出す(工程340および345)。
添加剤前駆体の流量の1回または複数回の急激な増加を、堆積中の特定の時間に行うことができる。膜の成長の早いステージに対して、低い流量を、プロセスの早い時期に維持することができる(例えば、低い流量を、異なる実施形態では、約1mg/min以上、約5mg/min以上、約10mg/min以上、約20mg/min以上、または約50mg/min以上とすることができる)。これらの低い流量は、結果として平滑性の劣る膜だけでなく共形性の劣る膜成長プロセスをもたらす。共形性の劣る膜成長プロセスは、ビアの中央部に強いシームの形成を回避する。
一旦、基板上のトレンチの一部分が埋められると、成長している膜の粗さをさらに小さくするために、流量を増加させることができる。成長がより共形になるが、初期の非共形成長のためにシームはさらに小さくなる。成長プロセスの後期に維持される高い流量を、異なる実施形態では、約10mg/min以上、約25mg/min以上、約50mg/min以上、約100mg/min以上、約150mg/min以上、約200mg/min以上、約300mg/min以上、または約500mg/min以上とすることができる。TMDSOと類似の結果を実現するために、HMDSの低い流量を使用することができることを、測定値が示している。しかしながら、表面の物質および表面状態が、添加剤前駆体の有効性に影響を及ぼすことが見出された。増加する流量が、膜成長プロセスの後期に成長した酸化シリコン膜の部分に対して、高い屈折率および低いウェットエッチ速度を結果としてもたらす場合がある。
添加剤前駆体の流量を徐々に増加させることは、1回または複数回急激に増加させることに対して効果的な代替法である。異なる実施形態ではプロセスの早い時期において、ランプの開始時流量を、約1mg/min以上、約5mg/min以上、約10mg/min以上、約20mg/min以上、または約50mg/min以上とすることができる。ランプ中に増加する流量を、時間に対して階段状の形をした流量に結果としてなる流量の比較的小さいが不連続な増加のシーケンスを実際に包含することができる。増加の平均レートを、可変または一定とすることができる。ランプの終了時流量を、異なる実施形態では、約10mg/min以上、約25mg/min以上、約50mg/min以上、約100mg/min以上、約150mg/min以上、約200mg/min以上、約300mg/min以上、または約500mg/min以上とすることができる。例示的なランプ法は、1mg/(min−sec)の平均ランプレートで30mg/minから150mg/minまでのTMDSOの流れを増加させることを包含することができるが、一方で対応するHMDSについての例示的なランプ法は、0.33mg/(min−sec)の平均ランプレートで10mg/minから50mg/minまで流れを増加させることを包含することができる。代替の平均直線ランプレートを、ランプ期間、ランプ開始時流量、およびランプ終了時流量に基づいて計算することができる。
添加剤前駆体を、基板と化学的に相互に影響し、シリコン含有前駆体(多くの場合TEOS)よりも小さなサイト選択性を有する様々な前駆体とすることができる。低い基板温度においては、添加剤前駆体のほとんどないまたは全くない膜成長は、おそらく核形成サイト近くの反応確率の増加のために、優先的成長サイトを結果としてもたらす。本明細書中に含有される化学的メカニズムの説明は、発明の実施形態の理解に役立つ場合があるが、必ずしも正しい必要がなく、特許請求の範囲の範囲を限定するようには意図していない。ある実施形態では、添加剤前駆体がサイト選択性を小さくするように思われるSi−C結合を備えることができ、シリコン含有前駆体および酸化性前駆体と組み合わせたときにより一様な酸化シリコン成長速度を結果としてもたらす。添加剤前駆体を、テトラメチルジシロキサン(TMDSO)もしくはヘキサメチルジシラザン(HMDS)または異なる実施形態では少なくとも一のSi−C結合を含有する別の一の前駆体とすることができる。
添加剤前駆体およびシリコン含有前駆体(例えば、TEOS)の流量を、酸化シリコン層の成長中に両者とも増加させることができる。パターンを形成したウェーハ上のトレンチの埋め始めの近くの、より遅く滑らかな成長速度は、トレンチをより完全に埋める能力をさらに向上させる。トレンチを完全に埋めるまたは部分的に埋めた後で、成長している膜を平滑にするために、添加剤前駆体の流量を増加させながら、膜の成長速度を大きくするために、シリコン含有前駆体を増加させることができる。
堆積中の基板温度についての上限を、異なる実施形態では、約600℃以下、約540℃以下、約500℃以下、約400℃以下、約350℃以下、または約300℃以下とすることができる。堆積中の基板温度についての下限を、異なる実施形態では、約100℃以上、約150℃以上、約200℃以上、または約300℃以上とすることができる。追加の開示した実施形態による基板温度についての追加の範囲を作るために、下限の各々を、基板温度についての上限のいずれかと組み合わせることができる。
例示的な基板処理システム
本発明の実施形態による半導体処理システムを作ることができ、そのシステムを使用する方法である場合がある説明した変形例が分かると、半導体処理ツール409内の例示的な半導体処理チャンバ410の簡略化した図を図示する図4Aに注意が向けられる。このシステムは、CVDプロセスならびにリフロープロセス、ドライブインプロセス、クリーニングプロセス、エッチングプロセス、およびゲッタリングプロセスなどの他のプロセスを含むことができる様々な半導体処理ステップを実行することに適している。また、チャンバから基板を取り出さずに、一枚の基板上で複数のステップを含むプロセスを実行することができる。システムの代表的な主な構成要素は、ガス配送システム489、ポンピングシステム488、遠隔プラズマシステム(RPS)455、および制御システム453からプロセスガスおよびその他のガスを受け取るチャンバ内室415を含む。本発明を理解するために、これらの構成要素および他の構成要素を下記に説明する。
半導体処理チャンバ410は、ガス反応域416を伴うチャンバ内室415を収容する筐体アセンブリ412を含む。ガス分配プレート420中を貫通する穴を通って垂直可動ヒータ425(基板支持ペデスタルとも呼ぶことができる)上に置かれている基板(図示せず)に、反応ガスおよびパージガスなどの他のガスを分散させるために、ガス分配プレート420をガス反応域416の上方に設ける。例えば、基板をロードするまたはアンロードすることができる低い位置と、破線413によって示したガス分配プレート420の近くに隣接する処理位置またはエッチプロセスもしくはクリーニングプロセス用などの他の目的のための他の位置との間を、ヒータ425は、制御可能に動くことができる。センターボード(図示せず)は、基板の位置についての情報を与えるためのセンサを含む。
ガス分配プレート420を、米国特許第6,793,733号に記載された種類のものとすることができる。これらのプレートは、基板のところのガス分配の一様性を改善し、ガス濃度比率が変わる堆積プロセスに特に有利である。ある例では、比率が一方向に強く向けられているときに(例えば、シリコン含有ガスの濃度が酸化剤含有ガスの濃度と比較して小さいときに)堆積ガスが基板からさらに遠くに放出され、濃度が変化するにつれて(例えば、混合物中のシリコン含有ガスの濃度がより高いときに)基板のより近くに堆積ガスが放たれるように、プレートは、垂直可動ヒータ425(または可動基板支持ペデスタル)との組み合わせで働く。別の例では、ガス分配プレートのオリフィスは、ガスをより一様に混合するように設計されている。
ヒータ425は、セラミックス中に封じられた電気抵抗加熱素子(図示せず)を含む。セラミックスは、潜在的に腐食性のチャンバ環境から加熱素子を保護し、ヒータが約800℃までの温度に達することを可能にする。例示的な実施形態では、チャンバ内室415内に露出したヒータ425の全表面は、酸化アルミニウム(Alすなわちアルミナ)または窒化アルミニウムなどのセラミックス材料から作られる。
反応ガスおよびキャリアガスを、ガス混合箱(やはり、ガス混合ブロックと呼ばれる)427中へ供給配管443によって供給し、ガス混合箱では、反応ガスおよびキャリアガスが好ましく一緒に混合され、ガス分配プレート420に配送される。ガス混合ブロック427は、好ましくは、プロセスガス供給配管443およびクリーニング/エッチガス導管447に連結された二入力混合ブロックである。弁428は、ガス導管447からガス混合ブロック427へのガスまたはプラズマを通すまたは密封するように動作する。ガス導管447は、入力ガスを受け取るための注入部457を有するRPS455からのガスを受け取る。堆積処理中に、プレート420に供給されるガスを、(矢印421によって示したように)基板表面に向けてベントし、プレート420ではガスが、典型的には層流で基板表面全域にわたって半径方向に一様に分布することができる。
パージングガスを、プレート420を通して、および/または筐体アセンブリ412の壁(好ましくは底部)を通る注入ポートもしくは注入管(図示せず)を通してチャンバ内室415に配送することができる。パージングガスは、注入ポートからヒータ425を通過し、環状ポンピングチャネル440へと上に向けて流れる。排気システムは、次に、(矢印422によって示されたように)環状ポンピングチャネル440へ、そして排気配管460を通って、一または複数の真空ポンプを含むポンピングシステム488へと、ガスを排気する。排気ガスおよび運び去られる粒子は、スロットル弁システム463によって制御される速度で、環状ポンピングチャネル440から排気配管460を通って引き抜かれる。
RPS455は、チャンバクリーニングまたはプロセス基板からの自然酸化膜もしくは残渣エッチングなどの選択した応用のためにプラズマを生成することができる。入力配管457を介して供給された前駆体から遠隔プラズマシステム455内で生成されるプラズマ種を、ガス反応域416へプレート420を通って分散させるために導管447を介して送る。クリーニング応用のための前駆体ガスは、フッ素、塩素、および他の反応性元素を含むことができる。RPS455内で使用するために適切な堆積前駆体ガスを選択することによって、RPS455を、やはり、プラズマエンハンス型CVD膜を堆積させるように適合させることができる。
システムコントローラ453は、堆積システムの機能および動作パラメータを制御する。プロセッサ451に連結されたメモリ452中に記憶されたコンピュータプログラムなどの、システム制御ソフトウェアを、プロセッサ451は実行する。メモリ452は、典型的には、スタティックランダムアクセスメモリ(キャッシュ)、ダイナミックランダムアクセスメモリ(DRAM)、およびハードディスク駆動装置の組み合わせから成るが、当然のことながら、メモリ452は、やはり、ソリッドステートメモリデバイスなどの、他の種類のメモリから成る場合がある。これらのメモリ手段に加えて、好ましい実施形態中の半導体処理ツール409は、フロッピディスク駆動装置、USBポート、およびカードラック(図示せず)を含む。
プロセッサ451は、本明細書中に開示される方法によりデバイスを動作させるようにプログラムされたシステム制御ソフトウェアに従って動作する。例えば、命令のセットは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、プラズマ出力レベル、サセプタ位置、および特定のプロセスのその他のパラメータを指示することができる。好ましくは、入力−出力I/Oモジュール450から発せられる信号を搬送するアナログ信号またはディジタル信号を運ぶ直接ケーブル配線を通って適切なハードウェアに、命令を伝達する。変化する使用のために半導体処理ツール409を構成するようにプロセッサ451を動作させるために、例えば、USBサムドライブ、フロッピディスク、またはディスク駆動装置もしくは他の適切な駆動装置中に挿入されたもう一のコンピュータプログラム製品を含む他のメモリ上に記憶されたものなどの他のコンピュータプログラムを、やはり、使用することができる。
プロセッサ451は、シングルボードコンピュータ、アナログおよびディジタル入力/出力ボード、インターフェースボードならびにステッパモータコントローラボードを含有するカードラック(図示せず)を有することができる。半導体処理ツール409の様々なパーツは、ボード、カードケージ、ならびにコネクタ寸法およびタイプを規定するVersa Modular European(VME)規格に準拠する。VME規格は、また、16ビットデータバスおよび24ビットアドレスバスを有するバス構造を規定する。
本明細書において開示される実施形態は、直接ケーブル配線および1個のプロセッサ451に依存する。マルチコアプロセッサ、分散型制御下の複数のプロセッサ、ならびにシステムコントローラと制御されるオブジェクトとの間の無線通信を備えた代替実施形態も、やはり可能である。
図4Bは、半導体処理チャンバ410に関連するユーザインターフェースの簡略化した図である。半導体処理ツール409は、示したように一より多くの処理チャンバ410を含むことができる。基板を、追加の処理のために一のチャンバからもう一へ搬送することができる。あるケースでは、基板を、真空下でまたは選択したガスの下で搬送する。ユーザとプロセッサとの間のインターフェースは、CRTモニタ473a(これをやはり、フラットパネルモニタとすることができる)およびポインティングデバイス473b(これを光ペンとすることができる)を介している。メインフレームユニット475は、処理チャンバ410のための電気的機能、給排水機能、および他の支援機能を提供する。半導体処理システムの具体例の実施形態と互換性のある例示的なメインフレームユニットは、Santa Clara、CaliforniaのAPPLIED MATERIALS,INC.からのPRECISION 5000(商標)システム、CENTURA 5200(商標)システム、PRODUCER GT(商標)システム、およびPRODUCER SE(商標)システムとして現在市販されている。
ある実施形態では、二つのモニタ473aを使用し、一方は、オペレータ用にクリーンルーム壁471にマウントされ、他方は、保守技術員用に壁472の背後にある。二つのモニタ473aは、同じ情報を同時に表示するが、一の光ペン473bだけが動作可能である。光ペン473bは、ペンの先端中の光センサでCRTディスプレイによって放出される光を検出する。特定のスクリーンまたは機能を選択するために、オペレータはディスプレイスクリーンの指定された区域に触れ、ペン473b上のボタンを押す。触れられた区域は、それ自体の強調された色を変える、または新しいメニューもしくは新しいスクリーンを表示し、光ペンとディスプレイスクリーンとの間の通信を確認する。当然のことながら、ユーザがプロセッサと通信することを可能にするために、キーボード、マウス、または他のポインティングデバイスもしくは通信デバイスなどの他のデバイスを、光ペン473bの代わりにまたはそれに加えて使用することができる。
図4Cは、クリーンルーム内に置かれたガス供給パネル480に関連する半導体処理チャンバ410の実施形態の一般的な外観図を図示する。上に論じたように、半導体処理ツール409は、ヒータ425を具備する処理チャンバ410、注入管443および導管447からの入力部を具備するガス混合箱427、ならびに入力配管457を具備するRPS455を含む。上に述べたように、ガス混合箱427を、チャンバ内室415への注入管443および入力配管457を通って(1種または複数種の)堆積ガスおよび(1種または複数種の)クリーニングガスまたは(1種または複数種の)他のガスを混合し注入するために構成する。
チャンバ410の上方に位置するゲート弁428およびガス混合箱427へとチャンバ410と並んで達する導管447とともに処理チャンバ410の下方に、RPS455を統合して置きマウントする。プラズマ発生器411およびオゾン発生器459を、クリーンルームから遠く離して置く。ガス供給パネル480からの供給配管483および485は、供給配管443に反応ガスを与える。ガス供給パネル480は、選択した応用のためのプロセスガスを与えるガスソースまたは液体ソース490からの配管を含む。ガス供給パネル480は、ガス混合箱427へ流入させる前に、選択したガスを混合する混合システム493を有する。ある実施形態では、ガス混合システム493は、オルトケイ酸テトラエチル(「TEOS」)、ホウ酸トリエチル(「TEB」)、およびリン酸トリエチル(「TEPO」)などの反応物質液体を蒸発させるための液体注入システムを含む。液体からの蒸気は、通常、ヘリウムなどのキャリアガスと混ぜ合わせられる。プロセスガス用の供給配管は、(i)配管485または配管457中へのプロセスガスの流れを自動でまたは手動で遮断するために使用することができる遮断弁495、および(ii)供給配管を通るガスまたは液体の流れを測定する液体流量計(LFM)401または別のタイプのコントローラを含むことができる。
一例として、シリコンソースとしてTEOSを含む混合物を、酸化シリコン膜を形成するための堆積プロセスにおいてガス混合システム493で使用することができる。リンおよびホウ素などのドーパントのソースは、やはりガス混合システム493へ導入することができるTEPOおよびTEBを含むことができる。加えて、TMDSOまたはHMDSなどの添加剤前駆体を、ガス混合システム493に配送することができる。ガス混合システム493に配送された前駆体が、室温および圧力で液体である場合があり、従来型のボイラタイプまたはバブラタイプの加熱容器によって蒸発させることができる。あるいは、液体注入システムを使用することができ、ガス混合システム中に導入される反応物質液体の体積の制御を向上させる。ガス混合ブロックおよびチャンバへの加熱したガス配送配管485に配送する前に、液体を典型的には、キャリアガス流中に細かなスプレイまたはミストとして注入する。当然のことながら、ドーパント、シリコン、酸素、および添加剤前駆体の別のソースをやはり使用することができることが、認識される。別々のガス分配配管として示しているが、前駆体をチャンバ内室415に流入させる前に前駆体相互の反応を妨げるために、配管485は分離した複数の配管を実際に備えることができる。チャンバ近くでまたはその中で加熱したガス配送配管485からの反応物質ガスと混ぜ合わせられる、酸素(O)、オゾン(O)および/または酸素ラジカル(O)などの一または複数のソースは、もう一のガス配送配管483を通ってチャンバへ流れる。
本明細書中で使用するように、「基板」を、その上に形成された層のあるまたは層のない支持基板とすることができる。支持基板を、絶縁体または様々なドーピング濃度およびプロファイルの半導体とすることができ、例えば、集積回路の製造において使用するタイプの半導体基板とすることができる。「励起状態」にあるガスは、ガス分子の少なくとも一部が、振動で励起状態にある、分離状態にある、および/またはイオン化された状態にあるガスを記述する。ガスを、2種以上のガスの組み合わせとすることができる。用語トレンチを、必要なエッチした幾何学的形状が、大きな水平のアスペクト比を有するという示唆なしで全体を通して使用する。表面の上方から見ると、トレンチは、円形、長円形、多角形、長方形、または様々な他の形状に見える場合がある。
開示したいくつかの実施形態を知ると、様々な変更形態、代替構成、および均等物を開示した実施形態の精神から乖離せずに使用することができることが、当業者によって認識されるであろう。加えて、本発明を不必要に不明瞭にしないために、多数の周知のプロセスおよび要素を記載していない。従って、上記の説明は、本発明の範囲を限定するように考えるべきではない。
値の範囲が与えられている場合には、文脈が違ったふうに明確に指示していない限り、下限の単位の10分の1までの、その範囲の上限と下限との間に介在する各値が、やはり、具体的に開示されていることが、理解される。いずれかの述べた値または述べた範囲内の介在する値と、いずれか別の述べた値またはその述べた範囲内の介在する値との間のそれぞれ小さい方の範囲が、包含される。これらの小さい方の範囲の上限および下限を、独立に範囲内に含ませることができるまたは除外することができ、小さい方の範囲内に、いずれか一方の限度が含まれる場合、いずれも含まれない場合、または両方の限度が含まれる場合の各範囲も、やはり、本発明の中に包含され、述べた範囲内でいずれかの具体的に除外した限度を従属させることができる。述べた範囲が、限度の一方または両方を含む場合には、これらの含めた限度の一方または両方を除外する範囲も、やはり、含まれる。
本明細書および特許請求の範囲において使用される単数形の名詞、およびその他の名詞表現は、特に断らない限り複数の概念を含む。それゆえ、例えば、「一のプロセス」への言及は複数の同プロセスを含み、「誘電体材料」への言及は、一または複数の誘電体材料および当業者に公知のその均等物への言及を含む、等々である。
同様に、「備える」、「備えている」、および「含む」、「含んでいる」といった表現は、本明細書および特許請求の範囲において使用される場合、記載されたフィーチャ、整数、構成要素、またはステップの存在を明細に述べるように意図されているが、一または複数の別のフィーチャ、整数、構成要素、ステップ、行為、または群の存在または付加を排除しない。

Claims (30)

  1. 処理チャンバ内の基板上に酸化シリコン層を形成するための方法であって、
    前記処理チャンバ中にシリコン含有前駆体を流入させ、酸化性ガスを流入させることと、
    前記処理チャンバ中に添加剤前駆体を流入させることと、
    化学気相成長法によって前記シリコン含有前駆体、前記酸化性ガス、および前記添加剤前駆体から前記基板上に前記酸化シリコン層を形成することであって、前記添加剤前駆体によって前記基板全域にわたり前記酸化シリコン層の一様な成長速度が助長され、前記酸化シリコン層の粗さが低減されることと
    を含む方法。
  2. 前記処理チャンバ中に水を流入させるステップをさらに含む、請求項1に記載の方法。
  3. 前記基板が、表面上にトレンチが形成されたパターン形成基板であり、前記酸化シリコン層を形成することにより、添加剤前駆体を流入させる工程を行わずに酸化シリコン層を形成した場合と比較して、ボイドの数が少ないおよび/またはサイズが小さい酸化シリコンで前記トレンチが埋められる、請求項1に記載の方法。
  4. 前記基板が、シリコン表面、窒化シリコン表面、および上に前記酸化シリコン層が形成される酸化シリコン表面を備える、請求項1に記載の方法。
  5. 前記シリコン含有前駆体が、オルトケイ酸テトラエチル(TEOS)、テトラメトキシシラン(TMOS)、またはテトラエトキシシラン(TRIES)を含む、請求項1に記載の方法。
  6. 前記酸化性ガスがオゾン(O)を含む、請求項1に記載の方法。
  7. 前記添加剤前駆体が平滑化用前駆体である、請求項1に記載の方法。
  8. 前記添加剤前駆体が、少なくとも一のSi−C結合を含む有機シリコン化合物を含む、請求項1に記載の方法。
  9. 前記有機シリコン化合物が、テトラメチルジシロキサン(TMDSO)である、請求項8に記載の方法。
  10. 前記有機シリコン化合物が、ヘキサメチルジシラザン(HMDS)である、請求項8に記載の方法。
  11. 前記方法が、前記酸化シリコン層の形成中に前記処理チャンバ中に水蒸気を流入させることをさらに含む、請求項1に記載の方法。
  12. 前記シリコン含有前駆体の流量を、前記酸化シリコン層の形成中に増加させる、請求項1に記載の方法。
  13. 前記基板の温度を600℃未満に調節することをさらに含む、請求項1に記載の方法。
  14. 前記基板を、約300℃〜約450℃の温度範囲に調節する、請求項13に記載の方法。
  15. 前記基板を、約300℃未満の温度に調節する、請求項13に記載の方法。
  16. 前記処理チャンバ内の圧力を、700torr未満に調節する、請求項1に記載の方法。
  17. 前記有機シリコン化合物の流量が、前記酸化シリコン層の堆積中に毎分約1mg以上である、請求項9に記載の方法。
  18. 前記有機シリコン化合物の流量が、前記酸化シリコン層の堆積中に毎分約50mg以下である、請求項9に記載の方法。
  19. 水蒸気の流量が、前記酸化シリコン層の堆積中に約3000sccm以上である、請求項11に記載の方法。
  20. 酸化シリコンでトレンチを埋めるための方法であって、前記トレンチが処理チャンバ内の基板上にあり、
    前記処理チャンバ中に、少なくとも一のSi−O結合を含む第1のシリコン含有前駆体を流入させることと、
    前記チャンバ中に酸化性前駆体を流入させることと、
    前記チャンバ中に、少なくとも一のSi−C結合を含む第2のシリコン含有前駆体を流入させることと、
    化学気相成長法によって、前記第1のシリコン含有前駆体、前記第2のシリコン含有前駆体、および前記酸化性前駆体を用いて前記トレンチ中に前記酸化シリコンを堆積させることであって、前記第2の前駆体が成長速度を均等にすることにより、堆積後に前記トレンチ内に残るボイドのサイズおよび/または数が低減されることと
    を含む方法。
  21. 前記第1のシリコン含有前駆体を流入させる工程が、オルトケイ酸テトラエチル(TEOS)を流入させることを含む、請求項20に記載の方法。
  22. TEOSの流量が、前記酸化シリコンの堆積中に毎分約1mg以上である、請求項21に記載の方法。
  23. 前記酸化性前駆体を流入させる工程が、オゾン(O)、酸素(O)、および酸素ラジカル(O)から成る群から選択される少なくとも一の前駆体を流入させることを含む、請求項20に記載の方法。
  24. 前記酸化シリコン層の形成中に前記処理チャンバ中に水蒸気を流入させることをさらに含む、請求項20に記載の方法。
  25. 前記第2のシリコン含有前駆体が、テトラメチルジシロキサン(TMDSO)を含む、請求項20に記載の方法。
  26. 前記第2のシリコン含有前駆体を流入させることが、前記酸化シリコンの堆積中に毎分約1mgよりも大きな流量でテトラメチルジシロキサン(TMDSO)を流入させることを含む、請求項20に記載の方法。
  27. 前記第2のシリコン含有前駆体の前記流量を、前記酸化シリコンの堆積中に増加させる、請求項25に記載の方法。
  28. 前記第2のシリコン含有前駆体がヘキサメチルジシラザン(HMDS)を含む、請求項20に記載の方法。
  29. 前記第2のシリコン含有前駆体を流入させることが、前記酸化シリコンの堆積中に毎分約1mgよりも大きな流量でヘキサメチルジシラザン(HMDS)を流入させることを含む、請求項20に記載の方法。
  30. 前記第2のシリコン含有前駆体の前記流量を、前記酸化シリコンの堆積中に増加させる、請求項28に記載の方法。
JP2011542184A 2008-12-18 2009-11-19 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加 Pending JP2012513117A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13886408P 2008-12-18 2008-12-18
US61/138,864 2008-12-18
US12/489,234 2009-06-22
US12/489,234 US8012887B2 (en) 2008-12-18 2009-06-22 Precursor addition to silicon oxide CVD for improved low temperature gapfill
PCT/US2009/065181 WO2010080216A2 (en) 2008-12-18 2009-11-19 Precursor addition to silicon oxide cvd for improved low temperature gapfill

Publications (2)

Publication Number Publication Date
JP2012513117A true JP2012513117A (ja) 2012-06-07
JP2012513117A5 JP2012513117A5 (ja) 2013-01-10

Family

ID=42266753

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011542184A Pending JP2012513117A (ja) 2008-12-18 2009-11-19 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加

Country Status (6)

Country Link
US (1) US8012887B2 (ja)
JP (1) JP2012513117A (ja)
KR (1) KR20110104062A (ja)
CN (1) CN102282649A (ja)
TW (1) TWI395831B (ja)
WO (1) WO2010080216A2 (ja)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130252440A1 (en) * 2011-09-26 2013-09-26 Applied Materials, Inc. Pretreatment and improved dielectric coverage
KR101862547B1 (ko) 2012-04-13 2018-05-31 삼성전자주식회사 폴리실리콘막 형성 방법 및 반도체 장치의 제조 방법
CN102709426A (zh) * 2012-06-11 2012-10-03 华灿光电股份有限公司 一种表面粗化的GaN基LED芯片的制作方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102377376B1 (ko) * 2015-06-26 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 막들의 선택적 증착
US10264663B1 (en) * 2017-10-18 2019-04-16 Lam Research Corporation Matchless plasma source for semiconductor wafer fabrication
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10672590B2 (en) * 2018-03-14 2020-06-02 Lam Research Corporation Frequency tuning for a matchless plasma source
JP7076490B2 (ja) 2020-03-24 2022-05-27 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11404465B2 (en) * 2020-06-15 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Epitaxial semiconductor liner for enhancing uniformity of a charged layer in a deep trench and methods of forming the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121568A (ja) * 1991-05-21 1993-05-18 Fujitsu Ltd 半導体装置の製造方法
JP2005513766A (ja) * 2001-12-14 2005-05-12 アプライド マテリアルズ インコーポレイテッド ダマシン適用において誘電体材料を堆積する方法
JP2006339506A (ja) * 2005-06-03 2006-12-14 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置の製造方法
WO2007140376A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A method for depositing and curing low-k films for gapfill and conformal film applications
JP2008135755A (ja) * 2006-11-28 2008-06-12 Air Products & Chemicals Inc 酸化ケイ素および窒化ケイ素膜の誘電的特性を変更するための有機シラン化合物
JP2008227511A (ja) * 2007-03-15 2008-09-25 Applied Materials Inc 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積
JP2009032911A (ja) * 2007-07-27 2009-02-12 Meidensha Corp 酸化膜形成方法及びその装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
US6727190B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US20020090834A1 (en) * 2000-12-18 2002-07-11 Lee Wei William Method for depositing silicon dioxide on a substrate surface using hexamethyldisiloxane (HMDSO) as a precursor gas
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
TWI263301B (en) * 2006-01-26 2006-10-01 United Microelectronics Corp Porous low-k dielectric film and fabrication method thereof
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121568A (ja) * 1991-05-21 1993-05-18 Fujitsu Ltd 半導体装置の製造方法
JP2005513766A (ja) * 2001-12-14 2005-05-12 アプライド マテリアルズ インコーポレイテッド ダマシン適用において誘電体材料を堆積する方法
JP2006339506A (ja) * 2005-06-03 2006-12-14 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置の製造方法
WO2007140376A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A method for depositing and curing low-k films for gapfill and conformal film applications
JP2009539265A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
JP2008135755A (ja) * 2006-11-28 2008-06-12 Air Products & Chemicals Inc 酸化ケイ素および窒化ケイ素膜の誘電的特性を変更するための有機シラン化合物
JP2008227511A (ja) * 2007-03-15 2008-09-25 Applied Materials Inc 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積
JP2009032911A (ja) * 2007-07-27 2009-02-12 Meidensha Corp 酸化膜形成方法及びその装置

Also Published As

Publication number Publication date
TW201030175A (en) 2010-08-16
TWI395831B (zh) 2013-05-11
KR20110104062A (ko) 2011-09-21
WO2010080216A2 (en) 2010-07-15
WO2010080216A3 (en) 2010-08-26
CN102282649A (zh) 2011-12-14
US8012887B2 (en) 2011-09-06
US20100159711A1 (en) 2010-06-24

Similar Documents

Publication Publication Date Title
JP2012513117A (ja) 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加
US8664127B2 (en) Two silicon-containing precursors for gapfill enhancing dielectric liner
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8236708B2 (en) Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7939422B2 (en) Methods of thin film process
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
US7943531B2 (en) Methods for forming a silicon oxide layer over a substrate
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
KR101115750B1 (ko) 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스
JP2014514729A (ja) 酸化ケイ素多重層を使用したパターンローディングの低減
JP2008227511A (ja) 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積
TW201137165A (en) Silicon-ozone CVD with reduced pattern loading using incubation period deposition
TW200531206A (en) Improved gap-fill techniques
WO2013036667A2 (en) Flowable silicon-carbon-nitrogen layers for semiconductor processing
JP2013533639A (ja) 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
TWI373823B (en) Limited thermal budget formation of pmd layers
US8476142B2 (en) Preferential dielectric gapfill
US20130252440A1 (en) Pretreatment and improved dielectric coverage
US20050215034A1 (en) Reduction of native oxide at germanium interface using hydrogen-based plasma

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121115

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121115

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20121115

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20121205

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130326

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130917