JP2009509319A - 金属相互接続構造体 - Google Patents

金属相互接続構造体 Download PDF

Info

Publication number
JP2009509319A
JP2009509319A JP2008530486A JP2008530486A JP2009509319A JP 2009509319 A JP2009509319 A JP 2009509319A JP 2008530486 A JP2008530486 A JP 2008530486A JP 2008530486 A JP2008530486 A JP 2008530486A JP 2009509319 A JP2009509319 A JP 2009509319A
Authority
JP
Japan
Prior art keywords
metal
dielectric
dielectric cap
layer
metal structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008530486A
Other languages
English (en)
Other versions
JP2009509319A5 (ja
JP5261647B2 (ja
Inventor
ヤン、チー−チャオ
チャンダ、カウシィク
クレベンジャー、ローレンス
ワン、ユン−ユー
ヤン、デウォン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009509319A publication Critical patent/JP2009509319A/ja
Publication of JP2009509319A5 publication Critical patent/JP2009509319A5/ja
Application granted granted Critical
Publication of JP5261647B2 publication Critical patent/JP5261647B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】 チップの金属ラインから外向き方向の、銅の所望されない輸送を低減することである。別の目的は、ビアと金属ラインとの間の界面における空隙の発生を回避又は低減することである。
【解決手段】 相互接続構造体及びその製造方法が提供される。相互接続構造体は、パターン形成された開口部を有する誘電体層と、パターン形成された開口部内に配置された金属構造と、金属構造の上に重なる誘電体キャップとを含む。誘電体キャップは、内部引張応力を有し、この応力は、特に金属ラインが引張応力を有する場合に、金属ラインから離れる方向のエレクトロマイグレーションが発生することを回避するのに役立つ。
【選択図】 図4

Description

本発明は、マイクロエレクトロニクス配線素子と金属相互接続構造体を有する半導体集積回路とを含むマイクロエレクトロニクスに関する。
エレクトロマイグレーションは、マイクロエレクトロニクス素子の長期的信頼性に深刻な影響を与え得る問題である。この問題は、半導体集積回路(「IC」又は「チップ」)の「後工程」(「BEOL」)構造体として設けられる銅相互接続の場合に、特に深刻であり得る。エレクトロマイグレーションは、水平方向の金属ラインの先端部、及びそのような金属ラインに垂直方向のビアが接続される位置で発生する傾向があり、これは主に、金属ラインがそのような位置で異なる種類の応力を受けるからである。
故障のメカニズムは、銅線内の空隙(void)の形成、及び銅線の上に重なるキャップ層として用いられる誘電体材料の層の界面に沿って発生する銅の物質輸送を含む。そのような故障の最大の原因は、電子の流れ(「電子風(electron wind)」)の力の下に下流方向に移動する金属イオンの正の発散を含む。堆積したとき、銅線は、堆積された粒子間の微視的な隙間である空孔(vacancies)を含む。時間の経過、熱及び/又は電流の適用により、空孔は移動しやすくなり、一緒に集まってかなりの大きさの空隙を形成する。結果として、空隙は、金属相互接続内の電子の主経路内の上流の位置で発生する傾向がある。
本発明の1つの目的は、チップの金属ラインから外向き方向の、銅の所望されない輸送を低減することである。別の目的は、ビアと金属ラインとの間の界面における空隙の発生を回避又は低減することである。
本発明の1つの様態によれば、相互接続構造体及び相互接続構造体を形成する方法が提供される。相互接続構造体は、パターン形成された開口部を有する誘電体層と、パターン形成された開口部内に配置された金属構造と、金属構造の上に重なる誘電体キャップとを備える。誘電体キャップは、内部引張応力(internal tensile stress)を有し、そのような応力は、特に金属ラインが内部引張応力を有する場合に、金属ラインから離れる方向へと導く金属のエレクトロマイグレーションを回避するのに役立つ。
本発明の実施形態において、誘電体キャップは、薄い誘電体材料の複数の層を堆積することによって形成され、各層の厚さは約50オングストローム未満である。各誘電体層は、誘電体キャップが内部引張応力を有するように、後続の各誘電体層を堆積する前にプラズマ処理される。
本発明の1つの実施形態によれば、金属構造は、アルミニウム、銅、タングステン、銀、金、及びニッケルから成る群から選択される、少なくとも1つの金属を含む。
金属構造は、好適には、開口部内に、パターン形成された開口部の壁及び底部をライニングする拡散障壁層と、拡散障壁層の上に重なる(overlying)銅の充填材とを含む。
好適な実施形態において、誘電体層の上面は、主表面を画定し、パターン形成された開口部は、主表面と平行な方向に配向された第1のパターン形成された開口部であり、金属構造は第1の金属構造である。誘電体層はさらに、第1のパターン形成された開口部に対して位置合わせされ、且つ主表面に交差する(transverse)方向に配向された第2のパターン形成された開口部を備える。相互接続構造体はさらに、第2のパターン形成された開口部内に配置された第2の金属構造を備えることができ、第2の金属構造は、第1の金属構造に導電的に接続する。
誘電体キャップ層は、二酸化シリコン(SiO)、Si、並びにx、y及びzが可変の百分率であるSiCから成る群から選択される1つ若しくは複数の誘電体材料又は誘電体材料の組み合わせを含むことができる。
1つの実施形態において、複数の誘電体キャップ層は、積層配置(stackedarrangement)として連続的に形成され、誘電体キャップ層の各々は、内部引張応力を有する。
複数の誘電体キャップ層は、少なくとも3つの誘電体キャップ層を含むことができ、誘電体キャップ層の各々は、約5オングストロームと50オングストロームとの間の厚さを有する。
複数の誘電体キャップ層の下に重なる(underlie)が、金属構造の上に重なる(overlie)誘電体下層を設けることができ、誘電体下層は、実質的に50オングストロームを超える厚さを有する。
拡散障壁層は、金属構造に対して位置合わせされ(aligned with)、且つ金属構造と接触することができ、誘電体キャップ層は、拡散障壁層の上に重なる。拡散障壁層は、好適には、約10オングストロームと約500オングストロームとの間の厚さを有する。
本発明の別の様態によれば、集積回路が提供される。集積回路は、パターン形成された開口部を有する誘電体層と、パターン形成された開口部内に配置された金属構造と備えた相互接続構造体を含む。誘電体キャップが、金属構造の上に設けられ、誘電体キャップは内部引張応力を有する。
本発明の別の様態によれば、相互接続構造体を形成するための方法が提供される。そのような方法によれば、開口部が、誘電体層内でパターン形成される。金属構造が、パターン形成された開口部内で形成される。誘電体キャップが金属構造の上に形成され、誘電体キャップは内部引張応力を有する。
1つの実施形態によれば、誘電体キャップを形成する前に、金属障壁層が、金属構造の上面と接触するように堆積されてもよい。本発明の特定の様態によれば、金属障壁層は、コバルト合金を含む。特定の実施形態において、金属障壁層は、約10オングストロームと約500オングストロームとの間の厚さを有する。
ここで、本発明の実施形態を、例示のみの目的で、以下の図面を参照して説明する。
本発明の実施形態によれば、1つの目的は、チップの金属ラインから外向き方向の、銅の所望されない輸送を低減することである。別の目的は、ビアと金属ラインとの間の界面における空隙の発生を回避又は低減することである。
図1は、本発明の実施形態に従って、パターン形成された開口部105内に形成された金属充填材101によって複数の金属相互接続が設けられた、マイクロエレクトロニクス素子又はチップの一部の断面図である。図1は、層間誘電体層又は「ILD」102が形成された製造段階を示す。ILDは、典型的には半導体チップ100の活性半導体層110の上に重なり、活性半導体層は、いわゆる「前工程」処理によって形成される1つ又は複数の能動デバイス及び導電性相互接続(図示せず)を含み、そのような導電性相互接続は、金属充填材101へ接続するための基礎を提供している。ILD内に、複数のパターン形成された開口部105が、典型的には、誘電体層102の上面によって画定される主表面108の方向に水平に延びるか、又はそのような表面と平行の方向に延びる、ライン・パターンの形で設けられる。パターン形成された開口部の各々の内部に、拡散障壁材料103が堆積されて各開口部の壁及び底部をライニング(line:裏打ち)し、その後、金属101が堆積されて各開口部内の残存スペースを充填する。これらのステップの後、典型的には、化学機械研磨(「CMP」)処理のような平坦化処理が続き、これが、パターン形成された開口部105の外側のILD102の上面108と接触する、いかなる余分な金属をも除去する。
誘電体層102内の各開口部を充填する金属101は、好適には、破壊的腐食が発生しにくく、高い導電性を有する金属、例えば貴金属である。しかしながら、数種類の金属及び金属の合金が、集積回路又はチップのBEOL製造における導電性相互接続ラインを形成するのに特に適しているものとして際立っている。そのような金属は、アルミニウム、銅、タングステン、銀、金、アルミ−銅、及びニッケルを含む。特定の実施形態において、誘電体層102内のパターン形成された開口部105を充填する金属101は、本質的に銅から成る。金属充填材101が、銅、又は誘電体材料を通して拡散しやすい他の金属を含む場合、すなわち、金属が「高い拡散係数」を有する場合、層101は、拡散障壁として機能する金属又は金属化合物の層の上に形成されることが好ましい。拡散障壁は、銅が、金属充填材101から、金属ラインの壁及び底部に隣接するILD102内へと拡散することを防止する。障壁層が、銅の導電特性に影響を与えない、あるいはILD102の誘電体材料と相互作用してその誘電特性に影響を与えないように、障壁層は、好ましくは、化学気相成長(CVD)又は原子層成長(ALD)を用いてスパッタリングして、銅と相互作用しない金属又は金属の化合物を堆積させることによって形成される。そのような障壁層は周知であり、さらに説明する必要はない。
次に、図2を参照すると、ILD102の露出された主表面108及び金属が充填されたライン・パターン101は、好ましくはアンモニア及び窒素種(NH及びN)の組み合わせ、あるいは代替的に水素(H)を用いたプラズマ処理を受ける。
プラズマ処理の後、誘電体キャップ層215の堆積がそれに続く。第1の誘電体キャップ層215は、金属充填されたライン・パターンに対する応力を維持することができるいかなる誘電体材料又は誘電体材料の組み合わせをも含むことができる。好適には、第1の誘電体キャップ層は、本質的に、二酸化シリコン(SiO)、窒化シリコン(Si)、並びに/又はx、y及びzが可変の百分率であるSiCのような他の誘電性シリコン化合物から成る群から選択される1つ又は複数の誘電体材料又は誘電体材料の組み合わせから成る。プラズマ処理及び誘電体キャップの堆積は、好ましくは、現場で、すなわち、同一処理チャンバ内で、又は1つ若しくは複数の接続されたチャンバを備える同一ツール内で実施される。そのようなやり方では、ILDの表面をプラズマ処理した後、誘電体キャップの堆積は、チャンバから基板を手動で取り出す必要なしに実施される、1つの実施形態において、第1の誘電体キャップ層215は、ILD102の上面108を覆うように、約50オングストローム未満の厚さまで堆積される。堆積後、第1の誘電体キャップ層215の露出された上面225は、第2のプラズマ処理を受ける。第2のプラズマ処理は、第1の誘電体キャップ層215に対して引張応力を与える効果がある。
その後、図3を参照すると、第2の誘電体キャップ層226が堆積され、第1の誘電体キャップ層の上面225を覆う。第1の誘電体キャップ層215と同様に、第2の誘電体キャップ層226は、好適には、約50オングストローム未満の厚さまで形成される。第1の誘電体キャップ層215と同様に、第2の誘電体キャップ層は、応力を維持することができるいかなる誘電体材料又は誘電体材料の組み合わせをも含むことができる。好適には、第2の誘電体キャップ層は、本質的に、二酸化シリコン、窒化シリコン、並びにx、y、及びzが可変の百分率であるSiCの形のシリコン、炭素、窒素及び水素の化合物から成る群から選択される1つ又は複数の誘電体材料又は誘電体材料の組み合わせから成る。好適には、第2の誘電体キャップ層は、本質的に、第1の誘電体キャップ層と同じ誘電体材料から成る。第1の誘電体キャップ層と同様に、第2の誘電体キャップ層226の上面230も、堆積された第2の誘電体キャップ層の引張応力を制御するために、好ましくは第1の誘電体キャップ層のプラズマ処理の際に用いられたものと同じ種を用いて、堆積後にプラズマ処理を受ける。例えば、プラズマ処理は、反応種として、アンモニア及び窒素の組み合わせ、又は代替的に水素を含むことができる。第1の誘電体キャップ層の場合と同様に、これらの堆積及びプラズマ処理プロセスは、現場で実施されることが好ましい。
第2の誘電体キャップ層226の堆積及びプラズマ処理の後、第3の誘電体キャップ層236が堆積され、第2の誘電体キャップ層の上面230を覆う。第1及び第2の誘電体キャップ層215及び226と同様に、第3の誘電体キャップ層236は、約50オングストローム未満の厚さまで形成される。第1及び第2の誘電体キャップ層215、226と同様に、第3の誘電体キャップ層は、応力を維持することができるいかなる誘電体材料又は誘電体材料の組み合わせをも含むことができる。好適には、第3の誘電体キャップ層は、本質的に、二酸化シリコン、窒化シリコン、及びSiCの形のシリコン、炭素、窒素及び水素の化合物から成る群から選択される1つ又は複数の誘電体材料又は誘電体材料の組み合わせから成る。好適には、第3の誘電体キャップ層236もまた、本質的に、第1及び第2の誘電体キャップ層と同じ誘電体材料から成る。第1及び第2の誘電体キャップ層に対して実施されたプラズマ処理と同様に、第3の誘電体キャップ層236の上面240も、第3の誘電体キャップ層内の引張応力を制御又は生成するために、好ましくは第1及び第2の誘電体キャップ層のプラズマ処理中の際に用いられたのと同じ種を用いて、堆積後にプラズマ処理を受ける。例えば、プラズマ処理は、反応種として、アンモニアと窒素の組み合わせ、又は代替的に水素を含むことができる。第1及び第2の誘電体キャップ層の場合と同様に、これらの堆積及びプラズマ処理プロセスは、現場で実施されることが好ましい。
連続的な誘電体キャップ層の堆積及びプラズマ処理の目的は、内部引張応力を有する誘電体キャップを達成することである。内部に引張応力がかかった誘電体キャップは、それが接触する金属充填材料101の表面に圧縮応力を及ぼすことになる。その結果として、金属充填材、例えば銅充填材は、接触する金属構造に対して、背景技術で説明された問題をもたらし得ることになる引張応力を及ぼしにくくなる。用いられる誘電体キャップ層の数及びその製造に関連する他のパラメータは、最重要なことではないということに留意されたい。むしろ、誘電体キャップの内部引張応力の大きさ及び安定性のほうが、重要度が高い。従って、もし誘電体キャップ材料の単層が、所望の大きさ及び性質の、必要とされる内部引張応力を有するように堆積されるならば、そのような単一の誘電体キャップ層で十分である。一方、これらの性質を達成するために3つを超える上記のような誘電体キャップ層が必要とされるなら、3つを超えるそのような誘電体キャップ層が上記の方法に従って堆積され、プラズマ処理される必要がある。
図4は、上記の方法に従って製造された金属相互接続を含む活性半導体チップ100の好適な実施形態を示す断面図である。そのような実施形態において、金属ライン115は水平方向、すなわち図4のページに出入りする方向に配向され、そのような方向は、ILD102の上面108に対しても平行である。垂直方向に配向された導電性ビア118は、活性半導体層110の活性領域112の上に重なる金属シリサイド122の層に導電的に接触し、活性領域112と金属ライン115との間に導電的通信を提供する。ILD102は、ビア118及び金属ライン115を半導体チップ100の他の構造から電気的に隔離する。1つの実施形態において、導電性ビア118は、タングステンのような金属、又は金属ライン115を充填するために使用されたものと同じ金属、例えば銅などで充填される。金属ラインの場合と同様に、ビア118が高い拡散係数を有する銅などの金属で充填される場合、ビア118の壁は、障壁材料119でライニング(line:裏打ち)されることが好ましい。あるいは、導電性ビア118は、ドープされたポリシリコン若しくはシリコン化合物、例えば導電性シリサイド若しくは金属シリサイドで充填されてもよく、又は、ビア118は、ドープされたポリシリコンとシリコンの導電性化合物との組み合わせで充填されてもよい。そのような場合は、そのようなシリコン含有導電性充填材がビアからILD内へと拡散するのを防ぐことは必ずしも必要ではないため、障壁層119は、存在してもよく、存在しなくてもよい。
図4はさらに、第2の金属ライン315の構造体を示し、これは、第1の層間誘電体レベル(「ILD102」)内に形成された金属相互接続の上に重なり、且つ、3つの誘電体キャップ層415、426及び436の形で設けられた誘電体キャップの上に重なる、第2の層間誘電体レベル(「ILD2」)内に配置される。例示的な配置において、金属相互接続ライン315は、第1のILD102の上面108と平行な水平方向に配向され、金属相互接続ライン315は、金属ライン115の方向に対して交差する(transverse)。第2のレベルの金属ライン315は、第1の金属ライン115と同様に、誘電体層ILD2(302)のパターン形成された開口部内に配置される。誘電体キャップ層415、426及び436は連続的に堆積及びプラズマ処理され、第2の金属ライン315の上の界面308に圧縮応力を与えるために、第2の金属ラインの上に重ねられる。第2のレベルの金属ライン315は、好ましくは、導電性ビア318を介して第1のILD102内に設けられた金属ラインの金属充填材101に導電的に接続される。
導電性ビア318は、好ましくは、ILD2 302並びに既存の誘電体キャップ層215、226及び236内に、垂直方向に配向された開口部をエッチングすることによって設けられる。その後、拡散障壁層319を堆積して、ビア318の壁並びにビアの上方に水平方向に配向された開口部の壁及び底部をライニング(裏打ち)することが好ましい。次に、金属層が残りの開口部を充填するように堆積され、その後、第1のILD102内での金属ラインの形成について上述したのと同様の方法で、CMP処理を行って金属ラインを形成する。引き続き、図4にさらに示されているように、所望の内部応力特性及び安定性を有する誘電体キャップがもたらされるまで、一連の誘電体キャップ層が、それぞれ連続して堆積され、プラズマ処理される。誘電体キャップ層は、応力を維持することができるいかなる誘電体材料又は誘電体材料の組み合わせをも含むことができる。しかしながら、好適には、誘電体キャップ層は、本質的に、二酸化シリコン、窒化シリコン、並びにx、y及びzが可変の百分率であるSiCの形のシリコン、炭素、窒素及び水素の化合物から成る群から選択される1つ又は複数の誘電体材料又は誘電体材料の組み合わせから成る。
図5は、上記の実施形態の変形を示している。そのような変形において、一連の比較的薄い個別の誘電体キャップ層215、226及び236を連続的に堆積及びプラズマ処理する前に、より厚い誘電体キャップ層310が最初に堆積されて、ILD102及びその中にある金属ライン・パターン101を覆う。この実施形態において、より厚い誘電体層は、好適には、約50オングストロームと約500オングストロームとの間の厚さを有する。この層310は、応力を維持することができるいかなる誘電体材料又は誘電体材料の組み合わせをも含むことができる。層310は、好適には、本質的に、二酸化シリコン、窒化シリコン、又はx、y及びzが可変の百分率である式SiCに従うシリコン、炭素、窒素及び水素の化合物から成る群から選択される1つ又は複数の誘電体材料又は誘電体材料の組み合わせから成る。好適には、誘電体層310は、本質的に、上に重なる誘電体キャップ層215、226及び236が形成されるのと同じ材料から成る。好適な実施形態において、より厚い誘電体層310は、二酸化シリコン、窒化シリコン、又はx、y及びzが可変の百分率である式SiCに従うシリコン、炭素、窒素、及び水素の化合物から成る群から選択される1つ又は複数の誘電体材料を堆積し、その後、上記の方法でプラズマ処理することにより形成される。
図6の断面図に示される別の実施形態において、引張応力がかけられた誘電体キャップ層215、226及び236の形成の前に、金属キャッピング層410が、各金属ライン101を覆うように選択的に堆積される。そのような金属キャッピング層は、高い拡散係数を有する銅のような金属ライン101内の金属が誘電体キャップ層又はILD102の中へ拡散するのを防止するのに役立つ、拡散障壁として機能することが好ましい。そのような金属キャッピング層は、本質的に、銅と適合性があり、且つ、金属ライン101上に選択的に堆積されることができる、例えば、電気めっき又は無電解めっきなどによってめっきされることができる金属化合物から成ることが好ましい。例示的な実施形態において、金属キャッピング層410は、本質的に、CoWP、CoSnP、CoP、CoB、CoSnB及びCoWBから成る群から選択される1つ又は複数の化合物から構成される。好適には、金属キャッピング層は、約10オングストロームから約500オングストロームの間の厚みを持つ。好適には、金属キャッピング層は、金属ラインを形成するための先立つ処理から生じた余分な金属がCMP処理などを通じて除去された後に、金属ライン上に選択的に堆積される。
本発明は、その特定の好適な実施形態に従って説明されてきたが、当業者は、本発明の真の範囲から逸脱することなく成されることができ、添付の特許請求の範囲によってのみ制限される、多くの改変や強化を理解するであろう。
本発明の実施形態に従った、製造段階の半導体チップの構造体の断面図である。 本発明の実施形態に従った、図1の製造段階に続く製造段階の半導体チップの構造体の断面図である。 本発明の実施形態に従った、図2の製造段階に続く製造段階の半導体チップの構造体の断面図である。 本発明の実施形態に従った、半導体チップの構造体の断面図である。 本発明の別の実施形態に従った、半導体チップの構造体の断面図である。 本発明のさらに別の実施形態に従った、半導体チップの構造体の断面図である。
符号の説明
100:半導体チップ
101:金属充填材
102、302:層間誘電体層(ILD)
103、119:拡散障壁層
105:開口部
108:主表面
110:活性半導体層
112:活性領域
115、315:金属ライン
118、318:導電性ビア
122:金属シリサイド
215、226、236、415、426、436:誘電体キャップ層
310:厚い誘電体キャップ層
410:金属キャッピング層

Claims (20)

  1. パターン形成された開口部を有する誘電体層と、
    前記パターン形成された開口部内に配置された金属構造と、
    前記金属構造の上に重なる誘電体キャップとを備え、前記誘電体キャップが内部引張応力を有する、相互接続構造体。
  2. 前記金属構造が、アルミニウム、銅、タングステン、銀、金及びニッケルから成る群から選択される少なくとも1つの金属を含む、請求項1に記載の相互接続構造体。
  3. 前記金属構造が、前記開口部内に、前記パターン形成された開口部の壁及び底部をライニングする拡散障壁層と、前記拡散障壁層の上に重なる銅の充填材とを含む、請求項1に記載の相互接続構造体。
  4. 前記誘電体層の上面が主表面を画定し、前記パターン形成された開口部が前記主表面と平行な方向に配向された第1のパターン形成された開口部であり、前記金属構造が第1の金属構造であり、前記誘電体層がさらに、前記第1のパターン形成された開口部に対して位置合わせされ、且つ前記主表面に交差する方向に配向された第2のパターン形成された開口部を備え、前記相互接続構造体がさらに、前記第2のパターン形成された開口部内に配置された第2の金属構造を備え、前記第2の金属構造が前記第1の金属構造に導電的に接続されている、請求項1に記載の相互接続構造体。
  5. 前記誘電体キャップが、二酸化シリコン(SiO)、Si、並びにx、y及びzが可変の百分率であるSiCから成る群から選択される少なくとも1つの材料を含む、請求項1に記載の相互接続構造体。
  6. 前記誘電体キャップが、積層配置として連続的に形成される複数の誘電体キャップ層を含み、前記誘電体キャップ層の各々が内部引張応力を有する、請求項1に記載の相互接続構造体。
  7. 前記複数の誘電体キャップ層が、少なくとも3つの前記誘電体キャップ層を含み、該誘電体キャップ層の各々が、5オングストロームと50オングストロームとの間の厚さを有する、請求項6に記載の相互接続構造体。
  8. 前記金属構造の上に重なり、且つ前記複数の誘電体キャップ層の下に重なる誘電体下層をさらに備え、前記誘電体下層が、実質的に50オングストロームを超える厚さを有する、請求項7に記載の相互接続構造体。
  9. 前記金属構造に対して位置合わせされ、且つ前記金属構造と接触する拡散障壁層をさらに備え、前記誘電体キャップが、前記拡散障壁層の上に重なる、請求項1に記載の相互接続構造体。
  10. 前記拡散障壁層が、10オングストロームと500オングストロームとの間の厚さを有する、請求項9に記載の相互接続構造体。
  11. 前記金属構造の上面に接触する金属拡散障壁層をさらに備え、前記金属拡散障壁がコバルト合金を含む、請求項1に記載の相互接続構造体。
  12. 請求項1から請求項11までのいずれか1項に記載の相互接続構造体を備える、集積回路。
  13. 相互接続構造体を形成する方法であって、
    誘電体層内で開口部をパターン形成するステップと、
    前記パターン形成された開口部内に金属構造を形成するステップと、
    前記金属構造の上に誘電体キャップを形成するステップとを含み、前記誘電体キャップが内部引張応力を有する、方法。
  14. 前記誘電体キャップが、誘電体材料を含む層を堆積し、前記堆積された層をプラズマ処理するステップによって形成される、請求項13に記載の方法。
  15. 前記金属構造が、アルミニウム、銅、タングステン、銀、金、及びニッケルから成る群から選択される少なくとも1つの金属を含む、請求項14に記載の方法。
  16. 前記金属構造を形成する前記ステップが、前記パターン形成された開口部の壁及び底部をライニングする拡散障壁層を堆積してライニングされた開口部を形成するステップと、前記ライニングされた開口部を銅で充填するステップとを含む、請求項14に記載の方法。
  17. 前記誘電体層の上面が主表面を画定し、前記パターン形成された開口部が前記主表面と平行な第1の方向に配向された第1のパターン開口部であり、前記金属構造が第1の金属構造であり、前記方法が、前記第1のパターン形成された開口部に位置合わせされた第2の開口部をパターン形成するステップをさらに含み、前記第2の開口部は前記第1の方向に交差する第2の方向に配向され、前記金属構造を形成する前記ステップが、前記第2のパターン形成された開口部内に第2の金属構造を形成するステップを含み、前記第2の金属構造が前記第1の金属構造に導電的に接続される、請求項16に記載の方法。
  18. 前記誘電体キャップを形成する前記ステップが、複数の誘電体キャップ層の各々を堆積させるステップと、前記誘電体キャップ層の各々が内部引張応力を有するように、後続の各誘電体キャップ層を堆積する前に前記誘電体キャップ層をプラズマ処理するステップとを含む、請求項14に記載の方法。
  19. 前記の複数の誘電体キャップ層を形成する前に、前記金属構造の上に誘電体下層を形成するステップをさらに含む、請求項18に記載の方法。
  20. 前記誘電体キャップを形成する前に、前記金属構造の上面に接触する金属障壁層を選択的に堆積させるステップをさらに含む、請求項14に記載の方法。
JP2008530486A 2005-09-19 2006-09-06 金属相互接続構造体 Active JP5261647B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/162,666 2005-09-19
US11/162,666 US7563704B2 (en) 2005-09-19 2005-09-19 Method of forming an interconnect including a dielectric cap having a tensile stress
PCT/EP2006/066077 WO2007039385A1 (en) 2005-09-19 2006-09-06 Metal interconnect structure for a microelectronic element

Publications (3)

Publication Number Publication Date
JP2009509319A true JP2009509319A (ja) 2009-03-05
JP2009509319A5 JP2009509319A5 (ja) 2009-04-16
JP5261647B2 JP5261647B2 (ja) 2013-08-14

Family

ID=37400882

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008530486A Active JP5261647B2 (ja) 2005-09-19 2006-09-06 金属相互接続構造体

Country Status (7)

Country Link
US (1) US7563704B2 (ja)
EP (1) EP1943675B1 (ja)
JP (1) JP5261647B2 (ja)
KR (1) KR20080047383A (ja)
CN (1) CN100583427C (ja)
TW (1) TWI397149B (ja)
WO (1) WO2007039385A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
US7666753B2 (en) * 2007-01-11 2010-02-23 International Business Machines Corporation Metal capping process for BEOL interconnect with air gaps
US8592312B2 (en) * 2007-06-07 2013-11-26 Globalfoundries Inc. Method for depositing a conductive capping layer on metal lines
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
US7732324B2 (en) * 2007-12-20 2010-06-08 Texas Instruments Incorporated Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer
US20090218644A1 (en) * 2008-02-29 2009-09-03 Gill Yong Lee Integrated Circuit, Memory Device, and Method of Manufacturing an Integrated Circuit
US8039966B2 (en) * 2009-09-03 2011-10-18 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
DE102009055439A1 (de) * 2009-12-31 2011-07-07 GLOBALFOUNDRIES Dresden Module One Limited Liability Company & Co. KG, 01109 Halbleiterbauelement mit halbleiterbasierten e-Sicherungen mit besserer Programmiereffizienz durch erhöhte Metallagglomeration und/oder Hohlraumbildung
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9224643B2 (en) * 2011-09-19 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for tunable interconnect scheme
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
KR102122593B1 (ko) * 2013-10-22 2020-06-15 삼성전자주식회사 반도체 소자
US9299605B2 (en) * 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
JP7280455B1 (ja) 2022-11-01 2023-05-23 ヤマザキマザック株式会社 加工シミュレーション装置、数値制御旋盤、工作機械システム、ワーク加工方法、および、プログラム

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10189577A (ja) * 1996-12-25 1998-07-21 Canon Sales Co Inc 層間絶縁膜の形成方法、半導体装置及びその製造方法
JPH11284148A (ja) * 1998-03-03 1999-10-15 Internatl Business Mach Corp <Ibm> 半導体装置及びその製造方法
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
JP2004080017A (ja) * 2002-08-14 2004-03-11 Internatl Business Mach Corp <Ibm> 相互接続構造体およびその形成方法
JP2004095865A (ja) * 2002-08-30 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法
JP2004158832A (ja) * 2002-10-17 2004-06-03 Renesas Technology Corp 半導体装置およびその製造方法
JP2005513766A (ja) * 2001-12-14 2005-05-12 アプライド マテリアルズ インコーポレイテッド ダマシン適用において誘電体材料を堆積する方法
JP2005515634A (ja) * 2002-01-15 2005-05-26 インターナショナル・ビジネス・マシーンズ・コーポレーション 最新beol配線構造でのhdpcvd/pecvd2層キャップとその製法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6303505B1 (en) 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6319819B1 (en) 2000-01-18 2001-11-20 Advanced Micro Devices, Inc. Process for passivating top interface of damascene-type Cu interconnect lines
US6383925B1 (en) 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
US6506677B1 (en) 2001-05-02 2003-01-14 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillock formation and improved electromigration resistance
US6429128B1 (en) 2001-07-12 2002-08-06 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
WO2003017359A1 (en) * 2001-08-13 2003-02-27 Ebara Corporation Semiconductor device and production method therefor, and plating solution
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6764951B1 (en) 2002-02-28 2004-07-20 Advanced Micro Devices, Inc. Method for forming nitride capped Cu lines with reduced hillock formation
US6797652B1 (en) 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
US6818557B1 (en) 2002-12-12 2004-11-16 Advanced Micro Devices, Inc. Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10189577A (ja) * 1996-12-25 1998-07-21 Canon Sales Co Inc 層間絶縁膜の形成方法、半導体装置及びその製造方法
JPH11284148A (ja) * 1998-03-03 1999-10-15 Internatl Business Mach Corp <Ibm> 半導体装置及びその製造方法
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
JP2005513766A (ja) * 2001-12-14 2005-05-12 アプライド マテリアルズ インコーポレイテッド ダマシン適用において誘電体材料を堆積する方法
JP2005515634A (ja) * 2002-01-15 2005-05-26 インターナショナル・ビジネス・マシーンズ・コーポレーション 最新beol配線構造でのhdpcvd/pecvd2層キャップとその製法
JP2004080017A (ja) * 2002-08-14 2004-03-11 Internatl Business Mach Corp <Ibm> 相互接続構造体およびその形成方法
JP2004095865A (ja) * 2002-08-30 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法
JP2004158832A (ja) * 2002-10-17 2004-06-03 Renesas Technology Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN101268549A (zh) 2008-09-17
CN100583427C (zh) 2010-01-20
TWI397149B (zh) 2013-05-21
US20070063348A1 (en) 2007-03-22
KR20080047383A (ko) 2008-05-28
US7563704B2 (en) 2009-07-21
TW200729400A (en) 2007-08-01
EP1943675A1 (en) 2008-07-16
JP5261647B2 (ja) 2013-08-14
EP1943675B1 (en) 2013-03-20
WO2007039385A1 (en) 2007-04-12

Similar Documents

Publication Publication Date Title
JP5261647B2 (ja) 金属相互接続構造体
US10943867B2 (en) Schemes for forming barrier layers for copper in interconnect structures
US6972254B1 (en) Manufacturing a conformal atomic liner layer in an integrated circuit interconnect
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US8349730B2 (en) Transitional interface between metal and dielectric in interconnect structures
US20060163739A1 (en) Semiconductor device and method for production thereof
US20100314765A1 (en) Interconnection structure of semiconductor integrated circuit and method for making the same
JP5325113B2 (ja) 二重ライナ・キャッピング層の相互接続構造の半導体デバイス及びその製造方法
US8119519B2 (en) Semiconductor device manufacturing method
US20100155949A1 (en) Low cost process flow for fabrication of metal capping layer over copper interconnects
US8987085B2 (en) Methods for improving uniformity of cap layers
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
KR100924556B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
KR20070005870A (ko) 반도체 소자의 구리 금속배선 형성방법
KR100945503B1 (ko) 반도체 소자의 금속배선 형성방법
KR100408182B1 (ko) 구리 배선용 장벽층 형성 방법
US6388330B1 (en) Low dielectric constant etch stop layers in integrated circuit interconnects
KR100920040B1 (ko) 반도체 소자의 배선 및 그의 형성방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090127

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120412

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120621

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120621

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120622

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130129

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130129

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130227

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130416

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5261647

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250