JP2004158832A - 半導体装置およびその製造方法 - Google Patents
半導体装置およびその製造方法 Download PDFInfo
- Publication number
- JP2004158832A JP2004158832A JP2003310953A JP2003310953A JP2004158832A JP 2004158832 A JP2004158832 A JP 2004158832A JP 2003310953 A JP2003310953 A JP 2003310953A JP 2003310953 A JP2003310953 A JP 2003310953A JP 2004158832 A JP2004158832 A JP 2004158832A
- Authority
- JP
- Japan
- Prior art keywords
- film
- insulating film
- interlayer insulating
- semiconductor device
- wiring
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title claims description 79
- 238000004519 manufacturing process Methods 0.000 title claims description 25
- 239000011229 interlayer Substances 0.000 claims abstract description 139
- 239000010410 layer Substances 0.000 claims description 73
- 238000000034 method Methods 0.000 claims description 68
- 229910052751 metal Inorganic materials 0.000 claims description 41
- 239000002184 metal Substances 0.000 claims description 41
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 39
- 239000000758 substrate Substances 0.000 claims description 39
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 32
- 238000005530 etching Methods 0.000 claims description 30
- 238000005268 plasma chemical vapour deposition Methods 0.000 claims description 19
- 229910052757 nitrogen Inorganic materials 0.000 claims description 16
- 238000000151 deposition Methods 0.000 claims description 11
- 238000009792 diffusion process Methods 0.000 claims description 10
- 230000008859 change Effects 0.000 claims description 6
- 230000008021 deposition Effects 0.000 claims description 6
- 238000009832 plasma treatment Methods 0.000 claims description 5
- 239000007769 metal material Substances 0.000 claims 3
- 239000002356 single layer Substances 0.000 claims 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 abstract description 52
- 229910052802 copper Inorganic materials 0.000 abstract description 52
- 239000010949 copper Substances 0.000 abstract description 52
- 238000003475 lamination Methods 0.000 abstract 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 67
- 229910010271 silicon carbide Inorganic materials 0.000 description 67
- 230000004888 barrier function Effects 0.000 description 29
- 239000007789 gas Substances 0.000 description 27
- 229920002120 photoresistant polymer Polymers 0.000 description 16
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 11
- 230000008569 process Effects 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 150000001343 alkyl silanes Chemical class 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 229910052760 oxygen Inorganic materials 0.000 description 7
- 239000012535 impurity Substances 0.000 description 6
- 230000005012 migration Effects 0.000 description 6
- 238000013508 migration Methods 0.000 description 6
- 238000005498 polishing Methods 0.000 description 6
- 229910020177 SiOF Inorganic materials 0.000 description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000000926 separation method Methods 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 4
- -1 alkyl compound Chemical class 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 125000006850 spacer group Chemical group 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 239000012790 adhesive layer Substances 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 230000006866 deterioration Effects 0.000 description 3
- 230000005684 electric field Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 239000000853 adhesive Substances 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 239000001272 nitrous oxide Substances 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- 229910015900 BF3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 238000005054 agglomeration Methods 0.000 description 1
- 230000002776 aggregation Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- WTEOIRVLGSZEPR-UHFFFAOYSA-N boron trifluoride Chemical compound FB(F)F WTEOIRVLGSZEPR-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
【解決手段】 配線層間膜15、23およびビア層間膜21のそれぞれをSiOC膜で構成し、ストッパ絶縁膜14、22およびキャップ絶縁膜20をSiCN膜AとSiC膜Bの積層膜で構成することによって、配線層間膜15、23およびビア層間膜21のリーク電流低減、ならびにストッパ絶縁膜14、22およびキャップ絶縁膜20との接着性向上を図る。
【選択図】 図8
Description
本発明の実施の形態1であるCMOSFET(Complementary Metal Oxide Semiconductor Field Effect Transistor)の製造方法を図1〜図7に示した半導体基板の要部断面図を用いて工程順に説明する。
本発明の実施の形態2であるCMOSFETの製造方法を図8に示した半導体基板の要部断面図を用いて説明する。
本実施の形態3では、窒素を含有したSiOC膜で配線層間膜15、23およびビア層間膜21を構成し、相対的にリーク電流の小さいSiCN膜でストッパ絶縁膜14,22およびキャップ絶縁膜20を構成するものである。窒素を含有したSiOC膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえばrfパワーが200〜1000W、圧力が2〜10Torr、温度が300〜400℃、ガス種がC含有ガス(たとえばアルキルシラン)とO2とN2、またはC含有ガス(たとえばアルキルシラン)とN2O、ガス流量が100〜2000sccmであり、SiCN膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえばrfパワーが200〜1000W、圧力が2〜10Torr、温度が300〜400℃、ガス種がC含有ガス(たとえばアルキルシラン)とNH3とHe、ガス流量が100〜2000sccmである。SiCN膜の厚さは、たとえば50nm程度である。
図10に示すように、本実施の形態4では、ストッパ絶縁膜14、22およびキャップ絶縁膜20のそれぞれを、SiCN膜AとSiC膜Bの積層膜で構成する。
前記実施の形態4のように、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOC膜C、SiC膜BおよびSiON膜Dの3層構造で構成した場合は、接着層であるSiC膜Bのエッチング選択比とSiOC膜CおよびSiON膜Dのエッチング選択比が異なるために、配線溝16、25や接続孔24を形成するためのエッチング工程でSiC膜Bがエッチングストッパ膜となり、スループットが低下する問題がある。
前記実施の形態5では、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOC膜CおよびSiON膜Dの2層構造で構成し、かつ両者の接着性を向上させるための各種処理を行ったが、本実施の形態では、図14に示すように、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOC膜CおよびSiOCN膜Eの2層構造で構成する。この場合、SiOCN膜Eの組成は、SiON膜Dに比べてSiOC膜Cに近いので接着性がよいことから、前記実施の形態5で行ったような膜の接着性を向上させるための各種処理が不要となる。
本実施の形態では、図15に示すように、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOCN膜Eで構成する。この場合は、配線層間膜15、23およびビア層間膜21のそれぞれをSiOC膜Cで構成する前記実施の形態1、2に比べて誘電率が高くなるが、配線層間膜15、23およびビア層間膜21のそれぞれを複数の膜で構成する前記実施の形態4〜6に比べて工程が大幅に短縮できる。また、SiOCN膜Eの組成は、SiOC膜Cに比べてSiCN膜Aに近いので、ストッパ絶縁膜14、22およびキャップ絶縁膜20のそれぞれの一部を構成するSiCN膜Aとの界面における接着性の低下も生じ難くなる。なお、誘電率が高くなるのを防ぐため、SiOCN膜E中の窒素濃度は、10atoms%以下にとどめることが望ましい。
2 素子分離領域
3 pウェル
4 nウェル
5 ゲート絶縁膜
6 ゲート電極
7 キャップ絶縁膜
8 サイドウォールスペーサ
9 n型半導体領域
10 p型半導体領域
11 層間絶縁膜
12 接続孔
13 プラグ
14 ストッパ絶縁膜
15 配線層間膜
16 配線溝
17 バリアメタル層
18 銅膜
19 配線
20 キャップ絶縁膜
21 ビア層間膜
22 ストッパ絶縁膜
23 配線層間膜
24 接続孔
25 配線溝
26 バリアメタル層
27 銅膜
28 配線
A SiCN膜
B SiC膜
C SiOC膜
D SiON膜
E SiOCN膜
Claims (21)
- 金属材料からなる配線を単層または多層に形成する半導体装置の製造方法であって、上下または左右に位置する前記配線の間を電気的に絶縁する層間絶縁膜をSiOC膜で形成し、前記SiOC膜に接して、前記SiOC膜とのヤング率の差が50GPa以下または応力の差が50MPa以下の絶縁膜を形成することを特徴とする半導体装置の製造方法。
- 金属材料からなる配線を単層または多層に形成する半導体装置の製造方法であって、上下または左右に位置する前記配線の間を電気的に絶縁する層間絶縁膜をSiOC膜で形成し、前記SiOC膜の上層または下層に相対的に薄いSiCN膜を形成し、前記SiOC膜と前記SiCN膜との間に5nm以上の厚さのSiC膜を介在させることを特徴とする半導体装置の製造方法。
- 金属材料からなる配線を単層または多層に形成する半導体装置の製造方法であって、上下または左右に位置する前記配線の間を電気的に絶縁する層間絶縁膜を窒素を含有するSiOC膜で形成し、前記SiOC膜に接して相対的に薄いSiCN膜を形成することを特徴とする半導体装置の製造方法。
- 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置であって、
前記層間絶縁膜は、SiOC膜と、前記SiOC膜上に形成されたSiC膜と、前記SiC膜上に形成されたSiON膜とからなり、
前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする半導体装置。 - 前記層間絶縁膜の一部を構成する前記SiON膜は、窒素含有量が5atoms%以下、または屈折率が1.495以下であることを特徴とする請求項4記載の半導体装置。
- 前記層間絶縁膜の中途部に、前記層間絶縁膜をエッチングして前記溝を形成する際のエッチングストッパとして機能するストッパ絶縁膜が形成され、前記ストッパ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする請求項4記載の半導体装置。
- 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置であって、
前記層間絶縁膜は、SiOC膜と、前記SiOC膜上に形成されたSiON膜とからなり、
前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする半導体装置。 - 前記層間絶縁膜の一部を構成する前記SiON膜の窒素含有量は、3〜4atoms%以下であることを特徴とする請求項7記載の半導体装置。
- 前記層間絶縁膜の一部を構成する前記SiON膜の屈折率は、1.485以下であることを特徴とする請求項7記載の半導体装置。
- 前記層間絶縁膜の中途部に、前記層間絶縁膜をエッチングして前記溝を形成する際のエッチングストッパとして機能するストッパ絶縁膜が形成され、前記ストッパ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする請求項7記載の半導体装置。
- 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置であって、
前記層間絶縁膜は、SiOC膜と、前記SiOC膜上に形成されたSiOCN膜とからなり、
前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする半導体装置。 - 前記層間絶縁膜の一部を構成する前記SiOC膜は、室温から450℃までの温度範囲における膜のストレス変化量が50MPa以下であることを特徴とする請求項11記載の半導体装置。
- 前記層間絶縁膜の一部を構成する前記SiOCN膜の窒素含有量は、10atoms%以下であることを特徴とする請求項11記載の半導体装置。
- 前記層間絶縁膜の中途部に、前記層間絶縁膜をエッチングして前記溝を形成する際のエッチングストッパとして機能するストッパ絶縁膜が形成され、前記ストッパ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする請求項11記載の半導体装置。
- 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置であって、
前記層間絶縁膜は、SiOCN膜からなり、
前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする半導体装置。 - 前記層間絶縁膜は、SiOCN膜と、前記SiOCN膜上に形成されたSiON膜とからなることを特徴とする請求項15記載の半導体装置。
- 前記層間絶縁膜を構成する前記SiOCN膜の窒素含有量は、10atoms%以下であることを特徴とする請求項15記載の半導体装置。
- 前記層間絶縁膜の中途部に、前記層間絶縁膜をエッチングして前記溝を形成する際のエッチングストッパとして機能するストッパ絶縁膜が形成され、前記ストッパ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする請求項15または16記載の半導体装置。
- 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成され、
前記層間絶縁膜は、SiOC膜と、前記SiOC膜上に形成されたSiON膜とからなり、
前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなる半導体装置の製造方法であって、
前記層間絶縁膜の一部を構成する前記SiOC膜を形成した後、前記SiOC膜の表面をプラズマ処理し、その後、前記SiOC膜上に前記SiON膜を形成することを特徴とする半導体装置の製造方法。 - 前記層間絶縁膜の一部を構成する前記SiOC膜は、成膜温度375℃のプラズマCVD法で堆積することを特徴とする請求項19記載の半導体装置の製造方法。
- 前記層間絶縁膜の一部を構成する前記SiOC膜を、室温から450℃までの温度範囲における膜のストレス変化量が50MPa以下となるように、プラズマCVD法で堆積することを特徴とする請求項19記載の半導体装置の製造方法。
Priority Applications (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003310953A JP4606713B2 (ja) | 2002-10-17 | 2003-09-03 | 半導体装置およびその製造方法 |
US10/684,399 US7176121B2 (en) | 2002-10-17 | 2003-10-15 | Semiconductor device and manufacturing method thereof |
TW092128712A TW200414283A (en) | 2002-10-17 | 2003-10-16 | Semiconductor device and the manufacturing method thereof |
US11/646,434 US7723849B2 (en) | 2002-10-17 | 2006-12-28 | Semiconductor device and manufacturing method thereof |
US12/771,494 US8012871B2 (en) | 2002-10-17 | 2010-04-30 | Semiconductor device and manufacturing method thereof |
US13/206,906 US20110291280A1 (en) | 2002-10-17 | 2011-08-10 | Semiconductor device and manufacturing method thereof |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2002302689 | 2002-10-17 | ||
JP2003310953A JP4606713B2 (ja) | 2002-10-17 | 2003-09-03 | 半導体装置およびその製造方法 |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2004158832A true JP2004158832A (ja) | 2004-06-03 |
JP2004158832A5 JP2004158832A5 (ja) | 2006-10-05 |
JP4606713B2 JP4606713B2 (ja) | 2011-01-05 |
Family
ID=32827950
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003310953A Expired - Lifetime JP4606713B2 (ja) | 2002-10-17 | 2003-09-03 | 半導体装置およびその製造方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US7176121B2 (ja) |
JP (1) | JP4606713B2 (ja) |
TW (1) | TW200414283A (ja) |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004235637A (ja) * | 2003-01-27 | 2004-08-19 | Asm Japan Kk | エッチストップ層の2段階形成方法 |
JP2006005190A (ja) * | 2004-06-18 | 2006-01-05 | Renesas Technology Corp | 半導体装置 |
DE102004042168A1 (de) * | 2004-08-31 | 2006-03-16 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration |
JP2006128591A (ja) * | 2004-01-13 | 2006-05-18 | Tokyo Electron Ltd | 半導体装置の製造方法及び成膜システム |
JP2007214403A (ja) * | 2006-02-10 | 2007-08-23 | Renesas Technology Corp | 半導体装置の製造方法 |
JP2009509319A (ja) * | 2005-09-19 | 2009-03-05 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 金属相互接続構造体 |
US7718269B2 (en) | 2005-03-14 | 2010-05-18 | Renesas Technology Corp. | Semiconductor manufacturing method for inter-layer insulating film |
WO2011158319A1 (ja) * | 2010-06-14 | 2011-12-22 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
WO2012020689A1 (ja) * | 2010-08-10 | 2012-02-16 | 国立大学法人東北大学 | 半導体装置の製造方法および半導体装置 |
CN104952790A (zh) * | 2010-06-14 | 2015-09-30 | 瑞萨电子株式会社 | 半导体器件的制造方法 |
JP2017163078A (ja) * | 2016-03-11 | 2017-09-14 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、ガス供給系、およびプログラム |
JP2021144983A (ja) * | 2020-03-10 | 2021-09-24 | 株式会社東芝 | アイソレータ |
Families Citing this family (166)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7271489B2 (en) * | 2003-10-15 | 2007-09-18 | Megica Corporation | Post passivation interconnection schemes on top of the IC chips |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
JP4813778B2 (ja) * | 2004-06-30 | 2011-11-09 | 富士通セミコンダクター株式会社 | 半導体装置 |
US7253123B2 (en) * | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US7576003B2 (en) * | 2006-11-29 | 2009-08-18 | International Business Machines Corporation | Dual liner capping layer interconnect structure and method |
JP5357401B2 (ja) * | 2007-03-22 | 2013-12-04 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US20100260992A1 (en) * | 2007-04-11 | 2010-10-14 | Wei-Chih Chen | Multi cap layer |
US20090283310A1 (en) * | 2007-04-11 | 2009-11-19 | Wei-Chih Chen | Multi cap layer and manufacturing method thereof |
JP2009088269A (ja) * | 2007-09-28 | 2009-04-23 | Toshiba Corp | 半導体装置、およびその製造方法 |
DE102008044988A1 (de) * | 2008-08-29 | 2010-04-22 | Advanced Micro Devices, Inc., Sunnyvale | Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8357608B2 (en) * | 2010-08-09 | 2013-01-22 | International Business Machines Corporation | Multi component dielectric layer |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9257399B2 (en) * | 2013-10-17 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D integrated circuit and methods of forming the same |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9281238B2 (en) * | 2014-07-11 | 2016-03-08 | United Microelectronics Corp. | Method for fabricating interlayer dielectric layer |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9362239B2 (en) * | 2014-10-21 | 2016-06-07 | Globalfoundries Inc. | Vertical breakdown protection layer |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9312224B1 (en) | 2014-12-11 | 2016-04-12 | International Business Machines Corporation | Interconnect structure containing a porous low k interconnect dielectric/dielectric cap |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US10950722B2 (en) * | 2014-12-31 | 2021-03-16 | Stmicroelectronics, Inc. | Vertical gate all-around transistor |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
KR102616489B1 (ko) | 2016-10-11 | 2023-12-20 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002503879A (ja) * | 1998-02-11 | 2002-02-05 | アプライド マテリアルズ インコーポレイテッド | 低誘電率膜を堆積するためのプラズマ処理方法 |
JP2002134494A (ja) * | 2000-10-19 | 2002-05-10 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
JP2004119969A (ja) * | 2002-09-03 | 2004-04-15 | Toshiba Corp | 半導体装置 |
JP2004128050A (ja) * | 2002-09-30 | 2004-04-22 | Toshiba Corp | 半導体装置およびその製造方法 |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US54765A (en) * | 1866-05-15 | Improved broom-head | ||
US30146A (en) * | 1860-09-25 | livingston | ||
US168849A (en) * | 1875-10-19 | Improvement in muff-block formers | ||
US192945A (en) * | 1877-07-10 | Improvement in lamp-burners | ||
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6255217B1 (en) | 1999-01-04 | 2001-07-03 | International Business Machines Corporation | Plasma treatment to enhance inorganic dielectric adhesion to copper |
JP3600507B2 (ja) | 2000-05-18 | 2004-12-15 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
JP4425432B2 (ja) | 2000-06-20 | 2010-03-03 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2002170882A (ja) | 2000-12-01 | 2002-06-14 | Nec Corp | 配線構造の製造方法 |
JP2002203899A (ja) | 2000-12-28 | 2002-07-19 | Matsushita Electric Ind Co Ltd | 銅相互接続構造の形成方法 |
JP2002324837A (ja) | 2001-04-25 | 2002-11-08 | Hitachi Ltd | 半導体装置の製造方法 |
KR100416596B1 (ko) | 2001-05-10 | 2004-02-05 | 삼성전자주식회사 | 반도체 소자의 연결 배선 형성 방법 |
JP2002373936A (ja) | 2001-06-14 | 2002-12-26 | Nec Corp | デュアルダマシン法による配線形成方法 |
KR100442863B1 (ko) | 2001-08-01 | 2004-08-02 | 삼성전자주식회사 | 금속-절연체-금속 커패시터 및 다마신 배선 구조를 갖는반도체 소자의 제조 방법 |
JP4257051B2 (ja) | 2001-08-10 | 2009-04-22 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
JP4152619B2 (ja) | 2001-11-14 | 2008-09-17 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
JP2002270691A (ja) | 2002-02-07 | 2002-09-20 | Nec Corp | 配線構造 |
US7238393B2 (en) * | 2003-02-13 | 2007-07-03 | Asm Japan K.K. | Method of forming silicon carbide films |
-
2003
- 2003-09-03 JP JP2003310953A patent/JP4606713B2/ja not_active Expired - Lifetime
- 2003-10-15 US US10/684,399 patent/US7176121B2/en not_active Expired - Lifetime
- 2003-10-16 TW TW092128712A patent/TW200414283A/zh not_active IP Right Cessation
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002503879A (ja) * | 1998-02-11 | 2002-02-05 | アプライド マテリアルズ インコーポレイテッド | 低誘電率膜を堆積するためのプラズマ処理方法 |
JP2002134494A (ja) * | 2000-10-19 | 2002-05-10 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
JP2004119969A (ja) * | 2002-09-03 | 2004-04-15 | Toshiba Corp | 半導体装置 |
JP2004128050A (ja) * | 2002-09-30 | 2004-04-22 | Toshiba Corp | 半導体装置およびその製造方法 |
Cited By (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004235637A (ja) * | 2003-01-27 | 2004-08-19 | Asm Japan Kk | エッチストップ層の2段階形成方法 |
JP2006128591A (ja) * | 2004-01-13 | 2006-05-18 | Tokyo Electron Ltd | 半導体装置の製造方法及び成膜システム |
JP4715207B2 (ja) * | 2004-01-13 | 2011-07-06 | 東京エレクトロン株式会社 | 半導体装置の製造方法及び成膜システム |
JP2006005190A (ja) * | 2004-06-18 | 2006-01-05 | Renesas Technology Corp | 半導体装置 |
DE102004042168A1 (de) * | 2004-08-31 | 2006-03-16 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration |
DE102004042168B4 (de) * | 2004-08-31 | 2009-08-20 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements |
US8158266B2 (en) | 2005-03-14 | 2012-04-17 | Renesas Electronics Corporation | Semiconductor manufacturing method for inter-layer insulating film |
US7718269B2 (en) | 2005-03-14 | 2010-05-18 | Renesas Technology Corp. | Semiconductor manufacturing method for inter-layer insulating film |
JP2009509319A (ja) * | 2005-09-19 | 2009-03-05 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 金属相互接続構造体 |
JP2007214403A (ja) * | 2006-02-10 | 2007-08-23 | Renesas Technology Corp | 半導体装置の製造方法 |
JP5684254B2 (ja) * | 2010-06-14 | 2015-03-11 | ルネサスエレクトロニクス株式会社 | 半導体装置 |
US10418328B2 (en) | 2010-06-14 | 2019-09-17 | Renesas Electronics Corporation | Semiconductor device and method of manufacturing the same |
US11515257B2 (en) | 2010-06-14 | 2022-11-29 | Renesas Electronics Corporation | Semiconductor device and method of manufacturing the same |
CN102939649A (zh) * | 2010-06-14 | 2013-02-20 | 瑞萨电子株式会社 | 半导体器件及其制造方法 |
WO2011158319A1 (ja) * | 2010-06-14 | 2011-12-22 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US9030014B2 (en) | 2010-06-14 | 2015-05-12 | Renesas Electronics Corporation | Semiconductor device and method of manufacturing the same |
CN104952790A (zh) * | 2010-06-14 | 2015-09-30 | 瑞萨电子株式会社 | 半导体器件的制造方法 |
US9337016B2 (en) | 2010-06-14 | 2016-05-10 | Renesas Electronics Corporation | Semiconductor device and method of manufacturing the same |
CN104952790B (zh) * | 2010-06-14 | 2019-01-01 | 瑞萨电子株式会社 | 半导体器件的制造方法 |
US10049984B2 (en) | 2010-06-14 | 2018-08-14 | Renesas Electronics Corporation | Semiconductor device and method of manufacturing the same |
JP2012038996A (ja) * | 2010-08-10 | 2012-02-23 | Tohoku Univ | 半導体装置の製造方法および半導体装置 |
WO2012020689A1 (ja) * | 2010-08-10 | 2012-02-16 | 国立大学法人東北大学 | 半導体装置の製造方法および半導体装置 |
KR20170106206A (ko) * | 2016-03-11 | 2017-09-20 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법, 기판 처리 장치, 가스 공급계 및 프로그램 |
JP2017163078A (ja) * | 2016-03-11 | 2017-09-14 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、ガス供給系、およびプログラム |
KR101992156B1 (ko) | 2016-03-11 | 2019-06-24 | 가부시키가이샤 코쿠사이 엘렉트릭 | 반도체 장치의 제조 방법, 기판 처리 장치, 가스 공급계 및 프로그램 |
US10586698B2 (en) | 2016-03-11 | 2020-03-10 | Kokusai Electric Corporation | Method of manufacturing semiconductor device, substrate processing apparatus and recording medium |
JP2021144983A (ja) * | 2020-03-10 | 2021-09-24 | 株式会社東芝 | アイソレータ |
JP7475903B2 (ja) | 2020-03-10 | 2024-04-30 | 株式会社東芝 | アイソレータ |
Also Published As
Publication number | Publication date |
---|---|
JP4606713B2 (ja) | 2011-01-05 |
US7176121B2 (en) | 2007-02-13 |
TW200414283A (en) | 2004-08-01 |
US20040192032A1 (en) | 2004-09-30 |
TWI311772B (ja) | 2009-07-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4606713B2 (ja) | 半導体装置およびその製造方法 | |
US8012871B2 (en) | Semiconductor device and manufacturing method thereof | |
JP4198906B2 (ja) | 半導体装置および半導体装置の製造方法 | |
KR100858450B1 (ko) | 반도체 장치 및 그 제조 방법 | |
US8008730B2 (en) | Semiconductor device, and manufacturing method thereof | |
TWI445129B (zh) | Semiconductor device and manufacturing method thereof | |
US20070197023A1 (en) | Entire encapsulation of Cu interconnects using self-aligned CuSiN film | |
US20050224986A1 (en) | Stable metal structure with tungsten plug | |
US8102051B2 (en) | Semiconductor device having an electrode and method for manufacturing the same | |
KR20150069565A (ko) | 반도체 구조체 및 그 제조 방법 | |
JP2006005190A (ja) | 半導体装置 | |
KR101391480B1 (ko) | 상호접속 구조 및 이의 형성 방법 | |
US9257331B2 (en) | Method of making interconnect structure | |
KR100914982B1 (ko) | 반도체 소자의 금속배선 및 그 형성방법 | |
US8993442B2 (en) | Interconnect structure and method for forming the same | |
JP2006135363A (ja) | 半導体装置および半導体装置の製造方法 | |
US7381660B2 (en) | Dielectric barrier layer for a copper metallization layer having a varying silicon concentration along its thickness | |
US7250364B2 (en) | Semiconductor devices with composite etch stop layers and methods of fabrication thereof | |
JP2001230254A (ja) | 半導体装置およびその製造方法 | |
JP2002270689A (ja) | 半導体装置の製造方法 | |
US20230386907A1 (en) | Dielectric silicon nitride barrier deposition process for improved metal leakage and adhesion | |
JP2007201490A (ja) | 半導体装置 | |
JP4688832B2 (ja) | 半導体装置及びその製造方法 | |
KR101029105B1 (ko) | 반도체 소자의 금속배선 및 그 형성방법 | |
KR20090121477A (ko) | 반도체 소자의 금속배선 형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20060817 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20060817 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20081014 |
|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A712 Effective date: 20100528 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100629 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100820 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20100914 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20101006 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4606713 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131015 Year of fee payment: 3 |
|
S531 | Written request for registration of change of domicile |
Free format text: JAPANESE INTERMEDIATE CODE: R313531 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
EXPY | Cancellation because of completion of term |