CN100583427C - 用于微电子元件的金属互连结构 - Google Patents

用于微电子元件的金属互连结构 Download PDF

Info

Publication number
CN100583427C
CN100583427C CN200680034290A CN200680034290A CN100583427C CN 100583427 C CN100583427 C CN 100583427C CN 200680034290 A CN200680034290 A CN 200680034290A CN 200680034290 A CN200680034290 A CN 200680034290A CN 100583427 C CN100583427 C CN 100583427C
Authority
CN
China
Prior art keywords
metallicity
layer
opening
dielectric cap
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200680034290A
Other languages
English (en)
Other versions
CN101268549A (zh
Inventor
杨智超
K·占达
L·克莱文格
王允愈
D·杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101268549A publication Critical patent/CN101268549A/zh
Application granted granted Critical
Publication of CN100583427C publication Critical patent/CN100583427C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供了一种互连结构以及制造所述互连结构的方法。所述互连结构包括具有构图的开口的介质层、在所述构图的开口中设置的金属特征、以及覆盖所述金属特征的介质帽。所述介质帽具有内部拉伸应力,所述应力有助于避免产生沿离开所述金属线路的方向的电迁徙,特别是当所述金属线路具有拉伸应力时。

Description

用于微电子元件的金属互连结构
技术领域
本发明涉及包括微电子布线元件的微电子学以及具有金属互连结构的半导体集成电路。
背景技术
电迁徙是严重影响微电子元件长期可靠性的难题。在作为半导体集成电路(“IC”或“芯片”)的“后段制程”(“BEOL”)结构的铜互连中,该问题尤为严重。电迁徙倾向于发生在水平定向的金属线路(line)的末端和垂直定向的过孔被接合到这样的金属线路的位置处,其主要因为在这样的位置处金属线路会经受不同类型的应力。
失效机理包括铜线路中的空隙形成以及铜的质量输运,该质量输运在作为覆盖铜线路的帽层的介质材料层的界面处发生。这样的失效的常见原因包括在电子流动(“电子风”)的力的作用下金属离子的正向发散向下游移动。淀积后,铜线路包括空位,该空位是在淀积的颗粒之间的微间隙。施加热和/或电流,并经过一段时间,空位倾向于移动并积聚到一起以形成大尺寸的空隙。结果,在电子的预定路径的上游位置处,在金属互连中易形成空隙。
发明内容
根据本发明的一个方面,提供了一种互连结构和形成所述互连结构的方法。所述互连结构包括具有构图的开口的介质层,设置在所述构图的开口中的金属特征,以及覆盖所述金属特征的介质帽。所述介质帽具有内部拉伸应力,这样的应力有助于避免沿离开所述金属线路的方向的所述金属的电迁徙,特别是当所述金属线路具有张应力时。
在本发明的一个实施例中,通过淀积多个薄介质材料层形成所述介质帽,每一个薄介质材料层的厚度小于约50埃。在淀积每一个后续的介质层之前,等离子体处理每一个介质层以便所述介质帽具有内部拉伸应力。
根据本发明的一个实施例,所述金属特征包括选自铝、铜、钨、银、金、和镍的至少一种金属。
优选地,所述金属特征包括扩散阻挡层和铜的填充,所述扩散阻挡层给所述构图的开口的壁和底部加衬里,所述铜的填充覆盖所述开口内的所述扩散阻挡层。
在优选的实施例中,所述介质层的上表面限定了主表面,所述构图的开口为沿平行于所述主表面的方向定向的第一构图的开口,以及所述金属特征是第一金属特征。所述介质层还包括与所述第一构图的开口对准并沿相对于所述主表面的横向方向定向的第二构图的开口。所述互连结构还包括设置在所述第二构图的开口中的第二金属特征,所述第二金属特征被导电地连接至所述第一金属特征。
所述介质帽层包括选自二氧化硅(SiO2)、Si3N4、SiCxNyHz的一种或多种介质材料或介质材料的组合,其中x、y、z是可变的百分比。
在一个实施例中,以叠层的形式依次形成多个介质帽层,每一个所述介质帽层具有内部拉伸应力。
所述多个介质帽层包括至少三个介质帽层,每一个所述介质帽层具有约5埃到50埃之间的厚度。
可以提供介质垫层,所述介质垫层在所述多个介质帽层之下并覆盖所述金属特征,所述介质垫层具有基本上大于50埃的厚度。
扩散阻挡层与所述金属特征对准并接触所述金属特征,并且所述介质帽层覆盖所述扩散阻挡层。优选地,所述扩散阻挡层具有在约10埃到约500埃之间的厚度。
根据本发明的另一方面,提供了一种集成电路。所述集成电路包括互连结构,所述互连结构包括具有构图的开口的介质层和设置在所述构图的开口中的金属特征。在所述金属特征之上设置介质帽,所述介质帽具有内部拉伸应力。
根据本发明的另一方面,提供了一种用于形成互连结构的方法。根据这样的方法,在介质层中构图开口。在所述构图的开口中形成金属特征。在所述金属特征之上形成介质帽,所述介质帽具有内部拉伸应力。
根据一个实施例,可以在形成所述介质帽之前,淀积与所述金属特征的上表面接触的金属阻挡层。根据本发明的所述方面,所述金属阻挡层包括钴的合金。在所述实施例中,所述金属阻挡层具有约10埃到约500埃之间的厚度。
附图说明
现在将通过实例,并参考下列附图,描述本发明的实施例,在附图中:
图1是根据本发明的实施例的在制造期间的半导体芯片结构的截面视图;
图2是根据本发明的实施例的在图1的制造步骤之后的制造步骤期间的半导体芯片结构的截面视图;
图3是根据本发明的实施例的在图2的制造步骤之后的制造步骤期间的半导体芯片结构的截面视图;
图4是根据本发明的实施例的半导体芯片结构的截面视图;
图5是根据本发明的另一实施例的半导体芯片结构的截面视图;以及
图6是根据本发明的又一实施例的半导体芯片结构的截面视图。
具体实施方式
根据这里的本发明的实施例,一个目的是减小铜自芯片的金属线路向外的不希望的输运。另一个目的是在过孔与金属线路之间的界面处避免或减小空隙的发生。
图1是根据本发明的实施例的微电子元件或芯片的一部分的截面视图,其中通过在构图的开口105中形成的金属填充101来提供多个金属互连。图1示例了这样的制造步骤,其中已形成了层间介质层或“ILD”102。典型地,ILD覆盖半导体芯片100的有源半导体层110,该有源半导体层包括通过称为“前段制程”的处理形成的一个或多个有源器件和导电互连(未示出),这样的导电互连为至金属填充101的连接提供了基底。典型地,在ILD内以这样的线路图形的形式设置多个构图的开口105,该线路图形沿介质层102的上表面所限定的主表面108水平延伸,或沿平行于这样的表面的方向延伸。在每一个构图的开口内,淀积扩散阻挡层103以为每一个开口的壁和底部加衬里,之后淀积金属101以填充在每一个开口内的剩余空间。典型地,在这些步骤之后是平坦化工艺,例如化学机械抛光(“CMP”)工艺,该工艺去除了在构图的开口105的外部的接触ILD 102的上表面108的任何的多余金属。
用于填充介质层102中的每一个开口的金属101优选为不易发生破坏性腐蚀并具有良好的导电特性的金属,例如贵金属。然而,在集成电路或芯片的BEOL制造期间,几种金属和金属合金特别适合于形成导电互连线路。这样的金属包括铝、铜、钨、银、金、铝-铜以及镍。在特定的实施例中,用于填充介质层102中的构图的开口105的金属101基本上由铜构成。当金属填充101包括易扩散穿过介质层的铜或其它金属时,即当金属具有“高扩散系数”时,优选在作为阻挡层的金属或金属化合物层之上形成层101。扩散阻挡层阻止铜从金属填充101扩散到邻近金属线路的壁和底部的ILD 102中。优选通过溅射形成阻挡层,使用化学气相淀积(“CVD”)、或原子层淀积(“ALD”)淀积不与铜反应的金属或金属的化合物,使阻挡层不影响铜的导电特性或不与ILD102的介质材料发生反应从而不影响其介质特性。公知这样的阻挡层并不需要进一步讨论。
接下来,参考图2,  使ILD102的暴露的主表面108和金属填充的线路图形101经受等离子体处理,优选使用氨和氮种(species)(NH3和N2),或可选的氢(H2)的组合。
在等离子体处理之后,淀积介质帽层215。第一介质帽层215包括能够保持相对于金属填充的线路图形的应力的任何的介质材料或介质材料的组合。优选地,第一介质帽层基本上由这样的一种或多种介质材料或介质材料的组合构成,该一种或多种介质材料或介质材料的组合选自二氧化硅(SiO2)、氮化硅(Si3N4)、或其它硅的化合物介质例如SiCxNyHz,其中x、y、z是可变的百分比。优选原位(in situ)进行等离子体处理和介质帽淀积,即,在同一工艺腔或在具有一个或多个连接的腔的同一工具中进行等离子体处理和介质帽淀积。以这样的方式,可以进行上述处理而不必在等离子体处理ILD的表面之后为淀积介质帽人工地从腔中移出衬底。在一个实施例中,将第一介质帽层215淀积至小于约50埃的厚度以覆盖ILD102的上表面108。在淀积之后,使第一介质帽层215的暴露的上表面225经受第二等离子体处理。第二等离子体处理将拉伸应力赋予第一介质帽层215。
之后,参考图3,淀积第二介质帽层226以覆盖第一介质帽层的上表面225。与第一介质帽层215相似,优选将第二介质帽层226形成至小于约50埃的厚度。与第一介质帽层215相似,第二介质帽层包括能够保持应力的任何的介质材料或介质材料的组合。优选地,第二介质帽层基本上由这样的一种或多种介质材料或介质材料的组合构成,该一种或多种介质材料或介质材料的组合选自二氧化硅、氮化硅、以及硅、碳、氮和氢的化合物,该硅、碳、氮和氢的化合物的形式为SiCxNyHz,其中x、y、z是可变的百分比。优选地,第二介质帽层基本上由与第一介质帽层相同的介质材料构成。与第一介质帽层相似,在淀积之后优选使用与在第一介质帽层的等离子体处理期间所使用的种相同的种,使第二介质帽层226的上表面230同样经受等离子体处理以控制淀积的第二介质帽层的拉伸应力。例如,等离子体处理可以包括作为反应种的氨和氮或可选的氢的混合物。与第一介质帽层的情况相同,优选地,原位进行这些淀积和等离子体处理工艺。
在第二介质帽层226的淀积和等离子体处理之后,淀积第三介质帽层236以覆盖第二介质帽层的上表面230。与第一和第二介质帽层215和226相似,将第三介质帽236层形成至小于约50埃的厚度。与第一和第二介质帽层215和226相似,第三介质帽层包括能够保持应力的任何的介质材料或介质材料的组合。优选地,第三介质帽层基本上由这样的一种或多种介质材料或介质材料的组合构成,该一种或多种介质材料或介质材料的组合选自二氧化硅、氮化硅、以及形式为SiCxNyHz的硅、碳、氮和氢的化合物。此外,优选地,第三介质帽层236基本上由与第一和第二介质帽层相同的介质材料构成。与用于第一和第二介质帽层的等离子体处理相同,在淀积之后优选使用与在第一和第二介质帽层的等离子体处理期间所使用的种相同的种,使第三介质帽层236的上表面240同样经受等离子体处理以控制或产生第三介质帽层的拉伸应力。例如,等离子体处理包括作为反应种的氨和氮或可选的氢的混合物。与第一和第二介质帽层的情况相同,优选原位进行这些淀积和等离子体处理工艺。
依次淀积各介质帽层和等离子体处理的目的是获得具有内部拉伸应力的介质帽层。具有内部拉伸应力的介质帽层将在其接触的金属填充材料101的表面处施加压缩应力。结果,金属填充例如铜填充不易对其接触的金属特征施加拉伸应力,而拉伸应力会导致上述背景技术中的问题。注意,使用的介质帽层的数目和与其制造相关的参数不是最重要的。更具体而言,介质帽的内部拉伸应力的大小和稳定性具有较高的重要性。因此,如果淀积单层的介质帽材料来获得所需要的具有希望的量值和特性的内部拉伸应力,那么单个的这样的介质帽层便可以满足要求。另一方面,如果需要三个以上的这样的介质帽层来获得这些特性,那么需要根据上述方法淀积和等离子体处理三个以上的这样的介质帽层。
图4是截面视图,示例了包括根据上述方法制造的金属互连的有源半导体芯片100的优选实施例。在该实施例中,金属线路115沿水平方向定向,即沿图4中进入和穿出纸张的方向,该方向还平行于ILD102的上表面108。垂直定向的导电过孔118导电接触覆盖有源半导体层110的有源区域112的金属硅化物层122,以在有源区域112与金属线路115之间提供导电通讯。ILD102电隔离过孔118和金属线路115与半导体芯片100的其它特征。在一个实施例中,使用金属例如钨或与用于填充金属线路115的金属相同金属例如铜,来填充导电过孔118。在具有金属线路的情况下,当使用金属例如具有高扩散系数的铜填充过孔118时,优选地使用阻挡材料119为过孔118的壁加衬里。可选地,可以用掺杂的多晶硅或硅的化合物,例如导电的或金属硅化物填充导电过孔118,或者可以使用掺杂的多晶硅与导电的硅的化合物的组合来填充过孔118。在该情况下,当不必阻止这样的包含硅的导电填充从过孔扩散到ILD中时,阻挡层119可以存在也可以不存在。
图4还示例了在第二层间介质层(“ILD2”)302中设置的第二层金属线路315的结构,其中该第二层间介质层302覆盖形成在第一层间介质层(ILD 102)中的金属互连,在第二层金属线路315上覆盖以三个介质帽层415、426以及436的形式设置的介质帽。在示例性的设置中,沿平行于第一ILD 102的上表面108的水平方向定向金属互连线路315,金属互连线路315沿相对于金属线路115的方向的横向方向。与第一金属线路115相似,在介质层ILD2(302)的构图的开口中淀积第二层金属线路315。依次淀积和等离子体处理介质帽层415、426以及436以覆盖第二层金属线路从而在作为界面的第二金属线路315的上表面308处施加压缩应力。优选地,通过导电过孔318将第二层金属线路315导电连接至设置在第一ILD102中的金属线路的金属填充101。
优选地,通过在ILD2 302和存在的介质帽层215、226和236中蚀刻垂直定向的开口来提供导电过孔318。之后,优选地,淀积扩散阻挡层319以给过孔318的壁加衬里和给在过孔之上的水平定向的开口的壁和底部加衬里。然后,以与上述用于在第一ILD102中形成金属线路的方式相同的方式,淀积金属层以填充剩余的开口,随后进行CMP工艺以形成金属线路。随后,如图4所进一步示出的,依次淀积和等离子体处理一系列的介质帽层,直到介质帽具有希望的内部应力特性和稳定性。介质帽层包括能够保持应力的任何的介质材料或介质材料的组合。然而,优选地,介质帽层基本上由这样的一种或多种介质材料或介质材料的组合构成,该一种或多种介质材料或介质材料的组合选自二氧化硅、氮化硅、以及SiCxNyHz形式的硅、碳、氮和氢的化合物,其中x、y、z是可变的百分比。
图5示例了上述实施例的变化。在该变化中,在依次淀积和等离子体处理一系列的单独的相对薄的介质帽层215、226和236之前,首先淀积较厚的介质帽层310覆盖ILD 102和其中的金属线路图形101。在该实施例中,较厚的介质层优选具有在约50埃到约500埃之间的厚度。该层310可以包括能够保持应力的任何的介质材料或介质材料的组合。优选地,层310基本上由这样的一种或多种介质材料或介质材料的组合构成,该一种或多种介质材料或介质材料的组合选自二氧化硅、氮化硅、或根据公式SiCxNyHz的硅、碳、氮和氢的化合物,其中x、y、z是可变的百分比。优选地,介质层310基本上由与形成覆盖介质帽层215、226和236的材料相同的材料构成。在优选的实施例中,通过淀积一种或多种介质材料形成较厚的介质层310,该一种或多种介质材料选自二氧化硅、氮化硅、或根据公式SiCxNyHz的硅、碳、氮和氢的化合物,其中x、y、z是可变的百分比,之后以上述的方式进行等离子体处理。
在图6的截面视图所示例的另一实施例中,在形成具有拉伸应力的介质帽层215、226和236之前,选择性地淀积金属盖帽层410以覆盖每一个金属线路101。优选地,这样的金属盖帽层作为扩散阻挡层,其有助于防止金属线路101内的金属例如具有高扩散系数的铜扩散到介质帽层或ILD102中。优选地,这样的金属盖帽层基本上由这样的金属化合物构成,该金属化合物与铜匹配并可以被选择性地淀积到金属线路101上,例如通过电镀敷或无电镀敷镀敷到金属线路101上。在示例性的实施例中,金属盖帽层410基本上由选自CoWP、CoSnP、CoP、CoB、CoSnB、以及CoWB的一种或多种化合物构成。优选地,金属盖帽层具有约10埃到500埃之间的厚度。优选地,在通过例如CMP工艺去除由形成金属线路的先前的处理所产生的过量金属之后,将金属盖帽层选择性地淀积到金属线路上。
虽然根据一些优选的实施例描述了本发明,但是本领域的技术人员将理解,可以做出许多修改和改进而不背离本发明的真实范围,仅仅由所附权利要求限定本发明的范围。

Claims (17)

1.一种互连结构,包括:
介质层,具有构图的开口;
金属特征,设置在所述构图的开口中;以及
介质帽,包括多个介质帽层,覆盖所述金属特征,所述介质帽具有内部拉伸应力。
2.根据权利要求1的互连结构,其中所述金属特征包括选自铝、铜、钨、银、金、以及镍的至少一种金属。
3.根据权利要求1的互连结构,其中所述金属特征包括扩散阻挡层和铜的填充,所述扩散阻挡层为所述构图的开口的壁和底部加衬里,所述铜的填充覆盖所述开口内的所述扩散阻挡层。
4.根据权利要求1的互连结构,其中所述介质层的上表面限定了主表面,所述构图的开口为沿平行于所述主表面的方向定向的第一构图的开口,所述金属特征是第一金属特征,以及所述介质层还包括与所述第一构图的开口对准并沿相对于所述主表面的横向方向定向的第二构图的开口,所述互连结构还包括在所述第二构图的开口中设置的第二金属特征,所述第二金属特征被导电地连接至所述第一金属特征。
5.根据权利要求1的互连结构,其中所述介质帽包括选自二氧化硅(SiO2)、Si3N4、以及SiCxNyHz的至少一种材料,其中x、y、z是可变的百分比。
6.根据权利要求1的互连结构,其中所述多个介质帽层包括至少三个所述介质帽层,每一个所述介质帽层具有5埃到50埃之间的厚度。
7.根据权利要求6的互连结构,还包括覆盖所述金属特征并在所述多个介质帽层之下的介质垫层,所述介质垫层具有大于50埃的厚度。
8.根据权利要求1的互连结构,还包括对准所述金属特征并接触所述金属特征的扩散阻挡层,其中所述介质帽覆盖所述扩散阻挡层。
9.根据权利要求8的互连结构,其中所述扩散阻挡层具有10埃到500埃之间的厚度。
10.根据权利要求1的互连结构,还包括与所述金属特征的上表面接触的金属扩散阻挡层,所述金属扩散阻挡层包括钴的合金。
11.一种集成电路,包括权利要求1至10中的任何一项的互连结构。
12.一种形成微电子元件的互连结构的方法,包括以下步骤:
在介质层中构图开口;
在所述构图的开口中形成金属特征;以及
在所述金属特征之上形成介质帽,所述介质帽具有内部拉伸应力;
其中形成所述介质帽的所述步骤包括淀积多个介质帽层中的每一个介质帽层并在淀积每一个后续的介质帽层之前等离子体处理每一个所述介质帽层以便每一个所述介质帽层具有内部拉伸应力。
13.根据权利要求12的方法,其中所述金属特征包括选自铝、铜、钨、银、金、以及镍的至少一种金属。
14.根据权利要求12的方法,其中形成所述金属特征的所述步骤包括淀积扩散阻挡层为所述构图的开口的壁和底部加衬里从而形成具有衬里的开口并使用铜填充所述具有衬里的开口。
15.根据权利要求14的方法,其中所述介质层的上表面限定了主表面,所述构图的开口为沿平行于所述主表面的第一方向定向的第一构图的开口以及所述金属特征是第一金属特征,所述方法还包括构图对准所述第一构图的开口的第二开口,所述第二开口被定向为沿第二方向,所述第二方向是相对于所述第一方向的横向方向,以及形成所述金属特征的所述步骤包括在所述第二构图的开口中形成第二金属特征,所述第二金属特征被导电地连接至所述第一金属特征。
16.根据权利要求12的方法,还包括在形成所述多个介质帽层之前在所述金属特征之上形成介质垫层。
17.根据权利要求12的方法,还包括在形成所述介质帽之前选择性地淀积接触所述金属特征的上表面的金属阻挡层。
CN200680034290A 2005-09-19 2006-09-06 用于微电子元件的金属互连结构 Active CN100583427C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/162,666 2005-09-19
US11/162,666 US7563704B2 (en) 2005-09-19 2005-09-19 Method of forming an interconnect including a dielectric cap having a tensile stress

Publications (2)

Publication Number Publication Date
CN101268549A CN101268549A (zh) 2008-09-17
CN100583427C true CN100583427C (zh) 2010-01-20

Family

ID=37400882

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680034290A Active CN100583427C (zh) 2005-09-19 2006-09-06 用于微电子元件的金属互连结构

Country Status (7)

Country Link
US (1) US7563704B2 (zh)
EP (1) EP1943675B1 (zh)
JP (1) JP5261647B2 (zh)
KR (1) KR20080047383A (zh)
CN (1) CN100583427C (zh)
TW (1) TWI397149B (zh)
WO (1) WO2007039385A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
US7666753B2 (en) * 2007-01-11 2010-02-23 International Business Machines Corporation Metal capping process for BEOL interconnect with air gaps
US8592312B2 (en) * 2007-06-07 2013-11-26 Globalfoundries Inc. Method for depositing a conductive capping layer on metal lines
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
US7732324B2 (en) * 2007-12-20 2010-06-08 Texas Instruments Incorporated Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer
US20090218644A1 (en) * 2008-02-29 2009-09-03 Gill Yong Lee Integrated Circuit, Memory Device, and Method of Manufacturing an Integrated Circuit
US8039966B2 (en) * 2009-09-03 2011-10-18 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
DE102009055439A1 (de) * 2009-12-31 2011-07-07 GLOBALFOUNDRIES Dresden Module One Limited Liability Company & Co. KG, 01109 Halbleiterbauelement mit halbleiterbasierten e-Sicherungen mit besserer Programmiereffizienz durch erhöhte Metallagglomeration und/oder Hohlraumbildung
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9224643B2 (en) * 2011-09-19 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for tunable interconnect scheme
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
KR102122593B1 (ko) * 2013-10-22 2020-06-15 삼성전자주식회사 반도체 소자
US9299605B2 (en) * 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
JP7280455B1 (ja) 2022-11-01 2023-05-23 ヤマザキマザック株式会社 加工シミュレーション装置、数値制御旋盤、工作機械システム、ワーク加工方法、および、プログラム

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JP3226816B2 (ja) * 1996-12-25 2001-11-05 キヤノン販売株式会社 層間絶縁膜の形成方法、半導体装置及びその製造方法
US6369423B2 (en) * 1998-03-03 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device with a thin gate stack having a plurality of insulating layers
US6303505B1 (en) 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6319819B1 (en) 2000-01-18 2001-11-20 Advanced Micro Devices, Inc. Process for passivating top interface of damascene-type Cu interconnect lines
US6383925B1 (en) 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
US6506677B1 (en) 2001-05-02 2003-01-14 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillock formation and improved electromigration resistance
US6429128B1 (en) 2001-07-12 2002-08-06 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
WO2003017359A1 (en) * 2001-08-13 2003-02-27 Ebara Corporation Semiconductor device and production method therefor, and plating solution
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20030134499A1 (en) 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6764951B1 (en) 2002-02-28 2004-07-20 Advanced Micro Devices, Inc. Method for forming nitride capped Cu lines with reduced hillock formation
US6797652B1 (en) 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
US6617690B1 (en) * 2002-08-14 2003-09-09 Ibm Corporation Interconnect structures containing stress adjustment cap layer
JP2004095865A (ja) * 2002-08-30 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法
JP4606713B2 (ja) * 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6818557B1 (en) 2002-12-12 2004-11-16 Advanced Micro Devices, Inc. Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Impact of Cu barrier dielectrics upon Stress-Induced Voidingof Dual-Damascene Copper Interconnects. Kensuke Isbikawa.INTERCONNECT THCHNOLOGY CONFERENCE,Vol.XP010829452 No.2005.06. 2005
Impact of Cu barrier dielectrics upon Stress-Induced Voidingof Dual-Damascene Copper Interconnects. Kensuke Isbikawa.INTERCONNECT THCHNOLOGY CONFERENCE,Vol.XP010829452 No.2005.06. 2005 *

Also Published As

Publication number Publication date
CN101268549A (zh) 2008-09-17
TWI397149B (zh) 2013-05-21
US20070063348A1 (en) 2007-03-22
KR20080047383A (ko) 2008-05-28
US7563704B2 (en) 2009-07-21
TW200729400A (en) 2007-08-01
JP2009509319A (ja) 2009-03-05
EP1943675A1 (en) 2008-07-16
JP5261647B2 (ja) 2013-08-14
EP1943675B1 (en) 2013-03-20
WO2007039385A1 (en) 2007-04-12

Similar Documents

Publication Publication Date Title
CN100583427C (zh) 用于微电子元件的金属互连结构
JP5856647B2 (ja) 金属相互接続構造体及び金属相互接続構造体の形成方法
US10643890B2 (en) Ultrathin multilayer metal alloy liner for nano Cu interconnects
CN102832165B (zh) 经过改进的用于双镶嵌工艺的间隙填充方法
US9379008B2 (en) Metal PVD-free conducting structures
US20080054466A1 (en) Semiconductor device and method of manufacturing semiconductor device
US20060163739A1 (en) Semiconductor device and method for production thereof
US10008448B2 (en) Dielectric/metal barrier integration to prevent copper diffusion
US20100207177A1 (en) Method for producing a copper contact
US7692302B2 (en) SIP semiconductor device and method for manufacturing the same
US20070152333A1 (en) Metal Interconnection of Semiconductor Device and Method of Fabricating the Same
KR100667905B1 (ko) 반도체 소자의 구리 금속배선 형성방법
US9953927B1 (en) Liner replacements for interconnect openings
US20020197852A1 (en) Method of fabricating a barrier layer with high tensile strength
KR100924556B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
US20080067681A1 (en) Interconnection structure and manufacturing method thereof
KR100967130B1 (ko) 반도체 소자의 금속배선 및 그의 형성방법
KR20090074512A (ko) 반도체 소자의 금속배선 및 그 형성방법
US7981781B2 (en) Metal line of semiconductor device having a diffusion barrier and method for forming the same
KR101096444B1 (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171108

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171108

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.