JP2008502142A - 相互接続構造の製造方法 - Google Patents

相互接続構造の製造方法 Download PDF

Info

Publication number
JP2008502142A
JP2008502142A JP2007515261A JP2007515261A JP2008502142A JP 2008502142 A JP2008502142 A JP 2008502142A JP 2007515261 A JP2007515261 A JP 2007515261A JP 2007515261 A JP2007515261 A JP 2007515261A JP 2008502142 A JP2008502142 A JP 2008502142A
Authority
JP
Japan
Prior art keywords
dielectric
interconnect lines
interconnect
group
optional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007515261A
Other languages
English (en)
Inventor
ファン、エルバート、イー
キム、ヒュンジュン
ミラー、ロバート、ディー
ニッタ、サチャナラヤナ、ヴィ
プルショサマン、サンパス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008502142A publication Critical patent/JP2008502142A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】 従来のデュアル・ダマシンとULK IMD材料との統合が有する問題を解決するための相互接続構造を形成する方法を提供する。
【解決手段】 相互接続構造は、原子層堆積又は超臨界流体ベースのプロセスによって薄いコンフォーマルな不動態化誘電体及び/又は拡散障壁キャップ及び/又はハード・マスクを堆積させるステップを含む方法により製造される。
【選択図】 図7

Description

本開示は、相互接続構造の形成に関する。具体的には、本開示は、薄いコンフォーマルな不動態化(passivation)誘電体及び/又は拡散障壁キャップ及び/又はハード・マスクを堆積させることを含むプロセスに関する。本開示は、特に、ダマシン構造及びデュアル・ダマシン構造を製造することに関する。
ハイエンド・マイクロプロセッサ・チップの性能は、こうしたチップ上の様々なデバイス間の接続を可能にするために用いられる相互接続配線における信号伝搬の遅延によって、ますます制限されつつある。一般にバック・エンド・オブ・ライン(BEOL)相互接続と呼ばれるワイヤ内の遅延は、ワイヤと関連する抵抗Rと静電容量Cの積によって決まる。Rは、BEOL配線においてAlの代わりにCuを用いることによって減少するが、Cの減少は、相互接続ワイヤを囲む絶縁体の誘電率kの低下によって達成される。層間絶縁体(intermetal dielectric;IMD)を、二酸化シリコン(k〜4)から、フッ化シリカ(k〜3.6)及びCVD又はスピン塗布によって形成された有機シリケート(k〜2.7−3.2)などの低kIMDに変更することによって、BEOLの静電容量がさらに減少してきた。kのさらなる減少は、可能な限り低い静電容量レベルを達成するために、多孔質の超低k(ULK、k<2.5)IMDの導入を必要とし、究極的にはIMDとしてエア・ギャップを使用することを必要とする。これらの超低k構造は、非常に壊れやすく、その製造を可能にするための付加的な処理又は特別なプロセスを必要とする。
こうした極めて小さな相互接続配線ネットワークを作る1つの先行技術方法が、デュアル・ダマシン(DD)プロセスである。標準的なDDプロセスにおいては、層間絶縁体(IMD)が基板上にコーティングされる。層間絶縁体は、ビア・レベルの誘電体とライン・レベルの誘電体とを含む。これらの2つの層間絶縁体層は、同一の又は異なる絶縁膜で作ることができ、前者の場合には、単一のモノリシック層として適用することができる。エッチング選択性を容易にし、研磨停止として機能するように、必要に応じて、ハード・マスク層又は層状スタックが利用される。相互接続配線ネットワークは、2つのタイプの機構、すなわち、チップの幅を横断するライン機構と、多層スタックにおける異なる相互接続レベルのライン同士を接続するビア機構とを含む。歴史的に、両方の層は、二酸化シリコン(SiO)などの無機ガラス、又は、プラズマ補助化学気相堆積(plasma enhanced chemical vapor deposition;PECVD)によって堆積させたフッ化ケイ酸塩ガラス(fluorinated silica glass;FSG)から作られる。
デュアル・ダマシン・プロセスにおいては、ライン及びビアの位置は、リソグラフィによってそれぞれ別個のフォトレジスト層に定められ、反応性イオン・エッチング・プロセスを用いてハード・マスク層及びIMD層に転写される。こうした例示的なプロセス・シーケンスは、「ライン・ファースト」手法と呼ばれる。トレンチ形成後に、リソグラフィを用いてフォトレジスト層にビアのパターンを定め、該パターンを誘電体材料に転写してビア開口部を形成する。次いで、この陥凹構造(recessed structure)は、金属導体のライン及びビアを保護し、かつ、導体とIMDとの間の接着層として機能する、導電性ライナ材料又は材料スタックでコーティングされる。次いで、この陥凹部は、パターン形成された基板の表面を覆う導電性充填材料で充填される。
充填は、最も一般的には、銅の電気めっきによって行われるが、化学気相堆積(CVD)などの他の方法、及びAl又はAuなどの他の材料を用いることもできる。次いで、充填材料及びライナ材料は、ハード・マスクの表面と同一平面になるように、化学的機械研磨(CMP)される。露出した金属表面を不動態化し(passivate)、金属と、上に堆積させられるいずれかの付加的なIMD層との間の拡散障壁(diffusion barrier)として機能するように、キャッピング材料をブランケット膜として堆積させる。典型的には、キャッピング材料として、PECVDによって堆積された窒化シリコン、炭化シリコン、及び炭窒化シリコンの膜が用いられる。このプロセス・シーケンスは、デバイス上の各々の相互接続レベルについて繰り返される。2つの相互接続機構が、単一の研磨ステップによって絶縁体内にはめ込まれた(in-laid)導体を形成するように同時に定められるため、このプロセスはデュアル・ダマシン・プロセスと呼ばれる。
米国特許第6,346,484号 米国特許第6,551,924号 米国特許第6,413,854号 米国特許出願第60/499,856号
ライン・ファースト手法を上述したが、トレンチがパターン形成される前にビアが形成される他のシーケンスも可能である。しかしながら、主要な課題と、本出願において後述される本発明のアプローチによって提供される解決法は、デュアル・ダマシン・プロセスのこうしたすべての変化形に共通なものである。
従来のデュアル・ダマシンとULK IMD材料との統合は、いくつかの問題を有することが示されている。フォトレジスト及びRIEの残渣を剥離するのに必要なプラズマ照射に対する多孔質IMDの感度は、これらの膜の水分吸収及び誘電率の増加をもたらす。機械的強度及び接着性の低さは、研磨停止層及び拡散障壁キャップ層との界面において、IMDの突発的な層間剥離につながる。IMDの機械的脆弱性に起因する他の課題として、チップを形成するためにウェハをダイシングする際及びパッドをチップ上に接合するためにワイヤ・ボンディングを行う際の損傷が挙げられる。
多孔質ULK IMDを使用しないようにするためのいくつかの方法が提案されている。第1の方法は、Arnalらによって示された(IITC、2001)ように、ライン間のIMDをエッチ・バックし、ギャップ充填能力の低いプラズマ堆積誘電体を堆積させることによりギャップの上部をピンチ・オフすることによって、閉じたエア・ギャップを形成することである。しかしながら、この統合スキームは、エッチ・バック・ステップの前に必要な準最小寸法基準(sub-minimum ground rule)のリソグラフィを提供することが不可能であるため、次世代の微細寸法基準(fine ground rule)の相互接続にまで容易に拡張することができない。このリソグラフィは、エッチ・バックの際にCuの上の誘電体拡散障壁を保護するように設計される。このリソグラフィ・ステップがないと、エッチ・バックの際にCu表面が露出した状態になり、Cuスパッタリングを引き起こす。さらに、従来のCVDを用いてエッチ・バック後の銅ラインを保護することが、エッチ・バック後のトレンチの内側を誘電体の厚い層で覆うことにつながり、エア・ギャップ形成のために極めて狭い空間しか残らないことになる。固形分の少ないスピンオン堆積溶液が、トレンチの底に過剰に溜まったり、蓄積したりする傾向があるため、薄く、コンフォーマルな膜を得るために従来のスピンオン堆積を用いることも極めて困難である。
デュアル・ダマシンとULK膜との統合に対する第2の代替手段は、エッチ・バックとギャップ充填との統合(etch back and gap fill integration;EBGF統合)である(例えば、特許文献1、特許文献2、及び特許文献3)。この方法においては、ライン間からIMDをエッチ・バックした後、多孔質ULKを用いてギャップを充填する。次いで、ギャップ充填誘電体(gap fill dielectric;GFD)は、CMPによって平坦化され、プラズマ洗浄ステップの後、拡散障壁キャップが堆積させられる。このスキームにおいては、GFD平坦化研磨の際に研磨停止層がないことが、GFDのディッシングにつながる。さらに、障壁(キャップ)堆積の際に、多孔質ILDが、Cu表面を洗浄するのに用いられるプロセス・プラズマに曝され、それにより、多孔質ILDが損傷し、漏電及び絶縁破壊が起こりやすくなる。最終的に、良好なギャップ充填及び平坦化の要件が、低分子量前駆体溶液に対するGFDの選択性を制限し、機械的強度といった他の望ましい特性についてトレードオフとなることが多い。
上述のように、従来のCVD堆積障壁は、厚さが過剰であり、したがって、エッチ・バック後の構造においてあまりにも多くの空間を占め、そのため、この構造の実効kが極めて高くなる。したがって、エッチ・バック構造における層間トレンチの側壁及び底面の内側を覆うように、これらのコンフォーマルなコーティングを設けることができることが望ましい。ULK膜にプラズマによる損傷を与えることなく、ハード・マスク及び拡散障壁キャップ膜を形成する方法は、EBGF統合スキームだけでなく、従来のダマシン及びデュアル・ダマシンについても有利である。さらに、低分子量制約を軽減するギャップ充填材料を堆積させる方法を提供することが望ましいものと考えられる。
本開示は、これらの必要性に対処するを解決する方法を開示し、該方法の使用によって可能となる構造を説明するものである。本開示の一つの態様は、エア・ギャップ構造を形成する方法に関する。具体的には、本方法は、
少なくとも2つの相互接続ラインと該少なくとも2つの相互接続ラインの少なくとも1つに接続された少なくとも1つのビアとを有するデュアル・ダマシン相互接続構造を形成するステップであって、該少なくとも2つの相互接続ライン及び該少なくとも1つのビアは第1の誘電体に埋め込まれる、ステップと、
該少なくとも2つの相互接続ラインの間から、前記少なくとも2つの相互接続ラインの高さと少なくとも等しい深さまで、該第1の誘電体を除去し、該少なくとも2つの相互接続ラインの間にギャップを形成するステップと、
該少なくとも2つの相互接続ラインの上部及び露出した側壁と該少なくとも2つの相互接続ラインの間の該ビアの下部とをコーティングするように、超臨界流体ベースのプロセスによって薄い不動態化誘電体をコンフォーマルに堆積させるステップと、
該少なくとも2つの相互接続ラインの間の該ギャップを上部でピンチ・オフするためのプロセスによって、非コンフォーマルな第2の誘電体膜を堆積させ、閉じたエア・ギャップ構造を形成するステップと、
を含む。
本開示の別の態様は、相互接続構造を形成する方法に関し、該方法は、
少なくとも2つの相互接続ラインと、第1の誘電体に埋め込まれた該少なくとも2つの相互接続ラインの少なくとも1つに接続された少なくとも1つのビアとを有するデュアル・ダマシン相互接続構造を形成するステップと、
該少なくとも2つの相互接続ラインの間から、該少なくとも2つの相互接続ラインの高さと少なくとも等しい深さまで、該第1の誘電体を除去するステップと、
該少なくとも2つの相互接続ラインの上部及び露出した側壁と、該少なくとも2つの相互接続ラインの間の該ビアの下部とをコーティングするように、超臨界流体ベースのプロセス、又は、3級アミン・ベースの試薬及び/又はシリル化剤による原子層堆積によって、薄いコンフォーマルな不動態化誘電体を堆積させるステップと、
該第1の誘電体より誘電率の低い第2の誘電体を用いて、該少なくとも2つの相互接続ラインの間の空間(ビア及びライン)を充填するステップと、
コンフォーマルな誘電体を研磨停止層として用いる研磨によって、該第2の誘電体を平坦化するステップと、
第3の誘電体を用いて、結果として得られる構造の上面を必要に応じてキャッピングするステップと、
を含む。
本開示のさらなる態様は、ダマシン又はデュアル・ダマシン相互接続構造を製造する方法に関し、本方法は、第1の誘電体に埋め込まれた少なくとも1つの相互接続ラインを有するダマシン又はデュアル・ダマシン相互接続構造を形成するステップと、超臨界流体ベースのプロセス及び3級アミン・ベースの試薬及び/又はシリル化剤による原子層堆積で構成される群から選択されたプロセスによって堆積させた障壁キャップ誘電体を用いて、該少なくとも1つの相互接続ラインの上部をキャッピングするステップと、を含む。
本開示の別の態様は、ダマシン又はデュアル・ダマシン相互接続構造を製造する方法に関し、本方法は、少なくとも2つの相互接続ラインを有するダマシン又はデュアル・ダマシン相互接続構造を形成するステップであって、該少なくとも2つの相互接続ラインは第1の誘電体に埋め込まれる、ステップと、該少なくとも2つの相互接続ラインの間の空間全体に広がる、該少なくとも2つの相互接続ラインの上面と名目上同一平面の誘電体ハード・マスクを堆積させるステップであって、該任意のハード・マスクは、超臨界流体ベースのプロセス及び3級アミン・ベースの試薬及び/又はシリル化剤による原子層堆積で構成される群から選択されたプロセスによるものである、ステップと、を含む。
本開示のまたさらなる態様は、少なくとも2つの相互接続ラインを有し、該少なくとも2つの相互接続ライン及び少なくとも1つのビアが第1の誘電体に埋め込まれる、ダマシン又はデュアル・ダマシン相互接続構造と、該少なくとも2つの相互接続ラインの間の空間全体に広がる、該少なくとも2つの相互接続ラインの上面と名目上同一平面にある任意の誘電体ハード・マスク、及び、該少なくとも2つの相互接続ラインの上にある拡散障壁キャップ誘電体と、を含むダマシン又はデュアル・ダマシン相互接続構造を製造する方法に関し、本方法は、
該第1の誘電体及び該任意の誘電体ハード・マスクを超臨界流体ベースの処理を用いて堆積させるステップと、
該少なくとも2つの相互接続ラインのパターンを形成するために、フォトレジスト層をパターン形成するステップと、
フォトリソグラフィ及び反応性イオン・エッチングを用いて、該少なくとも2つの相互接続ラインのパターンを該第1の誘電体に転写するステップと、
プラズマ灰化を用いて、残存フォトレジストを剥離するステップと、
超臨界流体ベースのシリル化処理を用いて、該第1の誘電体及び該任意の誘電体ハード・マスクに対するあらゆるプラズマ損傷を修復するステップと、
導電性ライナ及び導電性充填材料によって、該少なくとも2つの相互接続ラインを充填するステップと、
化学的機械研磨を用いて、該導電性ライナ及び該導電性充填材料を平坦化するステップと、
超臨界流体ベースの洗浄溶液を用いて、該少なくとも2つの相互接続ライン及び該任意の誘電体ハード・マスクの上部を洗浄するステップと、
超臨界流体ベースのプロセス及び3級アミン・ベースの試薬及び/又はシリル化剤による原子層堆積から構成される群から選択されたプロセスを用いて、該拡散障壁キャップ誘電体を堆積させるステップと、
を含む。
本開示はまた、上記プロセスのいずれかによって得られる構造体に関する。
本開示の他の及びさらに別の目的、利点、及び特徴は、同じ部分に同じ番号が与えられている添付図面と共に以下の詳細な説明を参照することによって、理解されるであろう。
本開示は、相互接続構造の形成に関する。本開示の方法は、先行技術の上述の問題を改善する統合されたBEOL構造をもたらす上述の様々な誘電体膜を形成するために、非プラズマ・プロセスを使用し、特に超臨界流体ベースのプロセスを使用し、好ましくは減圧による超臨界二酸化炭素(SC CO)ベースの蒸発物質移動を使用することを含む。担体としてSC COが好ましいが、プロパン、ブタン、ブテン、水などのSC流体も、本開示の趣旨から逸脱することなく使用することができる。SC COは、コスト及び環境の面から有利である。超臨界流体堆積プロセスを用いて、オリゴマー及びポリマーなどの高分子量材料の薄膜を堆積させることができる。
超臨界流体堆積プロセスは、スピン塗布を用いると非コンフォーマルなものとなりかねないコンフォーマルな膜の堆積を可能にする。SC CO技術などの超臨界流体堆積プロセスは、微小な機構をコーティングする場合に極めて重要となる可能性のある極めて低い表面張力を伴う流体様密度(liquid-like densities)の付加的な利点を提供する。
EBGF統合のために超臨界流体を用いる場合のさらなる利点は、ギャップ充填ILD材料とその堆積に関連する。現在は、スピンオンILD材料のギャップ充填特性は、用いられる前駆体材料の分子量と、表面張力及び粘度といった担体溶媒の特性とによって制御される。これらは、次に、低分子量(典型的には、約10,000ダルトンより小さい)の前駆体が用いられ、利用可能な選択肢を制限する限られた組み合わせの溶媒に溶解されることを決定づける。多くの場合、良好なギャップ充填特性と頑強な電気的及び機械的特性との間でトレードオフが生じることになり、あまり頑強ではない最終的な構造体がもたらされる。ほぼゼロの表面張力、ガスのような粘度、及び、少量の共溶媒の添加によりILD前駆体を溶解する能力に関する、SC COなどの超臨界流体の独特な様態は、より大きな、より広範囲の分子量を有する前駆体を用いるギャップ充填を可能にする。したがって、スピン塗布などの従来のギャップ充填堆積プロセスの制約に拘束されることなく、より多くの部分を最終的な(電気的及び機械的)膜特性に基づいて、ギャップ充填ILD前駆体を独立に設計し、選択することが可能になる。
DD統合スキームに関して、SC COベースの処理は、任意の共溶媒を伴うSC COに溶解される適切な前駆体材料を用いて、ULK ILD上にハード・マスク及び拡散障壁キャップ層を形成することを可能にする。これらは、アルコール、(直鎖状又は環状)エーテル、ガンマブチロラクトン、環状炭化物、エステル、NMP、PGMEA、ヘキサン、(非環状及び環状)置換芳香族化合物及びケトンなどの適切な共溶媒を有するSC COに溶解された、有機シリケート、ポリシラン、ポリオキシカルボシラン、ポリシラザン、ポリオキシカルボシラザン、ポリカルボシラン、ポリシラシラザン、ポリシラカルボシラン、ポリシロキサザン、ポリカルボシラザン、ポリシリルカルボジイミド、ポリシラカルボシラザン、ポリアルケニルシラン、ポリアルキルシラン、ポリアルキニルシラン、ポリアリルシラン、ポリシルセスキアザンによって例示されるが、これらに限定されるものではない。
さらに、現時点で既知の先行技術において実施されているような損傷可能性のあるプラズマ処理、すなわちプラズマを介した堆積を必要とすることなく、ポリカルボシラン、ポリオキシカルボシラン、ポリカルボシラザン、ポリオキシカルボシラザン、又はポリシラザンなどの拡散障壁キャップを上部に形成できるように、DD構造(図6を参照されたい)の化学的機械研磨(CMP)後に、適切な共溶媒を用いて既存の銅相互接続構造の表面を洗浄することもできる。下層の誘電体、特に低kILD及び超低kILDのプラズマ照射は、ハード・マスク及びILD膜の電気的絶縁及び漏電を潜在的に悪化させる可能性がある。
さらに、単一又は複数の機能性シリル化剤を有する適切なSC COベースのシリル化を利用して、ハード・マスク又はILDの表面をCMPステップ後に生じる状態から変更することが可能であり、その結果、拡散障壁キャップとこの変更された領域との間の界面は、接着及び漏電の観点から、より頑強なものとなる。すべてがSC COベースのプロセスを用いて行われる、Cuライン表面の洗浄と、ハード・マスクの表面処理と、それに続く拡散障壁キャップ層のコーティングとからなる複合プロセスは、プラズマ照射がより低減されるため、誘電体の絶縁破壊及びエレクトロマイグレーション特性が改善された集積構造をもたらす構造を達成するための独特の方法である。本発明者らの一部によって出願され、その開示全体が引用により本明細書に組み入れられる特許文献4に記載されているように、SC COベースのシリル化を用いて、パターン形成にかかわる反応性イオン・エッチング及びレジスト剥離の後の損傷した超低kILDを修復することができる。シリル化剤の例として、アルコキシシラン、アミノシラン、クロロシラン、シラザン、及びこれらの混合物が挙げられる。
本開示はまた、窒化シリコンの極めて薄いコンフォーマルなキャップ誘電体層を堆積させるのための、一定のタイプの材料の(プラズマ補助ALDなどの)原子層堆積を用いることに関する。本開示によるALDは、三級アミンなどの三級アミン・ベースの試薬ガス及び/又は三級ブトキシシラノールなどのシリル化剤、又は、ビス−ジメチルアミノジメチルシラン、トリス−ジメチルアミノメチルシラン、若しくは、反応性官能基の間の架橋性置換基を有するものを含む他の多機能性シリル化剤などのアミノ−シラン前駆体を用いる。この目的のために有効な他の多機能性シランとして、トリクロロ、堆積後の架橋のためのビニル置換シラン材料を含むトリアセトキシ、ヘキサクロロジシロキサンなどが挙げられる。架橋性置換基として、加熱、紫外線処理、電離放射線などによって付加的に架橋することもできるビニル基、エチニル基、置換エチニル基、アリル基を挙げることができる。これらの層は、ポリシラザン及びポリカルボシランなどの材料を堆積させるのに必要な場合には、適切な共溶媒を有するSC COの使用によって形成することもできる。
ALD及びSC COベースの堆積はいずれも、スピン塗布を用いると非コンフォーマルなものとなりかねないコンフォーマルな膜の堆積を可能にする。SC CO技術は、微小な機構をコーティングする場合に極めて重要となる可能性のある極めて低い表面張力を伴う流体様密度(liquid-like densities)の付加的な利点を提供する。気相プロセスであるALDもまた、この利点を提供する。例えば、スピン塗布によって溶液から堆積されたポリカルボシランは、エッチ・バック構造の下部に蓄積して、有効誘電率を高めることができる。さらに、どの程度の薄さの膜を堆積させることができるかは明らかではない。本開示においては、極めて望ましい5nmから10nmの範囲の膜を堆積させることができる。さらに、エッチ・バック後の極めて薄いコンフォーマルな障壁の堆積によって得られる構造は、独特なものであり、上述のEBGF統合についてだけでなく、ピンチ・オフによるエア・ギャップ形成についての主要な課題を解決する。第1に、EBGFスキームの場合には、コンフォーマルなコーティングは、Cuラインを保護することができるように、GFDの研磨の際にCMP停止層として機能する。エア・ギャップ・スキームにおいては、準寸法基準(sub-ground rule)リソグラフィの必要性がなくなり、完全なエッチ・バック・ステップ後に相互接続ラインの側壁及び上面の両方を覆うキャップとして薄いコンフォーマルな誘電体を用いて、拡散障壁機能を提供する。
本開示の理解をさらに容易にするために、デュアル・ダマシン・プロセスを示す図を参照する。本開示によるデュアル・ダマシン・プロセスにおいては、図1の2つの層1110及び1120として示される層間絶縁体(IMD)が、基板1100の上にコーティングされる。ビア・レベルの誘電体は1110であり、ライン・レベルの誘電体は1120である。エッチング選択性を容易にし、研磨停止として機能するように、ハード・マスク層又は層状スタック1130が必要に応じて使用される。必要な場合には、ハード・マスク層は、上述の本開示による方法によって堆積させることができる。
相互接続配線ネットワークは、2つのタイプの機構、すなわち、チップの幅を横断するライン機構と、多層スタックにおける異なる相互接続レベルのライン同士を接続するビア機構とを含む。歴史的に、両方の層は、二酸化シリコン(SiO)などの無機ガラス、又は、プラズマ補助化学気相堆積(plasma enhanced chemical vapor deposition;PECVD)によって堆積させたフッ化ケイ酸塩ガラス(fluorinated silica glass;FSG)から作られる。本開示によれば、ライン・レベルの誘電体1120は、上述の本開示による方法によって堆積させることができる。
デュアル・ダマシン・プロセスにおいては、ライン1150及びビア1170の位置が、それぞれ図2及び図3において、リソグラフィによってフォトレジスト層1500及び1510に定められ、反応性イオン・エッチング・プロセスを用いてハード・マスク層及びIMD層に転写される。図1〜図7において示されるプロセス・シーケンスは、「ライン−ファースト」手法と呼ばれる。トレンチ形成後に、リソグラフィを用いてフォトレジスト層1510にビアのパターン1170を定め、該パターンを誘電体材料に転写して図4のビア開口部1180を形成する。デュアル・ダマシン・トレンチ及びビア構造1190が、フォトレジストが剥離された後の図5において示される。次いで、この陥凹構造1190が、金属導体のライン及びビアを保護し、かつ、導体とIMDとの間の接着層として機能する、導電性ライナ材料又は材料スタックでコーティングされる。次いで、この陥凹部は、パターン形成された基板の表面を覆う導電性充填材料1210で充填される。充填は、最も一般的には、銅の電気めっきによって行われるが、化学気相堆積(CVD)などの他の方法、及びAl又はAuなどの他の材料を用いることもできる。次いで、充填材料及びライナ材料が、ハード・マスクの表面と同一平面になるように、化学的機械研磨(CMP)され、この段階における構造は図6に示される。露出した金属表面を不動態化し(passivate)、金属と、上に堆積させられるいずれかの付加的なIMD層との間の拡散障壁として機能するように、キャッピング材料1220が、図7に示されるようにブランケット膜として堆積させられる。キャッピング層は、上述の本開示による方法によって堆積させることができる。
このプロセス・シーケンスは、デバイス上の各々の相互接続レベルについて繰り返される。2つの相互接続機構が、単一の研磨ステップによって絶縁体内にはめ込まれた(in-laid)導体を形成するように同時に定められるため、このプロセスはデュアル・ダマシン・プロセスと呼ばれる。ライン・ファースト手法を上述したが、トレンチがパターン形成される前にビアが形成される他のシーケンスも可能である。しかしながら、主要な課題と、本出願において後述される本発明のアプローチによって提供される解決法は、デュアル・ダマシン・プロセスのこうしたすべての変化形に共通なものである。
本明細書において引用されるすべての出版物及び特許出願は、個々の印刷物又は特許出願の各々が具体的に及び個別に引用により組み込まれるように示されているかのように、引用により、並びに、いずれかの目的及びすべての目的のために、本明細書に組み込まれる。
発明の上述の説明は、本発明を例示し、説明するものである。さらに、本開示は、本発明の好ましい実施形態のみを示し説明するものであるが、上述のように、本発明は、他の様々な組み合わせ、修正、及び環境において用いることができ、本明細書において示された本発明の概念の範囲内で、上述の教示及び/又は関連技術のスキル若しくは知識に応じた変更又は修正が可能であることを理解されたい。上述の実施形態は、さらに、本発明の実施について知られている最良の形態を説明し、当業者が、こうした実施形態又は他の実施形態で、及び本発明の特定の用途又は使用によって必要となる様々な修正を施して、本発明を利用できるようにすることを意図している。
したがって、本説明は、本発明をここに開示された形態に限定することを意図するものではない。また、特許請求の範囲は、代替的な実施形態を含むように解釈されることが意図されている。
本開示によるプロセスの様々な段階における断面図を示す。 本開示によるプロセスの様々な段階における断面図を示す。 本開示によるプロセスの様々な段階における断面図を示す。 本開示によるプロセスの様々な段階における断面図を示す。 本開示によるプロセスの様々な段階における断面図を示す。 本開示によるプロセスの様々な段階における断面図を示す。 本開示によるプロセスの様々な段階における断面図を示す。

Claims (35)

  1. エア・ギャップ相互接続構造を形成する方法であって、
    少なくとも2つの相互接続ラインと前記少なくとも2つの相互接続ラインの少なくとも1つに接続された少なくとも1つのビアとを有するデュアル・ダマシン相互接続構造を形成するステップであって、前記少なくとも2つの相互接続ライン及び前記少なくとも1つのビアは第1の誘電体に埋め込まれる、ステップと、
    前記少なくとも2つの相互接続ラインの間から、前記少なくとも2つの相互接続ラインの高さと少なくとも等しい深さまで、前記第1の誘電体を除去し、前記少なくとも2つの相互接続ラインの間にギャップを形成するステップと、
    前記少なくとも2つの相互接続ラインの上部及び露出した側壁と前記少なくとも2つの相互接続ラインの間の前記ビアの下部とをコーティングするように、超臨界流体ベースのプロセス、又は、3級アミン・ベースの試薬及び/又はシリル化剤による原子層堆積によって、薄いコンフォーマルな不動態化誘電体を堆積させるステップと、
    前記少なくとも2つの相互接続ラインの間の前記ギャップを上部でピンチ・オフするためのプロセスによって、非コンフォーマルな第2の誘電体膜を堆積させ、閉じたエア・ギャップ構造を形成するステップと、
    を含む方法。
  2. 前記第1の誘電体は、多孔質及び非多孔質タイプの酸化シリコン、フッ素添加酸化シリコン、有機シリケート、及び有機誘電体で構成される群から選択される、請求項1に記載の方法。
  3. 前記有機誘電体は、ポリイミド、ポリアリーレン、ポリアリーレンエーテル、ポリアゾール、ポリキノリン及びキノキサリン、環状ポリオレフィン、シアン酸ポリアリール、及びこれらの組み合わせで構成される群から選択される、請求項2に記載の方法。
  4. ウェット化学エッチング、反応性イオン・エッチング、光化学エッチング、及びこれらの組み合わせで構成される群から選択されるプロセスによって、前記第1の誘電体を除去するステップを含む、請求項1に記載の方法。
  5. 前記コンフォーマルな不動態化誘電体は、窒化シリコン、炭化シリコン、炭窒化シリコン、ポリカルボシラン、ポリオキシカルボシラン、ポリカルボシラザン、ポリオキシカルボシラザン又はポリシラザン、及びこれらの組み合わせのアモルフォス膜で構成される群から選択される、請求項1に記載の方法。
  6. 前記コンフォーマルな不動態化誘電体は、1nmから20nmまでの厚さを有し、任意の共溶媒を伴う超臨界二酸化炭素ベースの堆積によって堆積させられる、請求項5に記載の方法。
  7. 前記コンフォーマルな不動態化誘電体の前記厚さは、5nmから10nmである、請求項6に記載の方法。
  8. 相互接続構造を形成する方法であって、
    少なくとも2つの相互接続ラインと、第1の誘電体に埋め込まれた前記少なくとも2つの相互接続ラインの少なくとも1つに接続された少なくとも1つのビアとを有するデュアル・ダマシン相互接続構造を形成するステップと、
    前記少なくとも2つの相互接続ラインの間から、前記少なくとも2つの相互接続ラインの高さと少なくとも等しい深さまで、前記第1の誘電体を除去するステップと、
    前記少なくとも2つの相互接続ラインの上部及び露出した側壁と前記少なくとも2つの相互接続ラインの間の前記ビアの下部とをコーティングするように、超臨界流体ベースのプロセス、又は、3級アミン・ベースの試薬及び/又はシリル化剤による原子層堆積によって、薄いコンフォーマルな不動態化誘電体を堆積させるステップと、
    前記第1の誘電体より誘電率の低い第2の誘電体を用いて、前記少なくとも2つの相互接続ラインの間の空間を充填するステップと、
    コンフォーマルな誘電体を研磨停止層として用いる研磨によって、前記第2の誘電体を平坦化するステップと、
    第3の誘電体を用いて、結果として得られる構造の上面を必要に応じてキャッピングするステップと、
    を含む方法。
  9. 前記第1の誘電体は、多孔質及び非多孔質タイプの酸化シリコン、フッ素添加酸化シリコン、有機シリケート、及び有機誘電体で構成される群から選択される、請求項8に記載の方法。
  10. 前記有機誘電体は、ポリイミド、ポリアリーレン、ポリアリーレンエーテル、ポリアゾール、ポリキノリン及びキノキサリン、環状ポリオレフィン、シアン酸ポリアリール、及びこれらの組み合わせで構成される群から選択される、請求項9に記載の方法。
  11. ウェット化学エッチング、反応性イオン・エッチング、光化学エッチング、及びこれらの組み合わせで構成される群から選択されるプロセスによって、前記第1の誘電体を除去するステップを含む、請求項8に記載の方法。
  12. 前記コンフォーマルな不動態化誘電体は、窒化シリコン、炭化シリコン、炭窒化シリコン、ポリカルボシラン、ポリオキシカルボシラン、ポリカルボシラザン、ポリオキシカルボシラザン又はポリシラザン、及びこれらの組み合わせのアモルフォス膜で構成される群から選択される、請求項8に記載の方法。
  13. 前記コンフォーマルな不動態化誘電体は、1nmから20nmまでの厚さを有する、請求項8に記載の方法。
  14. 前記コンフォーマルな不動態化誘電体の前記厚さは、5nmから10nmである、請求項13に記載の方法。
  15. 前記任意の共溶媒は、NMP、PGMEA、ヘキサン、ヘプタン、キシレン、アルコール、直鎖状エーテル、環状エーテル、ガンマブチロラクトン、環状炭化エステル、置換芳香族化合物、非環状ケトン、及び環状ケトンで構成される群から選択される、請求項13に記載の方法。
  16. 前記少なくとも2つの相互接続ラインの間の前記空間を充填するための前記第2の誘電体は、超低k多孔質タイプの酸化シリコン、フッ素添加酸化シリコン、有機シリケート、及び有機誘電体で構成される群から選択される、請求項8に記載の方法。
  17. 前記第2の誘電体は、任意の共溶媒を共う超臨界二酸化炭素ベースの処理を用いて堆積させられる、請求項8に記載の方法。
  18. 前記任意の共溶媒は、NMP、PGMEA、ヘキサン、ヘプタン、キシレン、アルコール、直鎖状エーテル、環状エーテル、ガンマブチロラクトン、環状炭化エステル、置換芳香族化合物、非環状ケトン、及び環状ケトンで構成される群から選択される、請求項17に記載の方法。
  19. 任意の前記第3の誘電体は、シリコン、炭素、酸素及び水素、並びに任意の窒素の元素を組み合わせてできているアモルファス膜で構成される群から選択される、請求項8に記載の方法。
  20. 前記第3の誘電体は、加熱、紫外線処理、電離放射線、又はこれらの組み合わせによって付加的に架橋される付加的な官能基をさらに含む、請求項19に記載の方法。
  21. 任意の前記第3の誘電体は、非プラズマ堆積プロセスによって堆積させられる、請求項8に記載の方法。
  22. ダマシン又はデュアル・ダマシン相互接続構造を製造するための方法であって、
    少なくとも2つの相互接続ラインを有するダマシン又はデュアル・ダマシン相互接続構造を形成するステップであって、前記少なくとも2つの相互接続ラインは第1の誘電体に埋め込まれる、ステップと、
    超臨界流体ベースのプロセス、又は三級アミン・ベースの試薬及び/又はシリル化剤による原子層堆積によって堆積させた障壁キャップ誘電体を用いて、前記少なくとも2つの相互接続ラインの上部をキャッピングするステップと、
    を含む方法。
  23. 前記障壁キャップ誘電体は、シラン、ポリオキシカルボシラン、ポリシラザン、ポリオキシカルボシラザン、ポリカルボシラン、ポリシラシラザン、ポリシラカルボシラン、ポリシロキサザン、ポリカルボシラザン、ポリシリルカルボジイミド、及びポリシラカルボシラザンで構成される群から選択される、請求項22に記載の方法。
  24. 前記少なくとも2つの相互接続ラインに接続される少なくとも1つのビアを含むデュアル・ダマシン構造が製造される、請求項22に記載の方法。
  25. ダマシン又はデュアル・ダマシン相互接続構造を製造するための方法であって、
    少なくとも2つの相互接続ラインを有するダマシン又はデュアル・ダマシン相互接続構造を形成するステップであって、前記少なくとも2つの相互接続ラインは第1の誘電体に埋め込まれる、ステップと、
    前記少なくとも2つの相互接続ラインの間の空間に、前記少なくとも2つの相互接続ラインの上面と名目上同一平面の誘電体ハード・マスクを堆積させるステップであって、前記誘電体ハード・マスクは、超臨界流体ベースのプロセス、又は三級アミン・ベースの試薬及び/又はシリル化剤による原子層堆積によって堆積させられる、ステップと、
    を含む方法。
  26. 前記障壁キャップ誘電体は、ポリシラン及びポリシリン、ポリオキシカルボシラン、ポリシラザン、ポリオキシカルボシラザン、ポリカルボシラン、ポリシロキサザン、ポリカルボシラザン、ポリシリルカルボジイミド、及びポリシラカルボシラザンで構成される群から選択される、請求項25に記載の方法。
  27. 前記少なくとも2つの相互接続ラインに接続される少なくとも1つのビアを含むデュアル・ダマシン構造が製造される、請求項25に記載の方法。
  28. 少なくとも2つの相互接続ラインを有し、前記少なくとも2つの相互接続ラインが第1の誘電体に埋め込まれる、ダマシン又はデュアル・ダマシンの相互接続構造と、前記少なくとも2つの相互接続ラインの間の空間全体に広がる、前記少なくとも2つの相互接続ラインの上面と名目上同一平面にある任意の誘電体ハード・マスク、及び、前記少なくとも2つの相互接続ラインの上にある拡散障壁キャップ誘電体と、を含むダマシン又はデュアル・ダマシンの相互接続構造を製造するための方法であって、
    前記第1の誘電体及び前記任意の誘電体ハード・マスクを超臨界流体ベースの処理を用いて堆積させるステップと、
    上に前記少なくとも2つの相互接続ラインのパターンを形成するために、フォトレジスト層をパターン形成するステップと、
    フォトリソグラフィ及び反応性イオン・エッチングを用いて、前記少なくとも2つの相互接続ラインのパターンを前記第1の誘電体に転写するステップと、
    プラズマ灰化を用いて、残存フォトレジストを剥離するステップと、
    超臨界流体ベースのシリル化処理を用いて、前記第1の誘電体及び前記任意の誘電体ハード・マスクに対するあらゆるプラズマ損傷を修復するステップと、
    導電性ライナ及び導電性充填材料によって、前記少なくとも2つの相互接続ラインを充填するステップと、
    化学的機械研磨を用いて、前記導電性ライナ及び前記導電性充填材料を平坦化するステップと、
    超臨界流体ベースの洗浄溶液を用いて、前記少なくとも2つの相互接続ライン及び前記任意の誘電体ハード・マスクの上部を洗浄するステップと、
    シリル化によって、前記第1の誘電体及び/又は前記任意の誘電体ハード・マスクの表面に対するあらゆる付随的な損傷を修復するステップと、
    超臨界流体ベースの堆積を用いて、前記拡散障壁キャップ誘電体を堆積させるステップと、
    を含む方法。
  29. 前記第1の誘電体は、多孔質及び非多孔質タイプの有機シリケート並びにそれらの組み合わせで構成される群から選択される、請求項28に記載の方法。
  30. 前記任意のハード・マスクは、ポリシラン、ポリシリン、ポリオキシカルボシラン、ポリシラザン、ポリオキシカルボシラザン、ポリカルボシラン、ポリシラシラザン、ポリシラカルボシラン、ポリシロキサザン、ポリカルボシラザン、ポリシリルカルボジイミド、ポリシラカルボシラザン、ポリアルケニルシラン、ポリアルキルシラン、ポリアルキニルシラン、ポリアリルシラン、及びポリシルセスキアザンで構成される群から選択される、請求項25に記載の方法。
  31. 前記導電性ライナは、W、Ta、及びTi、並びに、これらの窒化物、これらのシリコン窒化物、及びこれらの組み合わせで構成される群から選択される、請求項28に記載の方法。
  32. 前記導電性充填材料は、Cu、Al、Au、Ag、及びこれらの組み合わせ、並びにこれらの合金で構成されるから選択される、請求項28に記載の方法。
  33. 前記洗浄は、前記拡散障壁キャップ誘電体の堆積の前に前記導電性充填材料の表面を洗浄するために、超臨界流体に溶解した弱いエッチャントを利用する、請求項28に記載の方法。
  34. 前記拡散障壁キャップ誘電体は、ポリシラン及びポリシリレン、ポリオキシカルボシラン、ポリシラザン、ポリオキシカルボシラザン、ポリカルボシラン、ポリシラシラザン、ポリシラカルボシラン、ポリシロキサザン、ポリカルボシラザン、ポリシリルカルボジイミド、及びポリシラカルボシラザンで構成される群から選択される、請求項28に記載の方法。
  35. 前記第1の誘電体及び/又は前記任意の誘電体ハード・マスクの表面に対するあらゆる付随的な損傷を修復するための前記シリル化は、液相、気相、又は超臨界CO相であり、シリル化剤が、アルコキシシラン、アミノシラン、クロロシラン、シラザン、及びこれらの混合物で構成される群から選択される、請求項28に記載の方法。
JP2007515261A 2004-06-04 2005-05-23 相互接続構造の製造方法 Withdrawn JP2008502142A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US57692404P 2004-06-04 2004-06-04
PCT/US2005/018196 WO2005122195A2 (en) 2004-06-04 2005-05-23 Fabrication of interconnect structures

Publications (1)

Publication Number Publication Date
JP2008502142A true JP2008502142A (ja) 2008-01-24

Family

ID=35503815

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007515261A Withdrawn JP2008502142A (ja) 2004-06-04 2005-05-23 相互接続構造の製造方法

Country Status (6)

Country Link
US (1) US20080166870A1 (ja)
EP (1) EP1761946A2 (ja)
JP (1) JP2008502142A (ja)
CN (1) CN1954412A (ja)
TW (1) TW200608518A (ja)
WO (1) WO2005122195A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014135475A (ja) * 2012-12-13 2014-07-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2014126117A1 (ja) * 2013-02-12 2017-02-02 日立化成株式会社 バリア層形成用組成物、バリア層付き半導体基板、太陽電池用基板の製造方法及び太陽電池素子の製造方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5019741B2 (ja) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 半導体装置の製造方法および基板処理システム
JP2007273494A (ja) * 2006-03-30 2007-10-18 Fujitsu Ltd 絶縁膜形成用組成物及び半導体装置の製造方法
US20070232047A1 (en) * 2006-03-31 2007-10-04 Masanaga Fukasawa Damage recovery method for low K layer in a damascene interconnection
US7649239B2 (en) * 2006-05-04 2010-01-19 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7863150B2 (en) * 2006-09-11 2011-01-04 International Business Machines Corporation Method to generate airgaps with a template first scheme and a self aligned blockout mask
KR100900225B1 (ko) * 2006-10-31 2009-06-02 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 구리배선 형성방법
US7666781B2 (en) * 2006-11-22 2010-02-23 International Business Machines Corporation Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
US7871923B2 (en) * 2007-01-26 2011-01-18 Taiwan Semiconductor Maufacturing Company, Ltd. Self-aligned air-gap in interconnect structures
JP4977508B2 (ja) * 2007-03-26 2012-07-18 アイメック ダメージの入った多孔性誘電体の処理方法
US7678673B2 (en) * 2007-08-01 2010-03-16 International Business Machines Corporation Strengthening of a structure by infiltration
JP5014356B2 (ja) * 2009-01-15 2012-08-29 パナソニック株式会社 半導体装置の製造方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US9018100B2 (en) * 2010-11-10 2015-04-28 Western Digital (Fremont), Llc Damascene process using PVD sputter carbon film as CMP stop layer for forming a magnetic recording head
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8735283B2 (en) * 2011-06-23 2014-05-27 International Business Machines Corporation Method for forming small dimension openings in the organic masking layer of tri-layer lithography
US8450212B2 (en) * 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
CN104025263B (zh) 2011-12-30 2018-07-03 英特尔公司 自封闭的非对称互连结构
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9653345B1 (en) * 2016-01-07 2017-05-16 United Microelectronics Corp. Method of fabricating semiconductor structure with improved critical dimension control
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
CN111540677B (zh) * 2020-05-28 2023-03-21 绍兴同芯成集成电路有限公司 一种三层阶梯状沟槽晶体管的制造工艺

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413854B1 (en) * 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
US6562725B2 (en) * 2001-07-05 2003-05-13 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene structure employing nitrogenated silicon carbide and non-nitrogenated silicon carbide etch stop layers
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US20040084774A1 (en) * 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014135475A (ja) * 2012-12-13 2014-07-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2014126117A1 (ja) * 2013-02-12 2017-02-02 日立化成株式会社 バリア層形成用組成物、バリア層付き半導体基板、太陽電池用基板の製造方法及び太陽電池素子の製造方法

Also Published As

Publication number Publication date
US20080166870A1 (en) 2008-07-10
EP1761946A2 (en) 2007-03-14
WO2005122195A2 (en) 2005-12-22
CN1954412A (zh) 2007-04-25
WO2005122195A3 (en) 2006-06-22
TW200608518A (en) 2006-03-01

Similar Documents

Publication Publication Date Title
JP2008502142A (ja) 相互接続構造の製造方法
US7179757B2 (en) Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7564136B2 (en) Integration scheme for Cu/low-k interconnects
KR100670966B1 (ko) 반도체 장치의 제조 방법
KR100754320B1 (ko) 집적 회로 장치에서의 상호접속 구조
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US7622380B1 (en) Method of improving adhesion between two dielectric films
US6475929B1 (en) Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant
US8791013B2 (en) Pattern forming method
US20090311859A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
JP2004146800A (ja) 相互接続構造の製造方法およびその構造
JP2006506806A (ja) ハイブリッド誘電体を備えた高信頼低誘電率相互接続構造
US20120205814A1 (en) Dielectric protection layer as a chemical-mechanical polishing stop layer
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
JPH1074755A (ja) マイクロエレクトロニク構造および形成方法
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US6713382B1 (en) Vapor treatment for repairing damage of low-k dielectric
WO2003061002A1 (en) Integration scheme for advanced beol metallization including low-k capping layer and method thereof
US20240088023A1 (en) Semiconductor interconnection structure and methods of forming the same
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
US20060105567A1 (en) Method for forming a dual-damascene structure
US6495447B1 (en) Use of hydrogen doping for protection of low-k dielectric layers
US7691736B2 (en) Minimizing low-k dielectric damage during plasma processing
US7199038B2 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080326

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20100803