KR100670966B1 - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR100670966B1
KR100670966B1 KR1020000052985A KR20000052985A KR100670966B1 KR 100670966 B1 KR100670966 B1 KR 100670966B1 KR 1020000052985 A KR1020000052985 A KR 1020000052985A KR 20000052985 A KR20000052985 A KR 20000052985A KR 100670966 B1 KR100670966 B1 KR 100670966B1
Authority
KR
South Korea
Prior art keywords
mask
film
forming
interlayer insulating
semiconductor device
Prior art date
Application number
KR1020000052985A
Other languages
English (en)
Other versions
KR20010030302A (ko
Inventor
하세가와도시아키
이케다고이치
기토히데유키
Original Assignee
소니 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 소니 가부시끼 가이샤 filed Critical 소니 가부시끼 가이샤
Publication of KR20010030302A publication Critical patent/KR20010030302A/ko
Application granted granted Critical
Publication of KR100670966B1 publication Critical patent/KR100670966B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 유기막을 포함하는 층간 절연층을 포함하는 반도체 장치의 제조 방법에 관한 것이며, 본 발명에 의한 반도체 장치의 제조 방법은 아래로부터 차례로 제1 마스크, 제2 마스크, 제3 마스크를 포함하는 3층의 마스크를 층간 절연층 상에 형성하는 단계를 포함하며, 여기서 이들 제1 마스크, 제2 마스크, 제3 마스크 각각은 서로 다른 재료로 구성되고, 제2 마스크를 형성하는 제2막은 제3 마스크를 형성하는 경우에 제1 마스크를 형성하기 위한 막을 보호하는 재료로 구성된다. 본 발명에 의한 방법에서는, 제2 마스크를 형성하는 제2 막이 제3 마스크를 형성하는 동안 제1 마스크 아래의 층을 보호하기 위한 보호막의 기능을 수행하기 때문에, 제3 마스크를 형성하는 경우에 레지스트 마스크를 사용하여 에칭할 수 있도록 하며, 제1 마스크 아래의 층이 손상되는 것을 방지하면서 레지스트 마스크의 재생 처리가 가능하도록 할 뿐만 아니라, 제1 마스크의 재료로 레지스트 마스크와 동일 재료 즉 탄소를 함유하는 저 유전율 물질을 사용할 수 있도록 한다는 점에서 편리하다.
반도체 장치의 제조 방법, 마스크, 중간 절연층, 층간 절연막, 배선홈 패턴, 접속구멍 패턴, 광 투과성, 유기 절연 재료.

Description

반도체 장치의 제조 방법 {PROCESS FOR FABRICATING A SEMICONDUCTOR DEVICE}
도 1a 내지 1f는 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법의 일례를 예시하는 제조 공정의 단면도.
도 2a 내지 2b는 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법의 일례를 예시하는 제조 공정의 단면도.
본 발명은 반도체 장치의 제조 방법에 관한 것이며, 상세하게는 0.25-㎛ 설계 규칙 또는 이후의 설계 규칙 이하의 다층 배선을 포함하는 장치의 제조 방법에 사용되기에 적당한 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치의 축소 경향에 따라, 매우 정밀한 배선 및 감소된 배선 피치에 대한 요구가 증가하고 있다. 또한 저 전력 소비와 고속화 등이 요구됨에 따라, 낮은 유전율을 가지는 층간 절연막 및 낮은 저항을 가지는 배선이 필요하게 되었다. 특히 논리 장치(logic device)에서는 매우 미세한 배선에 의해 저항 및 배선 용량이 증가하게 되며, 저항 및 배선 용량이 증가함에 따라 장치의 응답 속도가 느려진 다. 따라서 층간 절연막으로 저 유전율 막을 사용하는 미세한 다층 배선이 필요하다.
배선 단면의 종횡비를 크게 하고 배선 사이 공간의 종횡비를 크게 함으로써, 배선 폭을 축소화하고 배선 피치를 감소시킨다. 그 결과 매우 미세한 종방향으로 가늘고 긴 배선을 형성하는 기술, 미세한 배선 사이의 공간을 층간 절연막으로 매립하는 기술 등을 사용해야 하며, 따라서 이는 공정을 복잡하게 하며 공정 수를 증가시킨다.
예를 들어 리플로 스퍼터링(reflow sputtering)에 의해 접속구멍(비아 홀) 및 배선홈(wiring trench)을 금속(예를 들어 알루미늄, 동 등)으로 동시에 매립하고, 화학적 기계 연마(Chemical Mechanical Polishing, 이하 CMP라 함)에 의해 금속 표면으로부터 과도 금속을 제거하는 상감 공정(damascene process)에서는, 에칭에 의해 높은 종횡비를 가지는 금속 배선을 형성하거나, 배선 사이의 좁은 틈(gap)을 층간 절연막으로 매립할 필요가 없으며, 따라서 공정 단계 수를 큰 폭으로 줄일 수 있다. 배선의 종횡비가 커질수록 또는 배선의 총 수가 많아질수록, 전체 제조 경비는 상감 공정에 의해 더 많이 감소하게 된다.
그러나 종래의 반도체 장치의 제조 공정에서 사용되는 패턴닝 기술에서는, 에칭 마스크로 유기막인 레지스트 막을 사용한다. 한편 3.0 이하의 유전율을 가지는 저 유전율 막의 다수는 탄소를 함유하는 유기막이며, 이러한 막을 층간 절연막으로 사용하는 경우에는 이러한 층간 절연막에 접속구멍을 형성하기 위해 산소 가스를 에칭 가스로 사용해야 한다. 이러한 이유로, 레지스트 막에 손상이 생기며, 경우에 따라서는 에칭 중에 레지스트 막이 소멸되는 문제가 발생한다. 또한 레지스트 막이 제거될 때, 저 유전율 막이 레지스트 막과 함께 제거될 가능성이 있는데, 이는 저 유전율 막의 조성물이 레지스트 막의 조성물과 유사하기 때문이다. 따라서 레지스트 막의 재생 처리를 수행하기가 어렵다.
전술한 종래 기술의 문제점을 해결하기 위한 본 발명에 대해 구체적으로 설명한다. 본 발명은 전술한 문제를 해결하기 위한 반도체 장치의 제조 방법에 관한 것으로, 아래에서부터 차례로 제1 마스크, 제2 마스크, 및 제3 마스크를 포함하는 3층의 마스크를 층간 절연막 상에 형성하는 단계를 포함한다. 이 때 제1 마스크, 제2 마스크, 및 제3 마스크 각각은 서로 다른 재질로 구성된다. 제2 마스크를 형성하는 제2 막은 제3 마스크를 형성하는 경우에 제1 마스크를 형성하는 막을 보호하는 재질의 막으로 형성되며, 제3 마스크를 형성하는 동안에 제1 마스크 아래의 층을 보호하기 위한 보호막의 기능을 하여, 제3 마스크를 형성하는 동안에 레지스트 마스크를 사용하여 에칭할 수 있도록 하며 제1 마스크 아래의 층이 손상되는 것을 방지하면서 레지스트 마스크의 재생 처리를 수행할 수 있도록 할 뿐만 아니라, 제1 마스크용 재료로 레지스트 마스크의 재료와 동일한 재료, 예를 들어 저 유전율을 가지는 탄소 함유 재료를 사용할 수 있도록 한다. 본 발명은 전술한 신규한 발견에 기초하여 완성된다.
따라서 본 발명의 주요한 목적은 유기막을 포함하는 층간 절연막을 포함하는 반도체 장치를 제조하기 위한 공정을 제공하는 것이다. 제3 마스크를 형성하는 동 안에 레지스트 마스크를 사용하여 에칭할 수 있으며, 제1 마스크 아래의 층이 손상되는 것을 방지하면서 레지스트 마스크의 재생 처리를 수행할 수 있으며, 제1 마스크의 재료로 레지스트 마스크와 동일한 재료를 사용할 수 있다는 점에서 편리하다.
다음의 발명의 구성 및 첨부된 특허 청구 범위를 참조하여 상세한 설명을 읽는 경우, 당업자는 본 발명의 전술한 목적 및 다른 목적, 특징 및 이점을 명확하게 이해하게 될 것이다.
도 1a 내지 1f를 참조하여 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법에 대해 상세하게 설명한다. 그러나 이 실시예가 본 발명의 범위를 제한하기 위한 것은 아니다.
도 1a에 예시되어 있는 바와 같이, 기판(11)은 예를 들어 그 상에 트랜지스터 등의 반도체 소자(예시되지 않음)가 형성되는 기부 재료(51), 및 이들 층을 덮으며 그 내부에 배선(53)이 형성되는 층간 절연막(52)을 포함한다. 또한 층간 절연막(52) 상에 확산 방지층(54)이 상기 배선(53)의 상부 표면을 덮도록 형성된다. 이러한 확산 방지층(54)은 예를 들어 실리콘 질화막으로 50 ㎚ - 100 ㎚의 두께를 가지도록 형성된다.
또한 확산 방지층(54) 상에, 층간 절연막(12)의 하층 부분을 구성하는 제1 저 유전율 막(13)이 예를 들어 300 내지 800 ㎚의 두께로 형성된다. 제1 저 유전율 막(13)은 배선층과 배선층을 연결하는 비아 홀이 형성되는 배선 층 사이의 층간 절연막(ILD; Inter Level Dielectrics)의 기능을 하며, 유전율이 약 2.5인 유기막 으로 형성할 수 있다. 이러한 실시예에서는 예를 들어 일반적으로 폴리아릴 에테르(polyaryl ether)로 불리는 유기 중합체를 사용한다. 이러한 폴리아릴 에테르에는 예를 들어 FLARE(미합중국 AlliedSignal Inc.에 의해 제조 판매되는 상표명), SILK(미합중국 Dow Chemical Company에 의해 제조 판매되는 상표명), VELOX(미합중국 Schumacher Co.에 의해 제조 판매되는 상표명) 등이 있다. 또한 제1 저 유전율 막(13)으로 BCB(벤조사이클로부텐; benzocyclobutene) 막, 폴리이미드(polyimide) 막, 비정질 탄소 막, 불소 수지 막, 환상 불소 수지 막, Teflon(폴리테트라플루오로에틸렌; PTFE), 비정질 Teflon(예를 들어 미합중국 Du Pont Co.에 의해 제조 판매되는 Teflon AF), 불화 아릴 에테르, 불화 폴리이미드 등을 사용할 수 있다.
전술한 폴리아릴 에테르는 질소(N2) 가스, 수소(H2) 가스, 또는 암모니아(NH3) 가스를 에칭 가스로 사용하여 쉽게 건식 에칭이 가능하다는 특징을 가진다.
예를 들어 회전 도포(spin coating)에 의해 기판(11) 상에 전구체(precursor)를 도포한 후에 이를 300 ℃ - 450 ℃로 경화(curing)하여 유기 중합체 막을 증착한다. 기판(11)의 표면 상태가 소수성(hydrophobic) 상태이고 따라서 유기 중합체 막과의 밀착성이 나쁜 경우에는, 예시되어 있지 않지만 실리콘 산화막, 실리콘 산화 질화막, 실리콘 탄화막, 또는 실리콘 질화막을 기판(11) 상에 증착한다. 또한 동이 확산되는 것을 방지하고자 하는 경우에는, 예시되어 있지 않지만 실리콘 탄화막 또는 실리콘 질화막을 증착한다.
전술한 실리콘 산화막은 예를 들어 회전 도포 방법을 사용하여, 시판 중인 무기 SOG(spin on glass; SOG; 실란올을 주성분으로 하는 SOG 또는 실란올을 포함하는 중합체를 주성분으로 하는 SOG)를 예를 들어 30 ㎚ - 100 ㎚의 두께로 형성한다. 회전 도포 후에는 150 ℃ - 200 ℃에서 약 1 분 동안 베이킹(baking)하고, 그 후 350 ℃ - 450 ℃에서 약 30 분 내지 1 시간동안 경화한다.
전술한 실리콘 산화막은 시판 중인 플라즈마 CVD (chemical vapor deposition) 장치를 사용하여, 플라즈마 CVD 법에 의해 증착될 수 있다. 그러나 배선(53)을 동 배선으로 형성하는 경우에는, 통상의 플라즈마 CVD 법을 사용하여 실리콘 산화막을 증착하는 것이 바람직하지 못한데, 이는 플라즈마 CVD 법에 의해 동 배선이 산화되기 때문이다. 그러나 산화제로 일산화이질소(N2O) 가스를 사용하고, 실리콘 소스로 실란계 가스(예를 들어 모노실란(SiH4), 다이실란(Si2H6), 또는 트라이실란(Si3H8))를 사용하고, 기판 온도를 300 ℃ - 400 ℃로 설정하고, 플라즈마 파워를 350 W로 설정하고, 증착 분위기의 압력을 약 1 ㎪로 설정하여 증착함으로써, 동 배선의 산화를 가능한 억제시킬 수 있다.
한편 실리콘 질화 산화막의 경우에는 예를 들어 회전 도포법을 사용하여 아미노기(基)를 가지는 시판 중인 무기 SOG를 증착할 수 있다. 바람직하게는 플라즈마 CVD 법을 사용하여 증착한다. 예를 들어 전술한 실란계 가스를 실리콘 소스로 사용하고, 암모니아, 히드라진(hydrazine) 등을 질화제로 사용하며, 일산화이질소(N2O)를 산화제로 사용하고, 질소 가스, 헬륨 가스, 아르곤 가스 등과 같은 불활성 가스를 캐리어 가스(carrier gas)로 사용한다. 또한 증착 조건은 예를 들어 기판 온도를 300 ℃ - 400 ℃로 설정하고, 플라즈마 파워를 350 W로 설정하고, 증착 분위기의 압력을 약 1 ㎪로 설정한다.
전술한 실리콘 질화막은 전술한 실리콘 질화 산화막의 경우와 동일한 방법으로 회전 도포법을 사용하여 현재 시판 중인 아미노기를 가지는 무기 SOG를 도포함으로써 증착된다. 바람직하게는 플라즈마 CVD 법을 사용하여 증착한다. 예를 들어 전술한 실란계 가스를 실리콘 소스로 사용하고, 암모니아, 히드라진 등을 질화제로 사용하며, 일산화이질소(N2O)를 산화제로 사용하고, 질소 가스, 헬륨 가스, 아르곤 가스 등과 같은 불활성 가스를 캐리어 가스로 사용한다. 또한 증착 조건은 예를 들어 기판 온도를 300 ℃ - 400 ℃로 설정하고, 플라즈마 파워를 350 W로 설정하고, 증착 분위기의 압력을 약 1 ㎪로 설정한다.
전술한 실리콘 탄화막을 증착하는 경우, 예를 들어 평행 플레이트(parallel plate) 플라즈마 CVD 장치를 사용하고 예를 들어 메틸실란을 실리콘 소스로 사용한다. 또한 증착 조건으로는 예를 들어 기판 온도를 300 ℃ - 400 ℃로 설정하고, 플라즈마 파워를 150 내지 350 W로 설정하고, 증착 분위기의 압력을 약 100 ㎩ 내지 1 ㎪로 설정한다.
다음으로 제1 저 유전율 막(13) 상에 실리카 막을 중간 층(14)으로 증착한다. 실리카 막으로는 예를 들어 SOG를 사용할 수 있다.
SOG는 일반적으로 메틸 실세스퀴옥산(Methyl Silsesquioxane; MSQ)으로 총칭 된다. MSQ 막은 Si-O 결합, Si-H 결합 및 Si-CHx 결합(x = 1, 2, 3)을 가지는 막이며, 예를 들어 도포 공정에 의해 증착된다. 또한 CVD 법, 스퍼터링 법에 의해 증착될 수 있다.
예를 들어 CVD 법에 의해 증착되는 막은 SiOC로 불리며, 종종 혼합 원료 가스로 Si(CH3)xHy(x = 1, 2, 3, y = 는 3, 2, 1) 및 산소(O2)를 약 1:1 내지 약 1:5 비율로 사용하거나, 또는 Si(CH3)xHy(여기서 x = 1, 2, 3, y = 3, 2, 1) 및 일산화이질소(N2O)를 약 1:5 내지 약 1:10 비율로 사용하여, 플라즈마 CVD 법에 의해 증착한다. 예를 들어 트라이메틸실란(trimethylsilane; Si(CH3)3H)을 사용하는 경우에는, PECVD(plasma enhanced chemical vapor deposition) 공정을 사용하고 이러한 증착 조건은 예를 들어 질소(N2) 가스 또는 희가스(rare gas)를 캐리어 가스로 사용하고, 공급되는 캐리어 가스의 유량은 약 1000 sc㎝이며, 공급되는 혼합 원료 가스의 유량은 약 15 sc㎝ - 30 sc㎝이고, 기판의 온도는 200 ℃ - 400 ℃,이며, 증착 분위기의 압력은 26.7 ㎩이며, 플라즈마 파워는 350 W로 설정한다.
또한 SOG 의 일례는 HMSQ(Hydrogen Methyl Silsesquioxane)으로 총칭된다. HMSQ 막은 Si-H 결합, Si-O 결합, 및 Si-CHx 결합(x = 1, 2, 3)을 가지는 막이며, 통상적으로 도포 공정에 의해 증착된다. HMSQ 막은 20 ㎚ - 100 ㎚의 두께로 증착되는 것이 바람직하다. HMSQ 막이 20 ㎚ 미만의 두께로 증착되는 경우, HMSQ 막은 에칭 스토퍼(etching stopper)의 기능을 수행하지 않으며, 한편 HMSQ 막이 100 ㎚ 이상의 두께로 증착되는 경우에는, 바람직하지 못하게 배선 사이의 용량이 현저하게 증가하게 된다.
또한 SOG의 일례는 HSQ(Hydrogen Silsesquioxane)으로 총칭된다. HSQ 막은 Si-H 결합 및 Si-O 결합을 가지는 막이며, 약 2.6 - 3.0의 유전율을 가지며, 이러한 유전율은 수소 원자(H)의 잔존율에 의해 결정된다. 통상적으로 HSQ 막은 도포 방법에 의해 형성된다. HSQ 막은 20 ㎚ - 100 ㎚의 두께로 증착되는 것이 바람직하다. HSQ 막이 20 ㎚ 미만의 두께로 형성되는 경우, HSQ 막은 에칭 스토퍼의 기능을 수행하지 않으며, 한편 HSQ가 100 ㎚ 이상의 두께로 형성되는 경우에는, 바람직하지 못하게 배선 사이의 용량이 현저하게 증가한다.
또한 MSQ, HMSQ, HSQ, 실란올 등 중에서 선택되는 2 이상의 화합물을 적당한 비율로 중합(polymerization)하여 형성되는 중합체는 도포 방법에 의해 증착될 수 있다. 중합체 막은 20 ㎚ 내지 100 ㎚의 두께를 가지도록 형성되는 것이 바람직하다. 증착된 막의 두께가 20 ㎚ 미만인 경우, 이 막은 에칭 스토퍼의 기능을 수행하지 않으며, 100 ㎚ 이상의 두께로 증착되는 경우, 바람직하지 못하게 배선 사이의 용량이 현저하게 증가하게 된다.
전술한 임의의 증착 공정에서, 일반적으로 증착 후에 150 ℃ - 250 ℃에서 60 초 - 120 초 동안 프리베이킹(prebaking)에 의해 용제를 휘발시킨 후에, 350 ℃ - 400 ℃의 불활성 분위기에서 포스트베이킹(post-baking)을 수행하거나 또는 133 ㎩ 이하의 감압 분위기에서 1 시간 동안 포스트베이킹을 수행하여 Si-OH 반응을 촉진시키는 열처리를 한다.
다른 증착 방법으로는 저온 CVD 법이 있다. 이러한 CVD 법에서는 예를 들어 과산화수소수(H2O2), 모노실란(SiH4), 트라이메틸실란(Si(CH3) 3H)을 포함하는 혼합 원료 가스를 사용하고, 기판 온도를 0 ℃ - 20 ℃로 설정하고, 증착 분위기를 133 ㎩ - 1.33 ㎪로 설정할 수 있다. 이 때에도 증착 후에 150 ℃ - 200 ℃에서 60 초 - 120초 동안 프리베이킹을 수행하여, H2O가 휘발되도록 한다. 또한 불활성 분위기에서 350 ℃ - 400 ℃의 포스트베이킹을 수행하거나, 또는 133 ㎩ 이하의 감압 분위기에서 1시간 동안 포스트베이킹을 수행하여, Si-OH 반응을 촉진시키는 열처리를 한다.
그 후 중간층(14) 상에, 층간 절연막(12)의 상층 부분을 구성하는 제2 저 유전율 막(15)을 예를 들어 400 ㎚의 두께로 증착한다. 제2 저 유전율 막(15)은 배선이 형성되는 배선 사이의 층간 금속 절연막(IMD; Inter Metal Dielectric)이며, 2.5의 유전율을 가지는 유기막으로 형성될 수 있다. 이 실시예에서는 예를 들어 폴리아릴 에테르로 총칭되는 유기 중합체를 유기막으로 사용한다. 이러한 폴리아릴 에테르에는 예를 들어 FLARE(미합중국 AlliedSignal Inc.에 의해 제조 판매되는 상표명), SILK(미합중국 Dow Chemical Company에 의해 제조 판매되는 상표명), VELOX(미합중국 Schumacher CO.에 의해 제조 판매되는 상표명) 등이 있다. 또한 제2 저 유전율 막(15)으로 BCB 막, 폴리이미드 막, 비정질 탄소 막, 불소 수지 막, 환상 불소 수지 막, Teflon(PTFE), 비정질 Teflon(예를 들어 미합중국 Du Pont Co.에 의해 제조 판매되는 Teflon AF), 불화 아릴 에테르, 불화 폴리이미드 등을 사 용할 수 있다.
전술한 폴리아릴 에테르는 질소(N2) 가스, 수소(H2) 가스 또는 암모니아(NH3) 가스를 에칭 가스로 사용하여, 쉽게 건식 에칭할 수 있다는 특징이 있다.
회전 도포 장치에 의해 중간층(14) 상에 전구체를 도포한 후 300 ℃ - 450 ℃에서 경화함으로써 제2 저 유전율 막(15)이 증착된다. 불소화 비정질 탄소 등과 같은 재료는 아세틸렌(C2H2) 가스 또는 플루오르탄소(fluorocarbon) 가스(예를 들어 옥타플루오르부텐(C4F8)이 대표적)를 프로세스 가스(process gas)로 사용하여 플라즈마 CVD 법에 의해 증착될 수 있다. 또한 이 경우, 증착 후에 300 ℃ - 450 ℃에서 경화한다. 전술한 비정질 Teflon이 Teflon AF로 한정되는 것은 아니며, 후술하는 화학식(1)에 예시된 구조를 가지면 된다.
Figure 112000018951660-pat00001
여기서 m, n은 양의 정수이다.
환상중합화된 불소화 중합체 수지(cyclopolymerized florinated polymer resin; 예를 들어 일본 Asahi Glass Co., Ltd.에서 제조 판매하는 상표명 Cytop)를 제2 저 유전율 막(15)으로 사용할 수 있다. 환상중합화된 불소화 중합체 수지가 전술한 Cytop로 한정되는 것은 아니며, 다음의 화학식(2)에 도시되는 구조를 가지 면 된다.
Figure 112000018951660-pat00002
여기서 x, y, z는 양의 정수이다.
불소화 폴리아릴 에테르 수지(예를 들어 미합중국 AlliedSignal Inc.에 의해 제조 판매되는 상표명 FLARE)를 제2 저 유전율 막(15)으로 사용할 수 있다. 불소화 폴리아릴 에테르 수지가 전술한 FLARE로 한정되는 것은 아니며, 다음의 화학식(3)에 도시되는 구조를 가지면 된다.
Figure 112000018951660-pat00003
여기서 R은 알킬기이다.
또한 제1 저 유전율 막(13), 중간층(14), 제2 저 유전율 막(15), 제1 막(16) 등의 각각의 표면이 소수성(예를 들어 물의 접촉 각이 약 50도 이상인 경우)이고 표면 상에 유기막을 도포하는 것이 어려운 경우, 아르곤(Ar) 등의 불활성 가스를 사용하여 플라즈마 처리를 수행하거나 또는 자외선 조사(기판 온도는 200 ℃ 내지 300 ℃)를 수행하여, 표면의 소수성을 낮추는 것이 바람직하다. 일반적으로 표면은 물의 접촉 각이 50도 미만인 것이 바람직하다.
따라서 기판(11) 상에, 제1 저 유전율 막(13), 중간층(14), 및 제2 저 유전율 막(15)을 포함하는 층간 절연막(12)을 형성한다.
다음 도 1b에 예시되어 있는 바와 같이, 층간 절연막(12) 즉 제2 저 유전율 막(15) 상에, 무기 마스크로 중간층(14)과 동일한 재료를 사용하여 제1 마스크를 형성하기 위한 제1 막(16)을 예를 들어 50 ㎚ - 100 ㎚의 두께로 증착한다. 본 실시예에서는 예를 들어 유기 SOG 막으로 제1 막(16)을 형성한다.
연이어 제2 마스크를 형성하기 위한 제2 막(17)을 실리콘 산화막으로부터 예를 들어 50 ㎚ - 300 ㎚의 두께로 형성한다.
그 후 제3 마스크를 형성하기 위한 제3 막(18)을 실리콘 질화막으로부터 예를 들어 50 ㎚ - 150 ㎚의 두께로 형성한다.
전술한 실리콘 산화막 및 실리콘 질화막 각각은 전술한 조건과 동일한 조건 하에서 일반적인 CVD 장치를 사용하여 증착된다. 또한 실리콘 산화막을 형성하기 전에, 필요에 따라, 특히 제2 저 유전율 막(15)의 산화가 문제가 되는 경우에는 실리콘 질화막, 비정질 실리콘 막, 실리콘 질화 산화막 또는 화학양론(stoichiometric)에 비해 많은 실리콘을 함유하는 실리콘 산화막을 증착하는 것이 바람직하다. 특히 환원 분위기에서 CVD 법에 의해 막을 형성한다. 막의 두께는 가능한 한 얇은 것이 바람직한데, 약 10 ㎚의 두께를 가지도록 막을 증착하는 것이 바람직하다.
전술한 바와 같이, 얼라인먼트(alignment)에 사용되는 파장 영역(예를 들어 200 ㎚ - 1000 ㎚)의 광 투과성이 우수한 유기 SOG 막으로 제1 막(16)을 형성하고, 얼라인먼트에 사용되는 파장 영역(예를 들어 200 ㎚ - 1000 ㎚)의 광 투과성이 우수한 실리콘 산화막으로 제2 막(17)을 형성하고, 얼라인먼트에 사용되는 파장 영역(예를 들어 200 ㎚ - 1000 ㎚)의 우수한 광 투과성을 가지는 실리콘 질화막으 로 제3 막(18)을 형성한다.
다음 도 1c에 예시되어 있는 바와 같이, 제3 막(18) 상에 통상의 레지스트 도포 기술(예를 들어 회전 도포 방법)을 사용하여 제1 레지스트 막(41)을 증착한다. 그 후 리소그래피 기술에 의해 제1 레지스트 막(41)을 패턴화하여, 배선홈을 형성하기 위한 개구부(42)를 형성한다.
계속하여 제1 레지스트 막(41)을 에칭 마스크로 사용하고, 제3 막(18)만을 에칭하여 배선홈을 형성하기 위한 개구부(19)를 형성하여, 층간절연막(12)에 배선홈을 형성하는 경우에 에칭 마스크의 기능을 하는 제3 마스크(21)를 형성한다. 이러한 에칭에서는 예를 들어 마그네트론 에칭(magnetron etching) 장치를 사용하여, 제3 막(18)만을 선택적으로 에칭한다. 제3 막(18)이 실리콘 질화막으로 형성되는 경우, 에칭 조건으로는 예를 들어 트라이플루오르메탄(CHF3) 가스(5 sc㎝), 산소(O2) 가스(5 sc㎝), 및 아르곤(Ar) 가스(20 sc㎝)를 에칭 가스로 사용하고, RF(radio frequency) 플라즈마를 600 W로 설정한다. 그 후 제1 레지스트 막(41)을 애싱(ashing; 건식 제거 작업)에 의해 제거한다. 이 때 실리콘 산화막으로 구성되는 제2 막(17)이 제2 마스크(22)의 기능을 하고, 따라서 유기 SOG 막으로 형성되는 제1 막(16)이 제2 마스크(22)를 형성하는 제2 막에 의해 보호되기 때문에, 제1 막(16)은 손상되지 않는다. 제1 레지스트 막(41)을 제거하기 전의 상태를 도 1c에 예시한다.
도 1d에 예시되어 있는 바와 같이, 제3 마스크(21) 및 제2 마스크(22) 상에, 통상의 레지스트 도포 기술(예를 들어 회전 도포법)을 사용하여 제2 레지스트 막(43)을 증착한다. 그 후 리소그래피 기술에 의해, 제2 레지스트 막(43)을 패턴닝하여, 제3 마스크(21)의 평면 방향으로 관찰했을 때 개구부(44)의 전체 또는 적어도 일부가 전술한 배선홈을 형성하기 위한 개구부(19) 내에 위치하도록, 접속구멍을 형성하기 위한 개구부(44)를 형성한다.
그 후 제2 레지스트 막(43)을 에칭 마스크로 사용하고, 제2 막(17) 및 제1 막(16)을 에칭하여, 제2 저 유전율 막(15)에 접속구멍을 형성하기 위한 개구부(23)를 형성하며, 따라서 층간 절연막(12)에 접속구멍을 형성하는 경우에 에칭 마스크의 기능을 하는 제2 마스크(22) 및 제1 마스크(24)를 제1 막(16)으로부터 형성한다.
그 후 제2 레지스트 막(43), 제2 마스크(22), 및 제1 마스크(24)를 에칭 마스크로 사용하고 일반적인 에칭 장치를 사용하여, 층간 절연막(12)의 제2 저 유전율 막(15)을 에칭하여, 개구부(25)를 형성한다. 이러한 에칭에서는 예를 들어 에칭 가스로 질소(N2) 가스를 사용하고, 필요에 따라서는 암모니아(NH3) 또는 수소(H2)를 첨가한다. 이러한 에칭 가스는 유기막을 손상시키는 산소를 포함하지 않는다는 것에 유의해야 한다. 또한 전술한 에칭 가스가 반드시 불화탄소계 가스 또는 일산화탄소 가스를 포함해야 하는 것은 아니다. 제2 저 유전율 막(15)의 아래에 유기 SOG 막으로부터 형성되는 중간층(14)이 위치하며, 이러한 중간층(14)에 의해 에칭이 중단된다. 이 때 유기막으로 구성되는 제2 저 유전율 막(15)이 에칭되는 경우, 에칭에 의해 제2 레지스트 막(43)이 완전히 제거된다. 따라서 이 경우 에는 레지스트 애싱을 수행할 필요가 없다.
또한 도 1e에 예시되어 있는 바와 같이, 실리콘 질화막으로 구성되는 제3 마스크(21)를 에칭 마스크로 사용하고, 실리콘 산화막으로 구성되는 제2 마스크(22) 및 유기 SOG 막으로 구성되는 제1 마스크(24)를 에칭하여, 제2 마스크(22) 및 제1 마스크(24)에 배선홈을 형성하기 위한 개구부(19)를 형성하는데, 이러한 개구부(19)는 제3 마스크(21)에도 형성된다. 이러한 에칭 조건으로는 예를 들어 옥타플루오로부텐(C4F8) 가스(5 sc㎝), 일산화탄소(CO) 가스(5 sc㎝), 아르곤(Ar) 가스(20 sc㎝)를 에칭 가스로 사용하고, RF 플라즈마를 600 W로 설정한다. 또한 제2 저 유전율 막(15)을 에칭 마스크로 사용하고, 개구부(25)에 노출되는 중간층(14)을 에칭하며, 따라서 중간층(14)까지 연장되도록 개구부(25)를 형성한다. 이 때 제3 마스크(21)도 에칭되며, 경우에 따라서 제3 마스크(21)는 완전히 제거될 수도 있다. 제3 마스크(21)가 남아있는 경우가 도 1e에 예시되어 있다.
다음으로 도 1f에 예시되어 있는 바와 같이, 배선홈(26)를 형성하기 위해 제3 마스크(21), 제2 마스크(22) 및 제1 마스크(24)를 에칭 마스크로 사용하고 일반적인 에칭 장치를 사용하여 제2 저 유전율 막(15)을 에칭하고, 접속구멍(27)를 형성하기 위해 중간층(14)을 에칭 마스크로 사용하여 제1 저 유전율 막(13)을 에칭한다. 이러한 에칭 시에, 에칭 가스로 질소를 사용하는데, 필요에 따라서는 암모니아 또는 수소 가스를 사용한다. 또한 접속구멍(27) 내의 확산 방지층(54)을 에칭하여, 배선(53)의 상부 표면을 노출시킨다. 이러한 에칭에서는 제3 마스크(21)도 에칭하며, 확산 방지층(54)의 두께에 따라 제3 마스크(21)는 완전히 에칭 제거될 수 있다. 제3 마스크(21)가 남아 있는 경우가 도 1f에 예시되어 있다. 이러한 에칭에서는 에칭 가스에 다량의 불소화 라디칼(fluorine radicals)을 도입함으로써, 실리콘 질화막을 실리콘 산화막에 대하여 선택적으로 에칭할 수 있다.
그 후 도 2a에 예시되어 있는 바와 같이, 상감 공정에 의해 배선을 형성한다. 우선 스퍼터링 또는 CVD 법에 의해, 배선홈(26) 및 접속구멍(27) 각각의 내벽에 질화 탄탈 등의 베리어 금속 층(31)을 형성한다. 이 때 제3 마스크(21) 상에서도 베리어 금속 층(31)이 형성된다. 그 후 스퍼터링, CVD 법, 또는 전기도금(electroplating) 법에 의해, 예를 들어 동과 같은 배선 재료(금속)를 적층한다. 전기도금 법에 의해 금속(32)을 적층하는 경우에는, 적층될 금속(32)과 동일한 종류의 금속으로 시드층(도시 생략)을 미리 형성한다.
그 후 CMP에 의해 제3 마스크(21) 상의 과도한 금속(32) 및 베리어 금속 층(31)을 연마하여 제거하고, 도 2b에 예시되어 있는 바와 같이 금속(32)을 포함하는 배선(33)을 베리어 금속층(31)을 통해 배선홈(26) 내에 형성하고, 금속(32)을 포함하는 플러그(34)를 베리어 금속층(31)을 통해 접속구멍(27)내에 형성한다. 이 때 제3 마스크(21)(도 2a 참조)는 연마 스토퍼의 기능을 하며, 제3 마스크(21)는 그 두께에 따라 완전히 제거될 수도 있다. 도 2b는 제3 마스크(21)가 제거되는 경우를 예시한다. 이 경우에는 제2 마스크(22)가 연마 스토퍼의 기능을 한다. 전술한 CMP에서는 예를 들어 알루미나 슬러리(alumina slurry)를 사용한다.
도시되어 있지 않지만, 또한 층간 절연막(12)의 형성 단계에서부터 배선(33) 및 플러그(34)의 형성 단계까지의 전술한 단계를 반복 수행함으로써, 다층 배선을 형성할 수 있다.
전술한 설명에서는 반도체 소자가 형성되는 기판(11) 상에 층간 절연막(12)을 형성하는 제조 방법의 일례를 설명하고 있지만, 반도체 소자가 형성되지 않는 기판 상에 전술한 구성의 층간 절연막(12), 배선홈(26), 접속구멍(27), 배선(33), 플러그(34) 등을 형성하는 경우에도 상기 제조 방법을 적용할 수 있다.
또한 실리콘 질화막으로 형성되는 확산 방지층(54) 및 제3 막(18)은 일종의 실리콘 탄화막인 SiCH 막(예를 들어 미합중국의 Applied Materials, Inc.에 의해 제조 판매되는 BLOK(상표명))으로 형성될 수 있다.
전술한 본 발명의 실시예의 반도체 제조 공정에서는, 아래에서부터 차례로 제1 마스크(24), 제2 마스크(22) 및 제3 마스크(21)를 포함하는 3층의 마스크를 층간 절연막(12) 상에 형성하는 단계를 포함하고, 여기서 제1 마스크(24), 제2 마스크(22) 및 제3 마스크(21) 각각은 서로 다른 재질을 가지며, 제2 마스크(22)를 형성하는 제2 막(17)은 제3 마스크(21)를 형성하는 경우에 제1 막(16)을 보호하며, 제3 마스크(21)를 형성하는 경우에 제1 막(16) 아래의 층을 보호하는 보호층의 기능을 한다. 이러한 이유로 제3 마스크(21)를 형성하는 경우에 제1 레지스트 막(41)을 마스크로 사용하여 에칭할 수 있으며, 또한 제1 막(16) 아래의 층들이 손상되는 것을 방지하면서 레지스트 막(41)의 재생 처리를 수행할 수 있다. 또한 제1 막(16)의 재료로 레지스트와 동일한 재료, 예를 들어 MSQ 등과 같은 전술한 재료를 사용할 수 있다.
또한 층간 절연막(12) 및 제1 마스크(24)를 구성하는 제1 막(16)이 제2 마스크(22)를 구성하는 제2 막(17)에 의해 덮이는 상태에서, 제1 마스크(24)를 형성하는 경우에 사용되는 레지스트 프로세스를 수행할 수 있다. 즉 제1 마스크(24)를 형성하는 경우에 사용되는 레지스트 프로세스에서는 이러한 프로세스에 사용되는 레지스트 막(43)의 재생 처리를 수행할 수 있다.
또한 제1 마스크(24), 제2 마스크(22), 및 제3 마스크(21)를 광 투과성을 가지는 재료로 형성함으로써, 노광 시에 기판에 대한 마스크의 위치를 정렬할 수 있는데, 즉 통상의 광을 사용하는 얼라인먼트 및 화상 처리(image processing)를 사용하는 얼라인먼트를 사용함으로써 마스크 얼라인먼트(mask alignment)를 수행할 수 있다.
또한 Si-O 결합, Si-H 결합 및 Si-CHx (x = 1, 2, 3) 결합을 가지는 실리카계의 막으로 예를 들어 MSQ 및 HMSQ 등으로 제1 마스크(24)를 형성하거나, 또는 Si-O 결합 및 Si-H 결합을 가지는 실리카계의 막으로 예를 들어 HSQ 등으로 제1 마스크(24)를 형성함으로써, 최종까지 남아 있는 제1 마스크(24)를 통상의 실리콘 산화막에 비해 낮은 유전율(예를 들어 약 2.8)을 가지는 막으로 형성할 수 있다. 따라서 종래 기술에 비해 배선층 사이의 용량이 감소된다.
또한 배선층 사이의 층간 절연막의 기능을 하는 제1 저 유전율 막(13)과 배선 사이의 층간 절연막의 기능을 하는 제2 저 유전율 막(15)의 사이에 형성하는 중간층(14)을 Si-O 결합, Si-H 결합, 및 Si-CHx (x = 1, 2, 3) 결합을 가지는 실리카 계의 막, 또는 Si-O 결합 및 Si-H 결합을 가지는 실리카계의 막으로 형성함으로써, 통상의 실리콘 산화막에 비해 낮은 유전율(예를 들어 2.8 정도)을 가지는 막으로 중간층(14)을 형성할 수 있다. 따라서 종래 기술에 비해 배선층 사이의 용량 및 배선 사이의 용량이 감소된다.
본 발명에 따른 반도체 장치의 제조 방법에 따라, 제2 마스크를 형성하는 제2 막은 제3 마스크를 형성하는 동안 제1 마스크 아래의 층을 보호하기 위한 보호막의 기능을 수행하여, 제3 마스크를 형성하는 경우에 레지스트 마스크를 사용하여 에칭할 수 있도록 하고, 또한 제1 마스크 아래의 층이 손상되는 것을 방지하면서 레지스트 마스크의 재생 처리가 가능하도록 한다. 또한 제1 마스크는 제1 마스크의 재료로 레지스트 마스크와 동일한 재료 즉 탄소를 함유하는 저 유전율 물질(MSQ 등)을 사용할 수 있도록 한다. 본 발명의 공정에 의해 생성되는 반도체 장치는 0.25-㎛ 설계 규칙 또는 이후의 설계 규칙 이하의 다층 배선을 포함하는 장치 프로세스에서 사용되는 것이 바람직하다.

Claims (7)

  1. 유기막을 포함하는 층간 절연막을 가지는 반도체 장치를 제조하는 방법에 있어서,
    아래에서부터 차례로 제1 마스크, 제2 마스크, 제3 마스크―여기서 이들 마스크들 각각은 서로 다른 재료로 구성됨―로 이루어지는 3층의 마스크를 층간 절연막 상에 형성하는 단계를 포함하며,
    상기 제2 마스크를 형성하는 제2 막은 상기 제3 마스크를 형성하는 동안에 상기 제1 마스크를 형성하는 제1 막을 보호하는 재질의 막으로 형성되는
    반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 층간 절연막에 배선홈을 형성하기 위한 배선홈 패턴을, 상기 제3 마스크에 형성하는 단계; 및
    접속구멍 패턴의 적어도 일부가 상기 배선홈 패턴 상에서 중첩되도록 상기 층간 절연막에 접속구멍을 형성하기 위한 접속구멍 패턴을, 상기 제1 마스크 및 제2 마스크에 형성하는 단계
    를 추가로 포함하는 반도체 장치의 제조 방법.
  3. 제2항에 있어서,
    상기 제1 마스크를 형성하기 위한 상기 제1 막을 상기 층간 절연막 상에 형성하고, 상기 제2 마스크를 형성하기 위한 상기 제2 막을 상기 제1 막 상에 증착한 후에, 상기 제3 마스크를 형성하기 위한 제3 막을 상기 제2 막 상에 증착하는 단계;
    상기 제3 막에 배선홈을 형성하기 위한 배선홈 패턴을 형성하여, 그 결과 상기 제3 마스크를 형성하는 단계; 및
    상기 접속구멍 패턴의 적어도 일부가 상기 배선홈 패턴 상에서 중첩되도록 접속구멍을 형성하기 위한 접속구멍 패턴을, 상기 제2 막 및 상기 제1 막 모두에 형성하여, 상기 제1 마스크를 형성하는 단계
    를 추가로 포함하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 제1 마스크, 제2 마스크, 및 제3 마스크가 광 투과성을 가지는 재료로 형성되는 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 제1 마스크가 Si-O 결합, Si-H 결합, 및 Si-CHx (x = 1, 2, 3) 결합을 가지는 실리카계 또는 Si-O 결합 및 Si-H 결합을 가지는 실리카계의 막 중에서 하나로 형성되는 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 층간 절연막을 배선층 사이의 층간 절연막과 배선 사이의 층간 절연막으로 형성하는 경우, 상기 배선층 사이에 층간 절연막을 형성한 후에 그리고 상기 배선 사이에 층간 절연막을 형성하기 전에, 상기 층간 절연막 재료와 서로 다른 재질의 중간층을 형성하는 단계를 추가로 포함하는 반도체 장치의 제조 방법.
  7. 제6항에 있어서,
    상기 배선층 사이의 층간 절연막을 유기 절연 재료로 형성하고,
    상기 배선 사이의 층간 절연막을 유기 절연 재료로 형성하고,
    상기 중간층을 Si-O 결합, Si-H 결합, 및 Si-CHx (x = 1, 2, 3) 결합을 가지는 실리카계의 막, 또는 Si-O 결합, 및 Si-H 결합을 가지는 실리카계의 막 중에서 하나로 형성하는
    반도체 장치의 제조 방법.
KR1020000052985A 1999-09-08 2000-09-07 반도체 장치의 제조 방법 KR100670966B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP25376999A JP2001077196A (ja) 1999-09-08 1999-09-08 半導体装置の製造方法
JP99-253769 1999-09-08

Publications (2)

Publication Number Publication Date
KR20010030302A KR20010030302A (ko) 2001-04-16
KR100670966B1 true KR100670966B1 (ko) 2007-01-17

Family

ID=17255897

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000052985A KR100670966B1 (ko) 1999-09-08 2000-09-07 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (1) US6383907B1 (ko)
JP (1) JP2001077196A (ko)
KR (1) KR100670966B1 (ko)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4425432B2 (ja) * 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
EP1314193A2 (en) * 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
JP4850332B2 (ja) 2000-10-18 2012-01-11 東京エレクトロン株式会社 デュアルダマシン構造のエッチング方法
US6653240B2 (en) * 2001-01-12 2003-11-25 International Business Machines Corporation FIB/RIE method for in-line circuit modification of microelectronic chips containing organic dielectric
JP2002289594A (ja) * 2001-03-28 2002-10-04 Nec Corp 半導体装置およびその製造方法
JP2002324837A (ja) * 2001-04-25 2002-11-08 Hitachi Ltd 半導体装置の製造方法
US6489238B1 (en) * 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
CN100375265C (zh) 2002-04-02 2008-03-12 陶氏环球技术公司 用于图形化双波纹互连的三层掩膜结构
JP2004095865A (ja) * 2002-08-30 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
JP4050631B2 (ja) * 2003-02-21 2008-02-20 株式会社ルネサステクノロジ 電子デバイスの製造方法
JP3757213B2 (ja) 2003-03-18 2006-03-22 富士通株式会社 半導体装置の製造方法
US6784107B1 (en) * 2003-03-18 2004-08-31 Hui Chen Method for planarizing a copper interconnect structure
WO2004097923A1 (ja) * 2003-04-30 2004-11-11 Fujitsu Limited 半導体装置の製造方法
JP4223348B2 (ja) * 2003-07-31 2009-02-12 Tdk株式会社 磁気記録媒体の製造方法及び製造装置
TWI285938B (en) * 2003-08-28 2007-08-21 Fujitsu Ltd Semiconductor device
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
KR100529676B1 (ko) * 2003-12-31 2005-11-17 동부아남반도체 주식회사 듀얼 다마신 패턴을 형성하는 방법
JP2005203672A (ja) 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
JP2006012332A (ja) * 2004-06-28 2006-01-12 Tdk Corp ドライエッチング方法、磁気記録媒体の製造方法及び磁気記録媒体
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7297577B2 (en) * 2004-12-30 2007-11-20 Sony Corporation SOI SRAM device structure with increased W and full depletion
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7322138B2 (en) * 2005-08-31 2008-01-29 Southern Imperial, Inc. Shelf edge sign holder
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
KR100939593B1 (ko) * 2006-11-21 2010-02-01 어플라이드 머티어리얼스, 인코포레이티드 습식 에칭 언더컷팅들을 최소화하고 k가 2.5 미만인 최저k 유전체들의 공극 밀봉을 제공하는 방법
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100885895B1 (ko) * 2007-07-02 2009-02-26 삼성전자주식회사 반도체 장치의 제조 방법
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP5407340B2 (ja) 2009-01-07 2014-02-05 富士通セミコンダクター株式会社 配線の形成方法
KR101543330B1 (ko) * 2009-08-05 2015-08-11 삼성전자주식회사 반도체 소자의 제조 방법
WO2021132005A1 (ja) * 2019-12-25 2021-07-01 パナソニックIpマネジメント株式会社 情報処理方法、情報処理システム、及び、制御装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5567550A (en) * 1993-03-25 1996-10-22 Texas Instruments Incorporated Method of making a mask for making integrated circuits
KR100232197B1 (ko) * 1996-12-26 1999-12-01 김영환 반도체 소자의 제조 방법
US5976977A (en) * 1997-11-21 1999-11-02 United Microelectronics Corp. Process for DRAM capacitor formation
US6261881B1 (en) * 1998-08-21 2001-07-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device provided with semiconductor circuit consisting of semiconductor element and method of manufacturing the same

Also Published As

Publication number Publication date
US6383907B1 (en) 2002-05-07
KR20010030302A (ko) 2001-04-16
JP2001077196A (ja) 2001-03-23

Similar Documents

Publication Publication Date Title
KR100670966B1 (ko) 반도체 장치의 제조 방법
JP5382990B2 (ja) 相互接続構造体を形成する方法
US6348407B1 (en) Method to improve adhesion of organic dielectrics in dual damascene interconnects
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7179757B2 (en) Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
JP3436221B2 (ja) 半導体装置の製造方法
US7811924B2 (en) Air gap formation and integration using a patterning cap
TW544845B (en) Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US7705431B1 (en) Method of improving adhesion between two dielectric films
US8334203B2 (en) Interconnect structure and method of fabricating
US9196523B2 (en) Self-aligned permanent on-chip interconnect structures
EP0975017A2 (en) Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication
JP2008502142A (ja) 相互接続構造の製造方法
US20020117754A1 (en) Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20040137709A1 (en) Metal barrier cap fabrication by polymer lift-off
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US7192880B2 (en) Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
JP2002222860A (ja) 半導体装置の作成方法
KR100645422B1 (ko) 반도체 소자의 제조 방법
KR100853789B1 (ko) 반도체 소자 및 그 제조 방법
JP4085648B2 (ja) 半導体装置の製造方法
JP4311947B2 (ja) 半導体装置
KR20070019748A (ko) 상호접속 구조물의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130110

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140103

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee