CN1650044A - 二氧化硅纳米层压材料的汽相沉积 - Google Patents

二氧化硅纳米层压材料的汽相沉积 Download PDF

Info

Publication number
CN1650044A
CN1650044A CNA038095394A CN03809539A CN1650044A CN 1650044 A CN1650044 A CN 1650044A CN A038095394 A CNA038095394 A CN A038095394A CN 03809539 A CN03809539 A CN 03809539A CN 1650044 A CN1650044 A CN 1650044A
Authority
CN
China
Prior art keywords
metal
substrate
vapor
layer
silanol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038095394A
Other languages
English (en)
Other versions
CN100360710C (zh
Inventor
R·G·戈登
D·豪斯曼
J·贝克尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Harvard College
Original Assignee
Harvard College
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Harvard College filed Critical Harvard College
Publication of CN1650044A publication Critical patent/CN1650044A/zh
Application granted granted Critical
Publication of CN100360710C publication Critical patent/CN100360710C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及在固体基材上薄膜沉积用材料与方法。通过含铝化合物与硅烷醇反应,在加热基材上沉积二氧化硅/氧化铝纳米层压材料。纳米层压材料在长宽比大于40∶1的空穴内具有非常均匀的厚度和优良的阶梯覆盖。该膜透明且是良好的电绝缘子。本发明还涉及尤其通过生产半多孔介电材料用的材料与方法,生产在微电子器件中,在导电体的绝缘中使用的改进多孔介电材料用的材料与方法,其中表面孔隙度显著下降或消除,同时保持内部孔隙度,以维持整个介电材料所需的低k值。还使用本发明,用低k介电材料选择填充窄槽,同时避免任何电介质在沟槽外部的表面上沉积。

Description

二氧化硅纳米层压材料的汽相沉积
联邦政府资助的研究声明
在美国政府的支持下,以国家科学基金拨款No.ECS-9975504完成本发明。美国在本发明中可具有一定的权利。
发明背景
1.发明领域
本发明涉及在固体基材上的薄膜沉积、特别是选择性薄膜沉积用的材料和方法。
本发明还涉及在微电子和光学领域中制造器件用的保形(conformal)薄膜的制造方法和材料。
本发明还涉及生产改进的多孔介电材料用的材料和方法,所述多孔介电材料在微电子器件中,在导电体的绝缘中使用。
2.相关领域的说明
原子层沉积(也称为原子层取向生长)是由两种蒸汽前体沉积固体物质薄层的方法。薄膜将沉积在其上的基材表面暴露于一种前体的蒸汽剂量下,以沉积单层,然后真空抽走任何过量未反应的该前体的蒸汽。接着,将第二种前体的蒸汽剂量引到表面上并使之与第一前体的单层反应。可重复这种步骤周期,形成较厚的膜。该方法一个特别重要的方面是原子层沉积反应是自限式反应,因为在每一周期内可形成仅仅某一最大厚度,之后即使可获得过量的反应物,在该周期内也没有发生进一步的沉积。由于这种自限式特征,这些沉积反应产生具有高度均匀厚度的涂层。原子层沉积膜厚度的均匀度不仅在平面基材表面上延伸,而且在窄的空穴(hole)和沟槽(trench)内延伸。原子层沉积制造保形膜的这种能力称为“良好的阶梯覆盖(step coverage)”。
已有的原子层沉积方法的一个缺点是它的沉积速度慢,每一周期典型地小于0.1纳米(nm)。通常被接受的关于原子层沉积的理论认为,每一周期的沉积速度不可能大于约0.2nm。这些缓慢的速度意味着非常低的产率,低产率意味着原子层沉积对于大多数潜在的应用来说太昂贵。以前已知的硅原子层沉积反应特别慢,要求大于1分钟来完成一个反应周期。参见S.M.George等,Appl.Surf.Sci.,82/83,460(1994);W.Gasser,Y.Uchida,M.Matsumura,Thin SolidFilms,250,213(1994);S.Morishita,W.Gasser,K.Usami,M.Matusmura,J.Non-Crystalline Solids,187,66(1995);K.Yamaguchi,S.Imai,N.Ishitobi,M.Takemoto,H.Miki,M.Matsumura,Appl.Surf.Sci.,130-132,202(1998);J.W.Klaus,O.Sneh,A.W.Ott和S.M.George,Surface Review and Letters,6,435(1999);J.D.Ferguson,A.W.Weimer和S.M.George,AppliedSurface Science,162-163,280(2000);J.D.Ferguson,A.W.Weimer和S.M.George,Chem.Mater.,12,3472(2000);J.W.Klaus,S.M.George,Surf.Sci.,447,81(2000)。
已有原子层沉积方法的另一缺点是沉积膜不加选择地在所有暴露表面上形成。尽管基材掩模可避免在一些区域内沉积,但并不总是方便或可能地将掩模并入制造工艺中。在许多器件制造工艺中,选择沉积均匀厚度膜的能力将是高度吸引人的。
过去四十年来的大部分时间中,硅仍然是在微电子中介电材料的选择。然而,随着微电子器件的尺寸逐渐变小,和集成电路的尺寸下降至更小的(deeper)亚微米的尺寸,结果由于寄生电容和电阻导致信号传播延迟、导体之间的电串音和功率消耗大大地增加。当晶体管收缩和互连布线总量增加时,在该布线内的延迟大大地影响电路性能。当布线更紧密地靠在一起和操作频率攀升时,相邻线之间的串音可劣化信号的完整性。在布线或有源器件区域之间的较好绝缘子,即具有较低k值的绝缘子会降低该噪音。因此,对低k的金属间介电材料,而不是常规的二氧化硅存在强烈的需求。认为进一步降低k值(到低于约2.6)对满足未来的微电子器件的器件性能和功率耗散条件是必须的。
达到这一低k值通常包括通过降低材料密度或者引入孔隙度,来降低化学键的密度。目前已有各种方法制造孔径典型地为约1-约10nm范围内的多孔超低k材料。多孔低k材料的实例包括氢化硅倍半氧烷、气凝胶、干凝胶、SiCxOHy、SiLK(Dow Chemical)、CORAL(Novellus)、Black Diamond(Applied Materials)和CVD-沉积的甲基硅烷等。这些材料的密度数量级为1.2g/cc或更低。
这些低k多孔介电材料当被汇集到微电子器件内时,它们的孔隙本性提出了特殊的结构挑战。例如,孔隙之间的互连开通了超低k材料的扩散路径。来自阻挡金属层和传导互连二者中的金属原子可扩散到多孔绝缘材料层内。金属原子这样扩散到多孔介电层内可导致在其它传导互连之间过量的漏泄电流和导致绝缘层的绝缘特征的击穿。标准沉积技术如在低k或超低k材料上沉积导电扩散阻挡层的原子层沉积,可导致传导材料沉积到孔隙内和可甚至通过低k绝缘子引入电路短路。参见,例如,W.Besling等,Atomic LayerDeposition of Barriers for Interconnect,International InterconnectTechnology Conference 2002。另外,典型地来自于使用多孔介电材料的另一问题是,由于绝缘材料的多孔本性导致在这种材料内形成的开口具有相对粗糙的侧壁。在用合适的传导金属填充开口方面,这会产生问题,和在介电与传导金属之间的侧壁内可产生非所需的空隙、间隙或接缝。
因此,人们需要的是保持多孔绝缘材料所需低k特征同时降低与多孔结构有关的一些或全部所述问题的材料与方法。
在微电子器件中,低k介电材料需要的另一场所是一个电活性区域与相邻的电活性区域相隔离的沟槽。在目前的技术中,通过高密度等离子体诱导的化学汽相沉积,在这些沟槽内沉积二氧化硅。参见,例如美国专利No.6335288,该专利示出了这种沉积方法限于长宽比(深度/宽度)小于6∶1的沟槽。若存在用电介质,和甚至更有利地用介电常数比二氧化硅低的电介质填充较窄的沟槽(较高的长宽比)的方法的话,则可在小面积的硅上制造器件。
目前填充隔离沟槽所使用的方法的另一难题是电介质也沉积在沟槽之间的平面表面上。然后必须通过称为化学机械抛光(CMP)的方法除去在顶部上的这种材料。CMP易于除去就在沟槽上方区域内的多数材料,结果最终抛光的表面是非平面的(“下陷”)。通过使用额外的照相平板印刷步骤可避免在CMP过程中的下陷。或者,可使用额外的抛光终止层、牺牲介电层和蚀刻步骤来避免下陷。参见,美国专利6342432。能填充沟槽同时避免介电材料在沟槽以外的表面上不想要的沉积将是高度有利的。
沉积在隔离沟槽内的物质的另一功能是防止硼和氧通过电介质非所需的扩散。由于这些元素容易通过二氧化硅扩散,因此在沟槽内常要求氮化硅层作为扩散阻挡层。参见美国专利6339004和美国申请2002/0004281关于使用额外的氮化硅层防止扩散的说明。其中低k电介质本身是足够的阻挡层阻挡硼、氧或其它非所需元素扩散的方法将是有利的。
发明概述
本发明一方面包括在固体基材上沉积涂层,和在一个或多个实施方案中,沉积保形涂层,尤其是沉积主要由二氧化硅制造的那些涂层的快速方法。这些涂层包括硅、氧和相对小量的第二种金属或准金属,和任选地可含有碳和氢,和相对小量的其它元素(例如掺杂剂)。此处所使用的本发明涂层称为“二氧化硅”或“二氧化硅纳米层压材料”。
本发明另一方面的方法和材料是获得具有极其均匀厚度和/或极其光滑表面的二氧化硅层。在一些实施方案中,可以以在基材上形成膜的方式进行反应,所述基材可包括空穴或沟槽。也可在粉末、布线上或在复杂的机械结构周围以及内部放置涂层。在本发明一个或多个实施方案中,二氧化硅膜的沉积在基材与所沉积的二氧化硅之间产生清晰的界面。本发明的另一特征是制备基本上不含针孔或其它机械缺陷的二氧化硅涂层。
一方面,本发明通过包括一个或多个沉积周期的按序交替层的沉积方法来提供生产薄膜的方法,其中至少一个周期包括将基材暴露于第一种反应性化合物的蒸汽下,所述第一种反应性化合物包括要形成的薄膜的至少一种元素;和至少一部分第一种反应物吸附在基材表面上。第一种反应性化合物包括具有路易斯酸特征的金属或准金属。该周期还包括之后将加热的基材暴露于含硅烷醇的反应物的蒸汽下,所述含硅烷醇的反应物的蒸汽向要形成的薄膜提供至少硅和氧,其中吸附在基材表面上的第一种反应性化合物与含硅烷醇的反应物反应,在第一种反应性化合物吸附于其上的那些位置处形成涂布基材表面的物质。在又一实施方案中,该方法进一步包括将基材暴露于包括磷酸酯化合物的第三种反应物的蒸汽下。按序的交替层的沉积方法在至少一个沉积周期内沉积厚度大于2nm的二氧化硅层。
此处所使用的“硅烷醇”是指硅原子键合到一个或多个羟基(OH)上的一类化合物;硅烷醇包括烷氧基硅烷醇、烷氧基烷基硅烷醇和烷氧基硅烷二醇。
根据本发明的一个或多个实施方案,第一种反应性化合物是具有路易斯酸特征的金属或准金属化合物。金属或准金属化合物可包括氨基金属(metal amide)、烷基金属(metal alkyl)和烷氧化金属(metal alkoxide)或金属卤化物,和金属或准金属可包括铝、硼、镁、钪、镧、钇、锆或铪。
在一个或多个实施方案中,路易斯酸金属或准金属化合物包括铝化合物,在硅烷醇存在下它容易与略微酸性的质子反应。在硅烷醇内这些酸性质子是直接连接到氧上的那些。通常与这些酸性质子反应的铝化合物包括大多数烷基铝和其它有机铝化合物、烷基氨基铝、烷氧化铝和卤化铝。铝化合物可含有铝-氮键。任选地,在一个或多个实施方案中,该方法包括将基材进一步暴露于磷酸酯化合物下,所述磷酸酯化合物包括(烷基)磷酸酯化合物,以形成三元或掺杂化合物。
根据本发明的一个或多个实施方案,在宽范围的条件,如反应物浓度和基材在反应器内的位置下,实现高度均匀二氧化硅膜的汽相沉积。本发明包括在相对低的温度下和在没有等离子损坏基材的情况下涂布基材的能力。硅源在空气和水中稳定且相对没有危险。
在本发明的一个或多个实施方案中,由交替层的沉积方法生产二氧化硅/氧化铝纳米层压材料,该方法包括在多个周期内,一种或多种铝化合物蒸汽和硅烷醇化合物的蒸汽在加热基材表面上按序反应。每一周期产生与基材表面保形的均匀厚度的二氧化硅/氧化铝材料的涂层。在一个或多个实施方案中,每一反应周期产生厚度大于20埃的材料涂层。
在本发明的一个或多个实施方案中,提供在基材外形(feature)上,如在窄的空穴、沟槽或其它结构上的二氧化硅保形涂层。这一能力常称为“良好的阶梯覆盖”。在一个或多个实施方案中,基材包括盘旋的几何形状,如深的空穴或窄的沟槽;和该方法沿表面外形的侧壁和基体产生均匀的保形膜。
在本发明的一个或多个实施方案中,在基材的一部分外形或区域内选择沉积涂层。涂层材料的选择沉积被用于优先填充基材表面内的空穴或沟槽,或用于沉积低介电常数(低k)材料,以提供显著下降或没有表面孔隙度同时保持内部孔隙度以维持整个介电材料所需低k值的半多孔介电材料。
在一个或多个实施方案中,用交替层沉积方法,在基材上选择沉积二氧化硅层。将基材暴露于一种或多种铝化合物的蒸汽下,选择沉积含铝层。可通过掩模,然后在掩模上沿着铝选择蚀刻掩模。在一个或多个实施方案中,该方法进一步包括步骤,在沉积硅烷醇化合物步骤之前,除去已沉积在基材外表面上与空穴或沟槽相邻的含铝材料,以便含铝材料保持吸附在空穴或沟槽的内表面上。然后将基材暴露于硅烷醇化合物的蒸汽下,硅烷醇化合物与含铝化合物反应,仅在已沉积含铝化合物的那些区域内选择沉积二氧化硅/氧化铝层。
在另一实施方案中,将具有金属铝区域的基材(在其表面上具有通常的天然氧化铝)暴露于硅烷醇蒸汽下,从而在铝的表面上沉积二氧化硅,但在相邻的非催化表面上不沉积二氧化硅。
在本发明另一方面中,提供集成电路,它包括具有多个相邻有源器件区域的半导体基材,嵌入该半导体基材内的相邻器件区域之间的沟槽;和保形地填充沟槽且没有空隙的二氧化硅纳米层压材料,该二氧化硅纳米层压材料包括厚度范围为约2-15nm的二氧化硅和厚度为约0.1nm或更高的二氧化硅的交替层。
在本发明另一方面中,提供密封孔隙的方法,所述孔隙存在于基材外表面上,所述基材具有由在基材上的表面开口、侧壁和内部空间确定的至少一个孔隙。该方法包括以下步骤:在基材的外表面上沉积第一催化材料,将该基材和催化材料暴露于第二种反应性化合物的蒸汽下,其中第一种催化材料和第二种反应性化合物反应,形成覆盖孔隙的表面开口的薄膜,从而留下至少一部分孔隙内部作为空隙空间。
典型地,孔隙的直径小于约5-10nm;然而,根据本发明的一个或多个实施方案,可在单个沉积周期内密封直径最多约30nm的孔隙。
在一个或多个实施方案中,该方法进一步包括以下步骤:在沉积第二种反应性材料的步骤之前,除去已沉积在基材外表面上的催化材料,以便催化材料保留在孔隙开口的至少一个侧壁的至少一部分上。在一个或多个实施方案中,通过反应性离子蚀刻选择除去沉积的催化材料。
在一个或多个实施方案中,第一催化材料是铝化合物,其中包括,但不限于,烷基氨基铝、烷基铝、烷氧化铝或卤化铝,第二种反应性化合物是硅烷醇,和沉积的膜包括二氧化硅。在一个或多个实施方案中,膜的介电常数等于或低于纯二氧化硅。
在一个或多个实施方案中,在沉积条件下或使用具有差的阶梯覆盖的沉积技术,沉积第一催化材料,以便优先用第一催化材料涂布与外表面相邻的孔隙区域。使用沉积方法,其中包括,但不限于溅射、蒸发和化学汽相沉积,以沉积第一催化材料。
在本发明另一方面中,提供集成电路器件,它包括第一多孔介电层,所述第一多孔介电层具有包括由至少一个侧壁和内部空间确定的至少一个开口的外表面。该器件还包括与第一介电层密封接触的第二电介质,以便留下第一介电材料的内部空间的至少一部分作为空隙。最后,器件包括沉积在第二介电层和第一介电层的暴露外表面上的导电互连结构。
本发明另一方面包括集成电路,所述集成电路具有带多个相邻的有源器件区域的半导体基材,嵌入该半导体基材内的相邻器件区域之间的沟槽,和保形地填充开口且没有空隙区域的二氧化硅纳米层压材料,该二氧化硅纳米层压材料包括厚度范围为约2-20nm的二氧化硅和厚度为约0.1nm或更高的二氧化硅的交替层。
本发明的实践有助于许多器件的生产,如填充在微电子内的晶体管之间的沟槽隔离,使半导体存储器内的深沟槽电容器的垫圈绝缘,在微电子器件内的金属布线之间形成绝缘,密封用于电子器件的低k半多孔绝缘子,制造平面波导管、复用器/分用器、微电子机械结构(MEMS)、多层光学滤光器和防扩散、氧化或腐蚀的保护层。
附图的简要说明
在参考本发明的下述详细说明的情况下,当结合考虑下述附图时,可更充分地理解本发明的前述和各种其它方面、特征和优点以及本发明本身。列出附图,为的是仅仅阐述和不打算限制本发明,其中:
图1是在本发明至少一个实施方案的实践中使用的交替层沉积装置的截面示图;和
图2是在本发明至少一个实施方案的实践中使用的交替层沉积装置的截面示图。
图3A-3E是具有空穴或沟槽的硅片的截面示图,其中在空穴或沟槽内沉积二氧化硅层,同时在与空穴或沟槽相邻的平面表面上没有沉积。
图4A-4C是(A)具有孔隙的介电材料的截面示图,其中(B)薄的催化层已沉积在一部分的介电材料外表面和孔隙侧壁上,和(C)具有相对低介电常数的材料随后沉积在所述孔上,以便它与薄的催化层反应,在孔隙的开口和介电材料的相邻外表面上形成密封。
图5A-5B是(A)具有孔隙的介电材料的截面示图,其中薄的催化层已沉积在所述孔上,且已除去了沉积在与孔隙开口相邻的介电材料外表面上的催化材料,以便催化材料主要保留在孔隙侧壁的上面部分,和(B)具有相对低介电常数的材料随后沉积在所述孔上,以便它与薄的催化层反应,在孔隙的开口上形成密封。
图6是在硅片上的空穴的截面扫描电子显微照片,其中使用本发明的一个实施方案,所述硅片均匀地涂布了二氧化硅/氧化铝层压材料。
图7A-7G示出了认为在本发明的至少一个实施方案过程中起作用的代表性化学反应。
图8是阐述每一周期沉积的层厚取决于沉积过程中基材温度的图示。
发明详述
1.二氧化硅纳米层压材料
本发明提供制备包括硅、氧和第二种金属或准金属的二氧化硅纳米层压材料的方法。该方法包括一种或多种金属或准金属化合物的蒸汽与硅烷醇的蒸汽在加热基材表面上的反应。若一起引入混合蒸汽到加热表面上,则化学汽相沉积方法形成含硅、氧和第二种金属或准金属的均匀层。
可使用原子层沉积工艺的适配(adaptation)(下文称为交替层沉积工艺),形成二氧化硅纳米层压材料。本发明的方法称为“交替层沉积”,这是因为在每一周期内形成比单个“原子”层厚得多的层。在交替层沉积工艺中,蒸汽与表面反应,一直到已化学吸附一层单层或更少。然后终止反应,以便该工艺为“自限式”。然后,在第一层上沉积可以是多个原子厚度的第二层材料层的第二种自限式反应中,第二种蒸汽与该表面反应。可在单个沉积周期内沉积大于2nm,或大于5nm,或大于10hm,或大于12nm,或大于15nm的层。第二种反应也使表面变回到准备与第一种反应物反应的状态。然后可重复反应周期,逐层叠加二元化合物。当在第一种和第二种反应物的沉积之间交替进行反应时,形成包括含有第一种反应物(和还任选地第二种反应物)的元素的交替层和主要含第二种反应物的元素的层的层压结构。第二层也比第一层厚许多倍。由于第一种材料基本上以单层形式布置,这有助于厚得多的第二层(含最多40层单层)的沉积,第一种材料称为“催化”材料或层。
交替层沉积除了提供在原子水平下控制化学计量以外,还提供许多不同寻常的能力。可通过计数反应周期数目来数字化设定膜厚,和膜厚基本上与因反应区内蒸汽的不均匀分布引起的变化无关。可容易地在大面积上和在盘旋的几何形状内如在非常窄的空穴内沉积均匀厚度的层。
根据本发明的一个或多个实施方案,将基材交替暴露于一种或多种反应性催化化合物的蒸汽下,然后暴露于烷氧基硅烷醇、烷氧基烷基硅烷醇或烷氧基硅烷二醇的蒸汽下。反应性化合物可包括具有路易斯酸特征的金属,其中包括,但不限于,含铝、硼、镁、钪、镧、钇、锆和铪的化合物。在一个或多个实施方案中,含铝化合物充当反应性化合物。本发明令人惊奇地发现,当基材经历这一工艺时,快速外形成不同寻常厚的二氧化硅膜。在一个或多个实施方案中,在单个交替层沉积周期内沉积厚度范围为约5-15nm的二氧化硅膜。交替层沉积反应可在一个周期内沉积多层单层。周期的持续时间可以小于30秒,这导致沉积速度比以前已知的二氧化硅原子层沉积反应的速度大100倍。也已发现,使用双(二烷基)磷酸酯确实提供膜磷含量,从而使得它们可用作材料如硅掺杂磷的来源。纳米层压材料在长宽比大于40∶1的表面外形上具有非常均匀的厚度和优良的阶梯覆盖。参见图6。
这些膜的组成是化学计量的二氧化硅以及低含量,典型地小于1原子%,或约0.6原子%的铝。碳典型地以非常低的含量(小于0.3原子%)存在。通过x-射线和电子衍射发现膜为无定形。膜的密度高,例如比大块煅制(无定形)二氧化硅的数值大90%。这些保形膜的结构可描述为纳米层压材料,在所述纳米层压材料内非常薄的氧化铝层间置在较厚的二氧化硅层之间。二氧化硅的许多光学、电和机械性能,例如折射指数、介电常数和漏泄电流因小量氧化铝的存在导致几乎不变。然而,二氧化硅的其它性能可受益于额外存在的氧化铝,例如抗原子扩散和击穿电压。若希望较高含量的氧化铝,则可在引入每一剂量的硅烷醇蒸汽之前,重复一个或多个周期的氧化铝沉积(首先含铝的化合物,接着水或醇)。较高含量的氧化铝会降低氢氟酸的蚀刻速度,从而提供与热二氧化硅的蚀刻速度更好的匹配。既具有热氧化层,又具有二氧化硅纳米层压层,且这两种材料具有几乎相等蚀刻速度的硅半导体结构,可在氢氟酸蚀刻之后提供较光滑的表面。较低百分数的氧化铝可增加热膨胀速度,以便可调节纳米层压材料的速度更接近于硅,进而降低在纳米层压材料/硅结构内的热诱导应力。
可使用标准装置和技术进行沉积反应。取决于工艺条件,以下更详细地讨论的交替层沉积工艺可提供高度保形膜(以提供在宽范围内的应用中所需的良好阶梯覆盖),或如本发明一些实施方案所需的,在多孔材料的外表面上和/或在靠近该表面的孔隙的内表面上,或选择地在空穴和沟槽内部提供选择沉积。
2.提供硅和氧的前体
在公布的国际申请WO02/27063中提供了用于本发明实践的合适的硅烷醇化合物,在此将其全文引入参考。
在至少一些实施方案中,此处所述的三(烷氧基)硅烷醇化合物具有通式1的结构,其中R1-R9表示氢、烷基、氟代烷基或用优选选择以维持该化合物挥发性的其它非金属原子或基团取代的烷基,其中R1-R9中任何一个彼此相同或不同。在一些实施方案中,基团R1-R9含有1-4个碳原子且相同或不同。
Figure A0380953900211
在至少一些实施方案中,选择以上给出的通式1中的R1-R9每一个为甲基,从而获得化合物三(叔丁氧基)硅烷醇2,其可更紧凑地写成(ButO)3SiOH。
本发明另一化合物是三(叔戊氧基)硅烷醇3,其可更紧凑地写成(AmtO)3SiOH。
Figure A0380953900222
在本发明的至少一些实施方案中,也可使用二(烷氧基)硅烷二醇如(ButO)2Si(OH)2,但在至少一些应用中它们不如三(烷氧基)硅烷醇化合物稳定。根据本发明,可使用具有通式4的二(烷氧基)硅烷二醇化合物,其中R1-R6任何一个表示氢、烷基、氟代烷基或用优选选择以维持该化合物挥发性和稳定性的其它非金属原子或基团取代的烷基,R1-R6可以相同或不同。
在其它实施方案中,尤其在制造介电常数低于二氧化硅的膜中,使用具有通式5的二(烷氧基)烷基硅烷醇,这是因为烷基R7可保留在沉积膜中。
在至少一些实施方案中,通式1中的基团R1-R9,通式4中的R1-R6或通式5中的R1-R7可选自氢、甲基、乙基、正丙基或异丙基。
在前述化合物中,还应理解通式1中的R1-R9,通式4中的R1-R6或通式5中的R1-R7的烷基可以是具有一些不饱和度的烃,例如芳基、链烯基或炔基。
硅烷醇和硅烷二醇反应物可商购或可使用常规或已知技术来制备。一种硅前体,三(叔丁氧基)硅烷醇可商购于Aldrich ChemicalCompany(Milwaukee,WI)和Gelest,Inc.(Tullytown,PA)。可如下所述制备三(叔丁氧基)硅烷醇。首先,可通过下述两个反应之一制备三(叔丁氧基)氯代硅烷:
      (1)
    (2)
然后根据下述反应水解三(叔丁氧基)氯代硅烷:
    (3)
参见,Backer等,Rec.Tray.Chim.,61:500(1942)。这种水解化合物,三(叔丁氧基)硅烷醇,在室温下为固体,和在约66℃下熔化。它在室温下在约10-4Torr的低压下升华,和可在约20Torr的压力下,在约104℃的温度下蒸馏。它易溶于有机溶剂如1,3,5-三甲基苯或十四烷,以便也可通过闪蒸其溶液方便外形成它的蒸汽。
本领域的普通技术人员会理解,可通过类似的反应,通过用其它叔醇,如叔戊醇取代叔丁醇,制备其它三(叔烷氧基)硅烷醇。三(叔戊氧基)硅烷醇,(AmtO)3SiOH,在室温下为液体,结果可通过闪蒸纯液体方便外形成它的蒸汽。它在96℃下的蒸气压为约2Torr。它可商购于Aldrich Chemical Company(Milwaukee,WI)。
三(叔烷氧基)硅烷醇或双(叔烷氧基)硅烷二醇蒸汽可与一种或多种铝化合物的合适反应性蒸汽反应,沉积含硅、铝和氧的固体膜。在类属上,(烷氧基)硅烷醇和(烷氧基)硅烷二醇如三(叔烷氧基)硅烷或双(叔烷氧基)硅烷二醇被称为“硅烷醇”。
还包括在通用的“硅烷醇”类中的是其中烷基或取代烷基如部分氟化的烷基取代三(叔烷氧基)硅烷醇或双(叔烷氧基)硅烷二醇中的叔烷氧基的化合物。烷基直接键合到硅上的硅烷醇将烷基引入沉积膜内,从而使得它具有在一些应用中所需的性能,如低介电常数、低折射指数和低应力。例如,可由下述反应之一为起始制备双(叔丁氧基)烷基硅烷醇:
   (4)
              (5)
接着水解氯化物:
        (6)
参见,H.-J.Holdt等,Z.Chem.,23:252(1983)关于这些反应的说明。按照这一方式制备的双(叔丁氧基)甲基硅烷醇在87℃的温度下的蒸气压为32Torr。
3.含铝或其它路易斯酸的前体
在至少一些实施方案中,氨基铝可用于本发明的实践。表1给出了氨基铝的一些实例以及可商购来源和/或它们的合成参考文献的列表。
                表1:一些挥发性的氨基铝
    化合物   熔点   蒸气压     参考文献和/或商业来源
  ℃   ℃/Torr
 Al(N(SiMe3)2)3   188     Wannagat,J.Organomet.Chem.,33,1(1971)
 Al2(NEt2)6   液体     S.Barry&R.G.Gordon,2000
 Al2(NEtMe)6   液体   100/0.25     S.Barry&R.G.Gordon,2000
 Al(NiPr2)3   56-59     Brothers,Organometallics,13,2792(1994)
 Al2(NMe2)6   88-89   90/0.1     Ruff,JACS,83,2835(1961);Aldrich
 Al(N(Et)CH2CH2NMe2)(NMe2)2   液体   65-70/0.3     Barry,Gordon & Wagner,Mat.Res.Soc.Symp.Proc.,606,83-89(2000)
其中Me=甲基;Et=乙基;和iPr=异丙基。
在至少一些实施方案中,烷基铝可用于本发明的实践中。表2给出了一些实例以及商业来源或它们的合成参考文献。
             表2:一些挥发性有机铝化合物
    化合物     熔点     蒸气压   商业来源
    ℃     ℃/Torr
    AlMe3     15.4     20/8   Albemarle,Aldrich,Strem
    AlEt3     -50     129/50   Albemarle,Aldrich,Alfa,Strem
    Al(iBu)3     5     86/10   Albemarle,Aldrich,Alfa,Strem
其中Me=甲基;Et=乙基;和iBu=异丁基。
在至少一些实施方案中,烷氧基铝可用于本发明的实践中。表3给出了合适的化合物以及商业来源。
                表3:一些挥发性烷氧基铝
    化合物   熔点   蒸气压   商业来源
  ℃   ℃/Torr
 Al2Et2(O-sec-Bu)3   液体   190/0.1   Strem
 Al(OiPr)3   140   140.5/8   Aldrich,Alfa,Gelest,Strem
其中Me=甲基;iPr=异丙基;和see-Bu=仲丁基。
卤化铝,如氯化铝也可在本发明的实践中使用,但它们的潜在缺点是,它们倾向于留下一些卤化物杂质在膜内和引起基材或装置的腐蚀。
另外,可在本发明的实践中使用具有路易斯酸特征的其它金属。例如,含有路易斯酸金属,其中包括,但不限于镁、硼、钪、镧、钇、锆和铪的化合物在本发明的范围内。已使用交替层沉积方法,使用镧、锆和铪替代铝形成金属掺杂的二氧化硅。
4.额外的含氧前体和其它前体
在至少一些实施方案中,用水替代一些硅烷醇剂量,为的是沉积更多富铝的二氧化硅/氧化铝纳米层压材料。在CVD反应器中,水蒸汽倾向于非常快速地与蒸汽入口附近的金属前体蒸汽反应,在基材上产生粉末,而不是膜。在交替层沉积反应器中,典型地避免了这种过早反应,这是因为反应物被交替地引入到反应器中,结果防止入口附近的反应并将反应通常局限在基材表面上。然而,由于水倾向于强烈地吸附在表面上,可要求在反应物的脉冲之间交替层沉积反应器的冗长吹洗。当水作为额外的氧源使用时,建议加热水蒸汽的供应管线到至少约120℃的温度,以避免水蒸汽的物理吸附。
任选地,可使用醇如异丙醇和叔丁醇。醇与铝化合物的反应慢于水和可从交替层沉积反应器中快速地抽干挥发性更大的醇。醇如异丙醇和叔丁醇特别适合包括热稳定的铝化合物的反应。在一些情况下,升高基材温度,为的是分解醇并进而降低膜的碳含量。
在本发明另一方面中,磷酸酯化合物,如双(烷基)磷酸酯的蒸汽与反应性铝化合物,如烷基氨基铝、烷基铝、环戊二烯化铝或烷氧化铝的蒸汽反应,形成磷酸铝。可以以形成膜的方式进行反应。使用一些剂量的硅烷醇和其它双(二烷基)磷酸酯,并交替使用反应性铝化合物的剂量,得到含硅、氧、铝和磷的膜。例如,在暴露于硅烷醇和铝化合物之外,可将基材进一步暴露于具有下述通式的双(烷基)磷酸酯下:
其中R1-R6表示氢、烷基、氟代烷基或被其它非金属原子或基团取代的烷基,和R1-R6中的任何一个相同或不同。在一些实施方案中,基团R1-R6含有1-4个碳原子,和在其它实施方案中,基团R1、R3、R4和R6是甲基,以及基团R2和R5是氢。
5.反应物的汽化和产物的沉积
可通过常规方法,其中包括在起泡器内,在薄膜蒸发器内加热,或通过雾化到预热至约100-200℃的载体气体内,形成液体前体的蒸汽。可风力、超声或通过其它另一合适的方法进行雾化。可将固体前体溶解在有机溶剂,其中包括烃如癸烷、十二烷、十四烷、甲苯、二甲苯和1,3,5-三甲基苯内,和带有醚、酯、酮和氯化烃的有机溶剂内。液体前体的溶液通常具有比纯液体低的粘度,结果至少一些实施方案雾化和蒸发溶液,而不是蒸发液体。也可采用薄膜蒸发器或通过将液体直接注射到加热区段内,以蒸发液体或溶液。液体蒸发的商业设备由MKS Instruments(Andover,Massachusetts)、ATMI,Inc.(Danbury,Connecticut)、Novellus Systems,Inc.(SanJose,California)和COVA Technologies(Colorado Springs,CO)制造。超声雾化器由Sonotek Corporation(Milton,New York)和CetacTechnologies(Omaha,Nebraska)制造。
本发明的硅前体可与氨基铝,如表1的那些反应,形成二氧化硅/氧化铝纳米层压材料。例如,三(叔丁氧基)硅烷醇可与六(二甲基氨基)二铝(表1)反应,形成/氧化铝纳米层压材料(实施例7)。在一些实施方案中,本发明的硅前体可与有机铝化合物,如表2的那些反应,形成二氧化硅/氧化铝纳米层压材料。在其它实施方案中,本发明的硅前体可与烷氧基铝,如表3的那些反应,形成二氧化硅/氧化铝纳米层压材料。在再一实施方案中,本发明的硅前体也可与其它合适的反应性铝化合物,如卤化铝反应,形成二氧化硅/氧化铝纳米层压材料。
交替层沉积引入计量用量的第一种反应物到在其中具有基材供层沉积的沉积腔室内。在基材上沉积第一种反应物的薄层。在我们从腔室中除去过量的第一种反应物的预先选择的时间段之后,将计量用量的第二种反应物引入到沉积腔室内。可以以使基材表面饱和的用量提供第二反应。已确定要求高剂量的硅烷醇饱和含沉积金属或准金属化合物的基材表面。烷氧基硅烷醇或烷氧基硅烷二醇蒸汽的剂量(以mol/cm2为单位测量)是金属或准金属化合物(以mol/cm2为单位)剂量的至少10倍,和可最多大100倍或更多。第二种反应物沉积在第一种反应物的已沉积层上并与之相互作用。将第一种和第二种反应物的交替层引入到沉积室内并在基材上沉积,形成控制组成与厚度的层。反应物的交替可以在数秒到数分钟的数量级内,并选择反应物的交替,以提供充足的时间供刚刚引入的反应物在基材上沉积并从基材上的顶部空间中除去任何过量的蒸汽。已确定表面反应是自限式反应,以便沉积可预计组成的可重复层。本领域的普通技术人员会理解,使用大于两种反应物的沉积工艺在本发明的范围内。例如,可将第一种反应物暴露于氧化剂源下,将氨基金属、烷基金属、烷氧基金属或金属卤化物转化成金属氧化物或金属氢氧化物。氧化剂源可以是水或有机醇。
在本发明的一个实施方案中,在具有通常用于注射样品到气相色谱内的6通取样阀门的装置(Valco型号EP4C6WEPH,ValcoInstruments,Houston,TX)内进行该方法,可使用所述6通取样阀门传输液体或溶液的脉冲到合适的载体气体内。每次开启阀门,溶液流入管道,其中通过在管道外侧上的热油使在所述管道内汽化的溶液流动。载体气体将蒸汽从管道带出,进入交替层沉积反应器管道。
在另一实施方案中,通过交替层沉积,使用如图1所述的装置沉积层。根据至少一些实施方案,通过使用一对气动隔膜阀,50和70(由Parker-Hannifin,Richmond CA制造的Titan II型号),将已测量剂量的反应物蒸汽30引入到加热的沉积室110内。通过具有已测量体积V的腔室60连接阀门,并将该组件放置在烘箱80内,烘箱80保持在控制的温度T2下。在前体容器10内的反应物蒸汽30的压力等于在通过周围烘箱40测定的温度T1下固体或液体反应物20的平衡蒸气压Peq。选择温度T1足够高到使得前体压力Peq高于沉积室内的压力Pdep。选择温度T2高于T1,以便仅仅蒸汽和没有冷凝相存在于阀门50和70或腔室60内。在气体反应物的情况下,可通过压力调节器(未示出)设定它的压力,压力调节器将来自前体气体圆柱体10内的压力降低。
对于引入到沉积室110内的每一种反应性前体来说,提供类似的装置。因此,在通过周围加热炉41维持的温度T1下,前体容器11容纳具有蒸气压31的固体或液体反应物21。阀门51和71通过具有已测量体积V’的腔室61连接,和该组件安装在温度为T2’的烘箱81内。
载体气体(如氮气)以控制的速度流入到入口90和91内,为的是加速反应物流动进入沉积室和吹洗反应副产物以及未反应的反应物蒸汽。可将静态混合机放置在导引到反应器内部的导管100内,提供在载体气体内气体蒸汽更均匀的浓度,当它进入到由加热炉120加热并含有一个或多个基材130的沉积室110内时。反应副产物和未反应的反应物蒸汽在流入到真空泵150内之前,通过冷阱140除去它们。载体气体从出口160处流出。
在操作过程中,开启阀门70,以便腔室60内的压力降低到接近于沉积室110内的数值Pdep。然后闭合阀门70和开启阀门50,允许来自前体容器10的前体蒸汽进入腔室60内。然后闭合阀门50,以便腔室60的体积V含有压力为Peq的前体蒸汽。最后,开启阀门70,允许包含在腔室60内的大多数前体蒸汽进入到沉积室内。通过假设蒸汽遵守理想的气体定律,可估计由该周期传输的前体的摩尔数n:
n=(Peq-Pdep)(V/RT1)                   (7)其中R是气体常数。该表达式还假设在阀门70开启以释放前体蒸汽的短暂时间内来自管道90的载体气体没有经阀门70进入腔室60内。若在开启阀门70的时刻内确实发生载体气体与前体蒸汽的混合,则可传输较大剂量的前体蒸汽,一直到最大值:
n=(Peq)(V/RT1)                        (8)若在腔室60内的所有残留前体蒸汽被载体气体置换的话。对于具有相对高蒸气压的前体(Peq>>Pdep)来说,前体剂量的这两种估计值之间通常没有大的差别。
视需要,重复传输前体20的这一周期,一直到已将要求剂量的前体20传输到反应室内。典型地,在交替层沉积工艺中,选择这一周期传输的前体20的剂量(或重复数次这一周期得到较大的剂量)足够大到引起表面反应进行完全(也称为“饱和”)。在前体蒸汽60传输之后,载体气体继续流动典型地数秒,直到已从腔室内除去任何过量的蒸汽。
接着,可测量来自第二前体21的蒸汽31的剂量,并通过类似装置传输,所述类似装置具有与第一前体20的装置类似编号的组件。
图2图示了前体剂量可传输到沉积区内的另一方式。如上所述,反应物20的第一剂量从容器10经阀门50和70和腔室60传输。第二种前体202包含在容器201内。它的蒸汽经三通阀217流入混合区210内,然后流入加热区212内部的腔室221内的基材213上。当充足的剂量被传输时,将三通阀217转到它的另一位置上,以便氮气吹扫气体从质量流控制器90流入沉积室211内,将任何过量的反应物蒸汽清扫到冷阱240内,同时氮气流入真空泵250中并流出排出口260。通过三通阀217保持在传输位置的时间长度来控制剂量大小。载体气体连续从质量流控制器291中流出。
在等温沉积区211内,通常在暴露于前体蒸汽的所有表面,其中包括基材和腔室内壁上沉积材料。因此,以摩尔数除以基材和暴露腔室壁的总面积为单位报道所使用的前体剂量是合适的。在一些情况下,还在部分或所有基材背面发生沉积,在此情况下,该面积还应当包括在总面积内。
铝前体通常在环境空气下与氧或湿气反应,和应当储存在惰性、干燥的氛围如纯氮气下。硅前体通常稳定和对空气与水不反应。
6.空穴和沟槽的保形涂布或填充
也可在空穴和沟槽内沉积二氧化硅纳米层压层,在整个空穴或沟槽的深度内形成均匀的保形层。在一个或多个实施方案中,通过使用平板印刷术方便外形成空穴或沟槽,掩盖部分表面,然后(例如通过反应性离子束)各向异性蚀刻,从空穴或沟槽中没有受到平板印刷掩模保护的区域内除去材料。在加工基材之前,除去掩模层,暴露基材表面。在空穴或沟槽壁上以及在基材的平面表面上沉积氧化铝薄层。这种沉积可藉助原子层沉积反应,例如使用三甲基铝蒸汽,任选地接着水蒸汽。然后将氧化铝涂布的基材表面和其中包含的空穴与沟槽暴露于硅烷醇蒸汽如三(叔丁氧基)硅烷醇下,硅烷醇蒸汽与氧化铝层在催化作用下反应,形成二氧化硅/氧化铝纳米层压材料的保形层。可重复蒸汽的这一交替周期,沉积较厚的保形二氧化硅纳米层压层。足够数量的层将完全填充孔隙,条件是它的截面不随它的深度的变化而增加。
在另一实施方案中,在空穴和沟槽内沉积二氧化硅薄层,同时在与这些空穴或沟槽的开口相邻的平面表面上没有沉积。通过使用平板印刷术方便外形成空穴或沟槽,掩盖部分表面,然后(常常通过反应性离子束)各向异性蚀刻,从空穴或沟槽中没有受到平板印刷掩模保护的区域内除去材料。在根据本发明一个实施方案的方法中,在空穴或沟槽壁上以及在掩模层表面上沉积氧化铝薄层。这种沉积可以藉助原子层沉积反应,如使用三甲基铝蒸汽,然后水蒸汽。
接着通过化学选择蚀刻除去掩模,所述化学选择蚀刻不会除去沉积的铝化合物。在掩模的除去过程中,在其表面上的氧化铝也被除去,但氧化铝保留在空穴或沟槽的内表面上。最后,将该结构暴露于反应性硅烷醇如三(叔丁氧基)硅烷醇蒸汽下,硅烷醇蒸汽与氧化铝层在催化作用下反应。结果是仅在空穴和沟槽的内表面上,而不是在已用掩模层覆盖,但现在是暴露基材的上部平面表面上沉积的二氧化硅薄层。可使用该方法电绝缘空穴或沟槽的内表面,且没有在上部表面上沉积不需要的材料。对于非常窄的空穴或沟槽(小于30nm宽)来说,可完全用二氧化硅一步填充空穴或沟槽。
图3示出了该方法。图3A示出了蚀刻掩模层310覆盖的硅片300的截面,所述蚀刻掩模层310已用光致平板印刷术构图以清理(remove)区域如320。反应性离子蚀刻从空穴或沟槽330中除去硅,如图3B所示。接着使用一个或数个原子层沉积周期,沉积氧化铝的薄层340,覆盖空穴或沟槽内部以及蚀刻掩模310,如图3C所示。然后通过蚀刻除去蚀刻掩模,在蚀刻工艺过程中,在蚀刻掩模上的氧化铝也被除去,这是因为在氧化铝下的材料已溶解所致。这留下图3D所示的结构,其中氧化铝仅仅作为空穴或沟槽350内部的涂层形式保留。在最后一步中,加热该结构并暴露于硅烷醇蒸汽下,结果二氧化硅360沉积在空穴或沟槽内部,而不是在上表面370上,上表面370保持不含二氧化硅(除了非常薄的天然二氧化硅层之外)。由于在沉积二氧化硅之前除去掩模层,避免了使用现有技术方法观察到的“下凹”效果。
可有利地使填充沟槽的材料更好地阻挡对硼、氧或对硅潜在地有害的其它杂质的扩散。氧化铝对扩散具有良好的阻挡。因此在完成用二氧化硅或低k材料填充沟槽之前,它可有利地沉积较厚层(大于仅仅单层)的氧化铝。在提供硅烷醇剂量完成沟槽的填充之前,可通过使用铝前体并交替使用氧的前体,如水、醇或臭氧,完成原子层沉积的数个周期,从而制造扩散阻挡层。
可在进入下一硅烷醇剂量之前,通过反复氧化铝沉积周期(例如铝源,然后氧源),将额外的氧化铝添加到纳米层压材料上。额外的氧化铝周期可以是例如三甲基铝蒸汽和水蒸汽。
通过交替铝源和硅烷醇沉积的纳米层压材料,在氢氟酸溶液中比“热氧化物”(即通过加热单晶硅生长的二氧化硅)具有较高的蚀刻速度。在诸如浅槽隔离之类的应用中,沉积的绝缘子的HF蚀刻速度与热氧化物相匹配是理想的,其中在通过绝缘子沟槽填充之前,所述热氧化物层通常存在于硅上。添加氧化铝降低在氟化氢中纳米层压材料的蚀刻速度。因此可调节在纳米层压材料内富含的氧化铝,具有与热氧化物相类似的蚀刻速度。应当注意,高温退火也降低本发明交替层沉积纳米层压材料的蚀刻速度。因此可与热退火一起使用额外的氧化铝,调节纳米层压材料的蚀刻速度。
在微电子器件的制造中,在随后的步骤内,浅槽隔离结构总是经历热退火。由于纳米层压材料的热膨胀速度小于单晶硅,所以退火可在硅与纳米层压材料之间引入热应力。增加纳米层压材料的氧化铝含量会增加热膨胀速度,结果丰富的氧化铝可降低退火过程中的热应力。填充沟槽的二氧化硅纳米层压材料可包括用量足以与基材的热膨胀系数相匹配的氧化铝。
7.辅助(secondary)电介质的汽相沉积密封多孔介电材料
本发明提供生产优异介电材料用的材料和方法,所述介电材料拥有所需的低密度和低k特征,且没有与介电材料孔隙度有关的一些加工问题。具体地,使用本发明的沉积方法,显著降低或消除多孔介电材料的表面孔隙度,同时保持内部孔隙度,以维持整个介电材料所需的低k值。
可通过参考图4理解实施该实施方案的步骤。图4A示出了具有孔隙如410表示的孔隙的材料400的截面简图。材料400可包括目前正开发的任何数量的多孔低k材料,其中包括但不限于氢化硅倍半氧烷、甲基硅倍半氧烷、气凝胶、干凝胶、SiCxOHy、SiLK(Dow Chemical)、CORAL(Novellus)、Black Diamond(AppliedMaterials)和CVD-沉积的甲基硅烷等。通常这些多孔电介质包含孔隙的互连网络,一些孔隙从内部本体材料延伸到电介质的外表面,前体孔隙全部包含在本体材料内。所以孔隙降低材料的密度和介电常数。具有最有害影响的孔隙是朝材料的外表面420开口的那些,因为当使用原子层沉积或具有良好阶梯覆盖的另一沉积方法将导电材料施加到多孔电介质上时,这些孔隙可产生短路。
在实施该实施方案中,在没有填充孔隙的内部空间的情况下,多孔介电材料的孔隙表面用第二种绝缘材料450如二氧化硅或其它绝缘或低k材料密封。为了密封多孔电介质的这些表面孔隙,首先在表面和与该表面相邻的孔隙侧壁上沉积薄的催化层430和435。催化材料可以是其中在具有“差”的阶梯覆盖(例如侧壁和孔隙或沟槽的任何内部空间或表面的非保形涂布)的方法中沉积的含铝材料。按照这一方式,在多孔材料的外表面420上沉积催化层430并作为正好与该表面相邻的孔隙的内表面上的层435。留下不含催化剂的孔隙的较深内表面440。
本领域的技术人员会理解,可通过调节反应条件和反应物的反应性,容易地获得这种“良好的阶梯覆盖”或“差的阶梯覆盖”。例如,可在其中蒸汽短暂暴露于基材表面,限制反应物剂量,和使用高真空抽气速度限制反应物材料渗透到靠近表面的部分孔隙(例如其中通过至少一个侧壁和内部空间来限定孔隙或沟槽,控制渗透,以便仅仅侧壁的上端部分用反应物涂布)的条件下,使用原子层沉积。或者,具有“差”阶梯覆盖的沉积方法可以是物理沉积方法,如溅射或蒸发,或在得到差的阶梯覆盖的条件下进行的CVD方法。
在一些实施方案中,本发明涉及沉积涂层,特别地在多孔基材上主要由二氧化硅制造的那些。在一些实施方案中,这些涂层包括二氧化硅,相对小量的铝,和任选地,可含有碳和氢,和相对小量的其它元素(例如掺杂剂)。例如,将一种剂量的硅烷醇前体施加到如图4B所示的事先用催化剂涂布的多孔基材上。该方法提供足够低k材料450的形成,以填充表面孔隙,同时在不含氧化铝催化剂的孔隙的内表面上没有形成低k材料。使用该方法,通过一种剂量的硅烷醇填充甚至大的表面孔隙。例如,若使用三(叔丁氧基)硅烷醇,(ButO)3SiOH,则用一种剂量可完全填充直径最多30nm的孔隙。由于在典型的超低k介电材料内孔隙直径不大于10nm,因此存在足够安全的边界,以便甚至数个过大的孔隙将被填充。甲基双(叔丁氧基)硅烷醇,Me(ButO)2SiOH,可填充介电常数比二氧化硅低的材料的表面孔隙。
在使用一步额外的步骤的情况下,可添加比前一实施方案少的材料完成孔隙填充电介质的沉积,这一额外的步骤在氧化铝催化剂层430和435的沉积之后进行。从低k材料的外表面420上除去氧化铝催化剂430,同时留下在需要填充的外部孔隙内的催化剂435。图5A示出了所得结构。在一个实施方案中,这可通过反应性离子蚀刻进行,所述反应性离子蚀刻仅蚀刻催化剂的最暴露部分430。然后暴露于硅烷醇蒸汽,主要在孔隙的外部而不是在表面面积420上形成低k材料560,如图5B所示。所得结构具有比在没有除去催化剂部分430的情况下生产的图4C所示的结构低的介电常数。
实施例
参考下述实施例可理解本发明,所述实施例仅用于阐述目的和不是限制本发明,随后在权利要求中列出了本发明的范围。
实施例1:二氧化硅/氧化铝纳米层压材料的交替层沉积
使用图2的装置沉积二氧化硅/氧化铝纳米层压膜。将三(叔丁氧基)硅烷醇(“硅烷醇”)放置在不锈钢容器201内并加热到150℃,在此温度下它的蒸气压为约100Torr。三甲基铝(“TMA”)在容器20内保持在20℃下,以便它的蒸气压为约14Torr。通过将硅的天然氧化物放置在稀的氢氟酸溶液中数秒来溶解它,从而制备含有深7微米和直径0.1-0.2微米的空穴的硅基材213。接着在空气中紫外光(例如UV汞灯)辐射基材直到表面变得亲水(约2分钟)。然后将基材213放置在腔室211内并加热到250℃的温度。
为了传输TMA蒸汽的脉冲,将内部通道的内径为0.4mm的三通阀217向TMA蒸汽开启1秒,在这1秒的时间内,1×10-6mol的TMA蒸汽流入到沉积室内。基材213和腔室211的加热的壁的面积突然增加到约103cm2。因此TMA的剂量为1×10-9mol/cm2。然后旋转三通阀217,允许氮气流动5秒,以吹扫腔室内残留未反应的TMA蒸汽。接着关闭氮气流,并藉助真空泵250,使沉积室抽真空15秒。为了传输硅烷醇蒸汽,开启1秒底部的开关阀50,用硅烷醇蒸汽在其平衡蒸气压下填充容积60。然后关闭底部的开关阀50和在真空泵250与沉积室之间的阀门280。然后立即开启在容积60上方的开关阀70,允许1×10-7mol/cm2的硅烷醇蒸汽进入沉积室211内。在15秒之后,关闭顶部的开关阀70,开启通向真空泵250的开关阀280,和氮气流动5秒,以吹扫腔室内过量的硅烷醇以及反应中的挥发性副产物。然后再重复该周期3次。
在完成这4个周期之后,从反应器中取出基材213。通过椭圆光度法检验基材并发现具有49nm均匀厚度和1.46折射指数的膜。考虑到在沉积之前,硅基材在其上具有约1nm厚的天然二氧化硅膜,计算这4个周期中每一个周期沉积了约12nm的膜。测定膜的平均化学组成为约99mol%的SiO2和1mol%的Al2O3。因此,每一周期沉积约4×10-8mol/cm2的SiO2和4×10-10mol/cm2的Al2O3。在使用高剂量三甲基铝或高剂量硅烷醇的其它试验中,沉积最多15nm二氧化硅/周期。
根据前述方法形成的膜拥有非常理想、光滑的表面特征。原子力显微术证明沉积层的表面光滑度非常类似,倘若不是等于它在其上沉积的基材的光滑度的话。
为了显示图6中二氧化硅涂布的空穴的截面,拍摄劈开的涂布晶片的扫描电子显微照片(SEM)。图6A示出了完整的未涂布空穴的SEM。图6B示出了用约46nm厚的均匀二氧化硅膜涂布的空穴的上、中和下部的较高放大倍数下的SEM图像。这些数据表明非常窄的空穴壁被完美的保形涂层覆盖。空穴的最窄部分,如它的底部,完全用二氧化硅填充。
尽管本发明不束缚于理论或操作模式,但认为在本发明加工过程中发生了一些化学反应。在第一半反应中,通过诸如图7A所示的反应之类的反应,三甲基铝与羟基化表面反应,从而导致铝的化学吸附并消除副产物甲烷气体。在第二半反应中,三(叔丁氧基)硅烷醇,(ButO)3SiOH(简称为“硅烷醇”),与第一半反应中留下的含甲基铝的表面反应;通过诸如图7B所示的反应之类的反应,硅烷醇化学键合到表面上并消除甲烷。然后额外的硅烷醇分子向上扩散到表面上并通过图7C草拟的协调的(concerted)机理插入到铝-氧键中,通过铝(铝催化该聚合反应),硅烷醇反复插入到Al-O键内,形成键合到表面上的硅氧烷聚合物,如图7D所示。
这一硅氧烷聚合物通过强的化学键连接到表面上,因此不挥发;于是推定挥发性硅烷醇转化成不挥发的硅氧烷聚合物是不可逆的化学吸附过程。由于硅烷醇可经过这一软质表面键合的硅氧烷聚合物扩散,所以仍可获得催化铝原子以催化更多的硅烷醇分子聚合。在该方法中速度限制步骤是硅烷醇催化转化成硅氧烷,条件是硅烷醇蒸汽浓度足够高到保持催化铝中心完全被占据;因此化学吸附不是取决于硅烷醇达到硅氧烷层表面时的速度。在化学动力学的用语中,在硅烷醇的蒸汽浓度下,化学吸附速度是零级。这一条件对于制造均匀厚度的膜来说是重要的,它与硅烷醇蒸汽在表面上的分配过程中可能存在的任何不均匀性无关。
在硅氧烷上的叔丁基因异丁烯的β-氢消除而热分解,从而在硅上留下羟基,如图7E所示。新形成的羟基可将氢原子转移到附近的丁氧基上,从而通过诸如图7F所示的反应之类的反应,消除叔丁醇并通过氧原子交联硅原子。也可通过在两个相邻的羟基之间消除水,如图7G所示,实现这一交联。这些交联反应连接硅氧烷聚合物链,从而引起聚合物层胶凝和最终固化成二氧化硅(SiO2)。由于硅烷醇可能具有很小的速度扩散通过固体二氧化硅,额外的硅烷醇可能不再到达催化铝原子上,结果硅烷醇的化学吸附最终终止(变为自限式)。足量羟基保留在二氧化硅层的表面上,以便可通过下一剂量的三甲基铝与羟基表面反应再次开始该周期。
实施例2
重复实施例1,所不同的是硅烷醇蒸汽的暴露时间从15秒增加到90秒。获得相同的结果,这表明硅烷醇的化学反应在15秒内完成。
实施例3
重复实施例1,所不同的是使用100个周期,而不是4个周期。获得总厚度为1.2微米(1200nm)的均匀膜。这一结果证明对于至少100个周期来说,每一周期沉积12nm厚度的始终一致的层。
实施例4
重复实施例1,所不同的是这两种反应物的剂量加倍。膜厚与它的性能跟实施例1的那些没有变化。这些结果表明表面反应是自限式反应。
实施例5
重复实施例1,所不同的是基材温度在200℃-300℃范围内变化。所得膜厚随温度变化,如图8所示。这些结果证明,在225℃-250℃之间的温度下保持的基材出现最高的膜沉积速度。
实施例6
采用三(叔戊氧基)硅烷醇蒸汽替代三(叔丁氧基)硅烷醇蒸汽重复实施例1。获得与实施例1的那些相类似的结果。
实施例7
重复实施例1,所不同的是使用六(二甲基氨基)二铝蒸汽替代三甲基铝蒸汽,和使用图1的装置替代图2的装置。获得与实施例1的那些相类似的结果。
实施例8
采用介于三(二甲基氨基)铝蒸汽和三(叔丁氧基)硅烷醇蒸汽之间的水蒸汽剂量重复实施例7。获得类似的膜。
实施例9
重复实施例3,所不同的是每第10个剂量的三(叔丁氧基)硅烷醇蒸汽用二异丙基磷酸酯蒸汽替代。获得二氧化硅/氧化铝/磷酸酯纳米层压材料。
实施例10
使用煅制二氧化硅、钠钙玻璃、玻璃化炭黑、不锈钢、铜、铝和铂基材重复实施例1。获得相同结果。
对比实施例1
仅使用硅和氧前体、三(叔丁氧基)硅烷醇,和未使用铝前体重复实施例1。观察到没有膜沉积在基材表面上。
对比实施例2
仅使用铝前体、三甲基铝,和未使用硅前体重复实施例1。没有沉积膜。
对比实施例3
仅使用铝前体、六(二甲基氨基)二铝,和未使用硅前体,重复实施例1。没有沉积膜。
对比实施例4
使用四(叔丁氧基)硅烷替代三(叔丁氧基)硅烷醇重复实施例1。没有沉积膜。该实施例证明硅前体的反应性取决于硅烷醇(-OH)基团的存在。
仅仅使用常规实验,本领域的普通技术人员会意识到或能确定此处具体地描述的本发明特定实施方案的许多等价方案。这些等价方案打算包括在下述权利要求的范围内。

Claims (57)

1.一种在基材上形成二氧化硅层的方法,它包括:
将加热的基材暴露于硅烷醇蒸汽下,在基材的酸性区域上形成厚度大于2nm的二氧化硅层,其中所述基材包括含具有路易斯酸特征的金属或准金属化合物的区域。
2.权利要求1的方法,其中所述硅烷醇蒸汽的用量足以饱和基材的酸性区域。
3.权利要求1的方法,其中通过将基材暴露于金属或准金属化合物的蒸汽下,使金属或准金属化合物以层的形式沉积在基材上。
4.权利要求1的方法,其中基材包括含铝金属或含铝化合物的酸性区域。
5.在基材上沉积二氧化硅纳米层压材料的方法,它包括:
使基材经历多个沉积周期,其中每一沉积周期包括:
(a)将基材暴露于金属或准金属化合物的蒸汽下,其中金属或准金属具有路易斯酸特征,在基材的至少一个区域上沉积金属或准金属化合物的层,和
(b)将加热的基材暴露于硅烷醇蒸汽下,其中硅烷醇蒸汽在金属或准金属化合物层上沉积,形成二氧化硅层,其中在至少一个周期过程中形成厚度大于2nm的二氧化硅层。
6.权利要求5的方法,其中二氧化硅纳米层压材料包括厚度范围为约2-15nm的二氧化硅和厚度为0.1nm或更高的氧化铝的交替层。
7.权利要求5的方法,其中所述硅烷醇蒸汽的用量足以饱和金属或准金属化合物层。
8.权利要求1或5的方法,其中在至少一个周期中形成厚度大于约5nm的二氧化硅层。
9.权利要求5的方法,其中在至少一个周期中形成厚度大于约10nm的二氧化硅层。
10.权利要求5的方法,其中在至少一个周期中形成厚度大于约12nm的二氧化硅层。
11.权利要求1或5的方法,其中在至少一个周期中形成厚度最多约15nm的二氧化硅层。
12.权利要求5的方法,其中沉积周期进一步包括:
(c)将步骤(a)中形成的金属或准金属化合物层暴露于含氧蒸汽或气体下。
13.权利要求12的方法,其中在步骤(b)之前,重复步骤(a)和(c)一次或多次。
14.权利要求5的方法,其中含氧气体是水和醇中的至少一种。
15.权利要求1或5的方法,其中金属或准金属化合物选自氨基金属、烷基金属、烷氧化金属和金属卤化物。
16.权利要求15的方法,其中金属或准金属选自铝、硼、镁、钪、镧、钇、锆和铪。
17.权利要求1或5的方法,其中硅烷醇具有下式结构:
Figure A038095390003C1
其中R1-R9表示氢、烷基、氟代烷基或被其它非金属原子或基团取代的烷基,和R1-R9相同或不同。
18.权利要求1或5的方法,其中硅烷醇具有下式结构:
Figure A038095390004C1
其中R1-R6表示氢、烷基、氟代烷基或被其它非金属原子或基团取代的烷基,和R1-R6相同或不同。
19.权利要求1或5的方法,其中硅烷醇具有下式结构:
Figure A038095390004C2
其中R1-R7表示氢、烷基、氟代烷基或被其它非金属原子或基团取代的烷基,和R1-R7相同或不同。
20.权利要求17的方法,其中基团R1-R9含有1-4个碳原子且相同或不同。
21.权利要求1或5的方法,其中步骤(b)的暴露提供的以mol/cm2为单位的烷氧基硅烷醇或烷氧基硅烷二醇蒸汽的剂量比步骤(a)中提供的以mol/cm2为单位的金属或准金属化合物的剂量大至少10倍。
22.权利要求21的方法,其中烷氧基硅烷醇或烷氧基硅烷二醇蒸汽的剂量是金属或准金属化合物剂量的至少100倍。
23.一种填充在基材外表面上的空穴或沟槽的方法,它包括:
提供在其中具有至少一个凹进处的基材,该凹进处具有壁和内部空间;
使基材经历一个或多个沉积周期,每一沉积周期包括:
(a)将加热的基材暴露于金属或准金属化合物的蒸汽下,其中金属或准金属具有路易斯酸特征,结果在凹进处壁上沉积金属或准金属化合物的保形层,和
(b)将加热的基材暴露于硅烷醇蒸汽下,其中所述硅烷醇蒸汽沉积在金属或准金属化合物的保形层上,
其中在至少一个周期过程中形成厚度大于2nm的二氧化硅层,
和其中在凹进处内部没有形成孔隙的情况下填充凹进处的内部空间。
24.权利要求23的方法,其中步骤(b)的暴露提供的以mol/cm2为单位的烷氧基硅烷醇或烷氧基硅烷二醇蒸汽的剂量比步骤(a)中提供的以mol/cm2为单位大金属或准金属化合物的剂量大至少10倍。
25.权利要求24的方法,其中烷氧基硅烷醇或烷氧基硅烷二醇蒸汽的剂量是金属或准金属化合物剂量的至少100倍。
26.权利要求23的方法,其中二氧化硅纳米层压材料填充凹进处,和二氧化硅纳米层压材料包括厚度范围为约2-15nm的二氧化硅和厚度为约0.1nm或更高的氧化铝的交替层。
27.权利要求23的方法,其中使用两个或多个沉积周期。
28.权利要求23的方法,其中沉积周期进一步包括:
(d)将在步骤(a)中沉积的金属或准金属化合物层暴露于含氧蒸汽或气体下。
29.权利要求28的方法,其中含氧气体是水和醇中的一种。
30.权利要求23的方法,其中硅烷醇具有下式结构:
Figure A038095390006C1
其中R1-R9表示氢、烷基、氟代烷基或被其它非金属原子或基团取代的烷基,和R1-R9相同或不同。
31.权利要求30的方法,其中基团R1-R9含有1-4个碳原子且相同或不同。
32.权利要求23的方法,其中金属或准金属化合物选自氨基金属、烷基金属、烷氧化金属和金属卤化物。
33.权利要求29的方法,其中金属或准金属选自铝、硼、镁、钪、镧、钇、锆和铪。
34.权利要求23的方法,其中在凹进处内选择沉积二氧化硅层。
35.权利要求23的方法,其中选择沉积二氧化硅层,和选择沉积包括:
(e)在步骤(a)的暴露之前,掩盖基材,以暴露在其中所需外形成二氧化硅的基材的至少一个区域,其中所述区域包括至少一个凹进区域;
(f)在步骤(a)的暴露之后,除去基材掩模,提供具有金属或准金属化合物层的所述区域的基材;和
其中在步骤(f)的基材暴露于步骤(b)的硅烷醇蒸汽的过程中,硅烷醇层优先沉积在金属或准金属化合物层的所述区域上。
36.一种集成电路,它包括:
具有多个相邻的有源器件区域的半导体基材;
嵌入半导体基材内的相邻的器件区域之间的沟槽;和
保形填充沟槽且没有孔隙的二氧化硅纳米层压材料,该二氧化硅纳米层压材料包括厚度范围为约2-15nm的二氧化硅和厚度为约0.1nm或更高的氧化铝的交替层。
37.权利要求36的电路,其中填充沟槽的二氧化硅纳米层压材料包括用量足以与基材的热膨胀系数相匹配的氧化铝。
38.权利要求36的电路,其中填充沟槽的二氧化硅纳米层压材料包括用量足以与在硅上热生长的二氧化硅的氢氟酸蚀刻速度相匹配的氧化铝。
39.一种密封在基材外表面内的孔隙的方法,它包括:
提供在其中具有至少一个孔隙的基材,所述孔隙具有侧壁和开口通向基材外表面的内部空间;
使基材经历一个或多个沉积周期,每一沉积周期包括:
(a)将基材暴露于金属或准金属化合物的蒸汽下,其中金属或准金属具有路易斯酸特征,以便与基材外表面相邻的孔隙的一部分用金属或准金属化合物涂布且里面的部分未被涂布;和
(b)将加热的基材暴露于包括烷氧基硅烷醇和烷氧基硅烷二醇之一的硅烷醇蒸汽下,其中所述烷氧基硅烷醇或烷氧基硅烷二醇蒸汽优先沉积在金属或准金属化合物上,以形成厚度足以闭合基材外表面上孔隙开口的二氧化硅层并留下至少一部分孔隙内部作为空隙。
40.权利要求39的方法,其中重复沉积周期一次或多次。
41.权利要求39的方法,其中使用具有差的阶梯覆盖的沉积方法,用金属或准金属化合物涂布与基材外表面相邻的孔隙部分。
42.权利要求39的方法,其中使用化学汽相沉积,在限制蒸汽渗透到孔隙的所述相邻部分的条件下,沉积金属或准金属化合物。
43.权利要求39的方法,进一步包括:
在将基材暴露于步骤(b)中的硅烷醇蒸汽之前,从基材的外表面上除去沉积的金属或准金属化合物层,同时在孔隙的相邻部分上留下沉积材料。
44.权利要求39的方法,其中通过金属或准金属的物理沉积以及或接着通过氧化,沉积金属或准金属化合物,形成金属或准金属氧化物或氢氧化物。
45.权利要求39的方法,其中步骤(b)的暴露提供的以mol/cm2为单位的烷氧基硅烷醇或烷氧基硅烷二醇蒸汽的剂量比步骤(a)中提供的以mol/cm2为单位的金属或准金属化合物的剂量大至少10倍。
46.权利要求45的方法,其中烷氧基硅烷醇或烷氧基硅烷二醇蒸汽的剂量是金属或准金属化合物剂量的至少100倍。
47.权利要求39的方法,其中沉积周期进一步包括:
(d)将步骤(a)中形成的金属或准金属化合物层暴露于含氧蒸汽或气体下。
48.权利要求47的方法,其中含氧气体是水和醇中的至少一种。
49.权利要求39的方法,其中硅烷醇具有下式结构:
其中R1-R9表示氢、烷基、氟代烷基或被其它非金属原子或基团取代的烷基,和R1-R9相同或不同。
50.权利要求49的方法,其中基团R1-R9含有1-4个碳原子且相同或不同。
51.权利要求39的方法,其中金属或准金属化合物选自氨基金属、烷基金属、烷氧化金属和金属卤化物。
52.权利要求51的方法,其中金属或准金属选自铝、硼、镁、钪、镧、钇、锆和铪。
53.一种密封存在于基材外表面上孔隙的方法,它包括:
在基材外表面上沉积第一催化材料,
将基材和催化材料暴露于第二种反应性化合物的蒸汽下,其中第一催化材料和第二种反应性化合物反应形成覆盖孔隙表面开口的薄膜,从而留下至少一部分孔隙内部作为空隙空间。
54.一种具有介电常数小于4的层的制品,它包括:
在其上具有多孔层的基材,所述层包括二氧化硅插塞(plug),所述二氧化硅插塞占据所述多孔层的孔隙的外表面所在层的开口,从而所述孔隙的开口被所述二氧化硅插塞密封,留下至少孔隙内部作为空隙空间。
55.权利要求54的制品,其中二氧化硅插塞包括厚度最多约15nm的二氧化硅。
56.权利要求54的制品,其中二氧化硅插塞在基材的全部表面上形成密封层。
57.权利要求54的制品,其中二氧化硅插塞基本上仅在层的表面上的孔隙开口的内部形成密封。
CNB038095394A 2002-03-28 2003-03-28 二氧化硅纳米层压材料的气相沉积 Expired - Fee Related CN100360710C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US36829202P 2002-03-28 2002-03-28
US60/368,292 2002-03-28
US41561702P 2002-10-02 2002-10-02
US60/415,617 2002-10-02

Publications (2)

Publication Number Publication Date
CN1650044A true CN1650044A (zh) 2005-08-03
CN100360710C CN100360710C (zh) 2008-01-09

Family

ID=28678229

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038095394A Expired - Fee Related CN100360710C (zh) 2002-03-28 2003-03-28 二氧化硅纳米层压材料的气相沉积

Country Status (7)

Country Link
US (2) US8008743B2 (zh)
EP (1) EP1490529A1 (zh)
JP (1) JP4959921B2 (zh)
KR (1) KR100996816B1 (zh)
CN (1) CN100360710C (zh)
AU (1) AU2003228402A1 (zh)
WO (1) WO2003083167A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101109074B (zh) * 2006-07-07 2010-12-15 应用材料股份有限公司 在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法
CN104124197A (zh) * 2013-04-24 2014-10-29 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN104900779A (zh) * 2015-06-25 2015-09-09 苏州纳维科技有限公司 一种iii-v族半导体单晶衬底孔洞消除之后的表面结构及其制备方法
CN110286440A (zh) * 2019-05-20 2019-09-27 武汉光迅科技股份有限公司 平面光波导芯片的制作方法
CN113178665A (zh) * 2016-04-08 2021-07-27 达拉米克有限责任公司 用于增强型富液式电池的改进的隔板、电池及相关方法

Families Citing this family (482)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
WO2002027063A2 (en) 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
KR100996816B1 (ko) 2002-03-28 2010-11-25 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 이산화규소 나노라미네이트의 증기증착
DE10234735A1 (de) * 2002-07-30 2004-02-12 Infineon Technologies Ag Verfahren zum vertikalen Strukturieren von Substraten in der Halbleiterprozesstechnik mittels inkonformer Abscheidung
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
DE10361697B4 (de) * 2003-12-30 2011-08-11 Infineon Technologies AG, 81669 Verfahren zum Herstellen einer Grabenstruktur mit Oxidationsauskleidung, zum Herstellen einer integrierten Halbleiterschaltungsanordnung oder eines Chips, zum Herstellen eines Halbleiterbauelements sowie mit diesem Verfahren hergestellte integrierte Halbleiterschaltungsanordnung, hergestellter Chip, hergestelltes Halbleiterbauelement
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
DE102004028030B4 (de) * 2004-06-09 2006-07-27 Infineon Technologies Ag Katalytisches Beschichtungsverfahren für strukturierte Substratoberflächen und mit einer Siliziumdioxid-Dünnschicht beschichtetes Substrat mit einer strukturierten Oberfläche
DE102004028031A1 (de) * 2004-06-09 2006-01-05 Infineon Technologies Ag Selektives Beschichtungsverfahren und Dünnschichtsystem
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
FI117247B (fi) * 2004-06-24 2006-08-15 Beneq Oy Materiaalin seostaminen selektiivisesti
US20050287826A1 (en) * 2004-06-29 2005-12-29 Abell Thomas J Method of sealing low-k dielectrics and devices made thereby
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7064227B1 (en) * 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
KR100675897B1 (ko) * 2005-09-30 2007-02-02 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
FI122323B (fi) * 2006-06-22 2011-11-30 Beneq Oy Menetelmä kuituaihion valmistamiseksi
DE102006028921A1 (de) * 2006-06-23 2007-12-27 Robert Bosch Gmbh Verfahren zur Herstellung eines Siliziumsubstrats mit veränderten Oberflächeneigenschaften sowie ein derartiges Siliziumsubstrat
JP2008010739A (ja) * 2006-06-30 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7737047B2 (en) * 2006-08-25 2010-06-15 Micron Technology, Inc. Semiconductor constructions, and methods of forming dielectric materials
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080138624A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7781031B2 (en) * 2006-12-06 2010-08-24 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US20080138538A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8624050B2 (en) * 2007-06-22 2014-01-07 General Electric Company Solution process for transparent conductive oxide coatings
KR100849725B1 (ko) * 2007-06-28 2008-08-01 주식회사 하이닉스반도체 급속 증기 증착법을 이용한 반도체 소자의 소자분리막형성방법
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8454928B2 (en) 2007-09-17 2013-06-04 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for GST deposition
JP2011522120A (ja) 2008-05-29 2011-07-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 膜堆積用のテルル前駆体
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2013503849A (ja) 2009-09-02 2013-02-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ゲルマニウム含有フィルムの堆積のための二ハロゲン化ゲルマニウム(ii)先駆物質
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8105956B2 (en) * 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
WO2011095849A1 (en) 2010-02-03 2011-08-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
EP2444406A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444404A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444407A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP2012140646A (ja) * 2010-12-28 2012-07-26 Innovation & Infinity Global Corp 拡散ブロッキング構造、透明導電構造及びその製造方法
WO2012107138A1 (en) * 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
US20120263876A1 (en) * 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
US9196803B2 (en) 2011-04-11 2015-11-24 Nichia Corporation Semiconductor light emitting element and method for manufacturing the same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6097754B2 (ja) 2011-09-27 2017-03-15 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ニッケル含有膜を堆積させる方法、及びニッケルケイ化物膜を堆積させるald方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101259570B1 (ko) * 2011-11-11 2013-04-30 포항공과대학교 산학협력단 접촉각 조절용 기판 및 그 제조방법
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8759172B2 (en) 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
CN102703880B (zh) * 2012-06-12 2014-01-15 浙江大学 利用原子层沉积制备高精度光学宽带抗反射多层膜的方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
KR101970361B1 (ko) * 2012-08-20 2019-04-19 삼성디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) * 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015035066A1 (en) 2013-09-04 2015-03-12 President And Fellows Of Harvard College Growing films via sequential liquid/vapor phases
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9029272B1 (en) * 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9773698B2 (en) 2015-09-30 2017-09-26 International Business Machines Corporation Method of manufacturing an ultra low dielectric layer
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170117144A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Chemical Infiltration into Porous Dielectric Films
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10049913B2 (en) * 2016-04-12 2018-08-14 Tokyo Electron Limited Methods for SiO2 filling of fine recessed features and selective SiO2 deposition on catalytic surfaces
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
WO2018064292A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods of forming self-aligned vias
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
TWI687978B (zh) 2016-11-08 2020-03-11 美商應用材料股份有限公司 用於圖案化應用之由下而上的柱體之幾何控制
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TW201839897A (zh) 2017-02-22 2018-11-01 美商應用材料股份有限公司 自對準接觸圖案化之臨界尺寸控制
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10424507B2 (en) 2017-04-04 2019-09-24 Mirocmaterials LLC Fully self-aligned via
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10453737B2 (en) 2017-04-11 2019-10-22 Tokyo Electron Limited Method of filling retrograde recessed features with no voids
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP2019062142A (ja) * 2017-09-28 2019-04-18 東京エレクトロン株式会社 選択成膜方法および半導体装置の製造方法
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN110534417B (zh) * 2019-07-26 2021-12-21 中国科学院微电子研究所 硅基半导体与化合物半导体异构集成方法及异构集成器件
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11437274B2 (en) 2019-09-25 2022-09-06 Micromaterials Llc Fully self-aligned via
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
KR20230157481A (ko) * 2021-03-31 2023-11-16 도쿄엘렉트론가부시키가이샤 막 형성 방법 및 기판 처리 장치
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS56119134A (en) * 1980-02-25 1981-09-18 Copyer Co Ltd Electrophotographic receptor
US4386117A (en) * 1981-11-20 1983-05-31 Gordon Roy G Coating process using alkoxy substituted silicon-bearing reactant
JPS5916978A (ja) * 1982-07-20 1984-01-28 Tokyo Denshi Kagaku Kabushiki 金属被膜の選択的エツチング方法
US4512862A (en) * 1983-08-08 1985-04-23 International Business Machines Corporation Method of making a thin film insulator
JPH068509B2 (ja) * 1985-09-17 1994-02-02 勝 岡田 強誘電体薄膜の製造方法
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
US4956323A (en) 1987-11-30 1990-09-11 The Dow Chemical Company Catalysts prepared from tetrakis(dialkylamide or diarylamide) derivatives of titanium and polymerization of olefins therewith
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
JPH03177560A (ja) * 1989-12-06 1991-08-01 Nippon Steel Corp 電気絶縁性板状材料の製造方法
US5064686A (en) 1990-10-29 1991-11-12 Olin Corporation Sub-valent molybdenum, tungsten, and chromium amides as sources for thermal chemical vapor deposition of metal-containing films
JP2771347B2 (ja) 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
EP0560617A3 (en) 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JPH06140390A (ja) 1992-09-10 1994-05-20 Kawasaki Steel Corp 半導体装置の製造装置
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
DE4316883C2 (de) 1993-05-19 1996-01-25 Michael Dr Denk Silylen, Verfahren zur Herstellung von Silylen oder Carben sowie die Verwendung des Silylens
JP2965188B2 (ja) 1993-11-26 1999-10-18 キヤノン販売 株式会社 成膜方法
US5389401A (en) * 1994-02-23 1995-02-14 Gordon; Roy G. Chemical vapor deposition of metal oxides
KR0156980B1 (ko) 1995-06-23 1998-12-01 신현주 질화금속 박막증착용 화합물 및 그를 이용한 증착방법
JP3344199B2 (ja) * 1996-03-21 2002-11-11 ソニー株式会社 防汚膜形成用組成物および反射防止フィルター
WO1997038355A1 (en) 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6112695A (en) 1996-10-08 2000-09-05 Nano Scale Surface Systems, Inc. Apparatus for plasma deposition of a thin film onto the interior surface of a container
US5710075A (en) * 1996-11-06 1998-01-20 Vanguard International Semiconductor Corporation Method to increase surface area of a storage node electrode, of an STC structure, for DRAM devices
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
DE69803022T2 (de) * 1997-09-25 2002-08-01 Mitsubishi Polyester Film Corp Abgeschiedener Kunststoff-film
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6114242A (en) 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
DE69822612T2 (de) 1997-12-10 2005-03-17 Infineon Technologies Ag Verfahren zur cvd bei niedrigen temperaturen unter verwendung von bi-amiden
US6159855A (en) 1998-04-28 2000-12-12 Micron Technology, Inc. Organometallic compound mixtures in chemical vapor deposition
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100315441B1 (ko) * 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6342432B1 (en) * 1999-08-11 2002-01-29 Advanced Micro Devices, Inc. Shallow trench isolation formation without planarization mask
JP2001074931A (ja) * 1999-08-31 2001-03-23 Nikon Corp 光学薄膜及び光学素子及び光学装置
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100375229B1 (ko) * 2000-07-10 2003-03-08 삼성전자주식회사 트렌치 소자분리 방법
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
WO2002027063A2 (en) 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
US6580619B2 (en) * 2000-11-30 2003-06-17 Intel Corporation Multilayer reference plane in package devices
EP1369002A2 (en) * 2001-01-17 2003-12-10 Honeywell International Inc. Adaptor for plastic-leaded chip carrier (plcc) and other surface mount technology (smt) chip carriers
US6653200B2 (en) * 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US20030129087A1 (en) 2001-06-13 2003-07-10 The Regents Of The University Of California Ordered adsorbed layers of nano particulate materials on structured nano-laminate templates
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR100996816B1 (ko) 2002-03-28 2010-11-25 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 이산화규소 나노라미네이트의 증기증착
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
DE10248980B4 (de) * 2002-10-21 2004-11-11 Infineon Technologies Ag Verfahren zur Herstellung strukturierter Schichten aus Siliziumdioxid auf senkrecht oder geneigt zu einer Substratoberfläche angeordneten Prozessflächen
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP3698163B1 (ja) 2003-09-19 2005-09-21 三菱マテリアル株式会社 ハフニウム含有膜形成材料及び該材料から作製されたハフニウム含有薄膜の製造方法
US6867152B1 (en) * 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
KR20050039421A (ko) 2003-10-25 2005-04-29 삼성전자주식회사 반도체 장치의 박막 형성 방법
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US7129189B1 (en) * 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7097878B1 (en) * 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7064227B1 (en) * 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
US7135418B1 (en) * 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7109129B1 (en) * 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
DE102006000823A1 (de) 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101109074B (zh) * 2006-07-07 2010-12-15 应用材料股份有限公司 在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法
CN104124197A (zh) * 2013-04-24 2014-10-29 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN104124197B (zh) * 2013-04-24 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN104900779A (zh) * 2015-06-25 2015-09-09 苏州纳维科技有限公司 一种iii-v族半导体单晶衬底孔洞消除之后的表面结构及其制备方法
CN113178665A (zh) * 2016-04-08 2021-07-27 达拉米克有限责任公司 用于增强型富液式电池的改进的隔板、电池及相关方法
CN113178665B (zh) * 2016-04-08 2023-11-10 达拉米克有限责任公司 用于增强型富液式电池的改进的隔板、电池及相关方法
CN110286440A (zh) * 2019-05-20 2019-09-27 武汉光迅科技股份有限公司 平面光波导芯片的制作方法

Also Published As

Publication number Publication date
CN100360710C (zh) 2008-01-09
AU2003228402A1 (en) 2003-10-13
EP1490529A1 (en) 2004-12-29
JP2005521792A (ja) 2005-07-21
US8536070B2 (en) 2013-09-17
US20110281417A1 (en) 2011-11-17
KR20040097219A (ko) 2004-11-17
WO2003083167A1 (en) 2003-10-09
JP4959921B2 (ja) 2012-06-27
US20050112282A1 (en) 2005-05-26
KR100996816B1 (ko) 2010-11-25
US8008743B2 (en) 2011-08-30

Similar Documents

Publication Publication Date Title
CN1650044A (zh) 二氧化硅纳米层压材料的汽相沉积
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
US7943531B2 (en) Methods for forming a silicon oxide layer over a substrate
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
US8187951B1 (en) CVD flowable gap fill
TWI634229B (zh) 於多孔低介電常數膜上提供孔密封層的方法和組合物
US7745352B2 (en) Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
JP5329218B2 (ja) シリコン酸化物含有膜の形成方法
KR101019768B1 (ko) 보이드없는 갭 필을 위한 유전막질 향상용 방법 및 시스템
US7294583B1 (en) Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080109

Termination date: 20200328

CF01 Termination of patent right due to non-payment of annual fee