JP5329218B2 - シリコン酸化物含有膜の形成方法 - Google Patents

シリコン酸化物含有膜の形成方法 Download PDF

Info

Publication number
JP5329218B2
JP5329218B2 JP2008501327A JP2008501327A JP5329218B2 JP 5329218 B2 JP5329218 B2 JP 5329218B2 JP 2008501327 A JP2008501327 A JP 2008501327A JP 2008501327 A JP2008501327 A JP 2008501327A JP 5329218 B2 JP5329218 B2 JP 5329218B2
Authority
JP
Japan
Prior art keywords
reaction chamber
gas
compound
oxygen
ozone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008501327A
Other languages
English (en)
Other versions
JP2008533731A (ja
JP2008533731A5 (ja
Inventor
デュサラ、クリスティアン
ガティノー、ジュリアン
和孝 柳田
恵理 塚田
郁生 鈴木
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority to JP2008501327A priority Critical patent/JP5329218B2/ja
Publication of JP2008533731A publication Critical patent/JP2008533731A/ja
Publication of JP2008533731A5 publication Critical patent/JP2008533731A5/ja
Application granted granted Critical
Publication of JP5329218B2 publication Critical patent/JP5329218B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Silicon Compounds (AREA)

Description

本発明は、シリコン酸化物含有膜の形成方法に関する。
CMOS半導体デバイスの最前部において、SiNのようなパッシベーション膜は各MOSトランジスタのゲート電極上に形成される。このSiN膜は、各トランジスタの耐圧を向上するためにゲート電極(多結晶シリコンまたは金属層のような)の上面および側面に堆積される。
挑戦は400℃より高くない温度に達成するために、そのようなSiNの音素堆積を低減するこのSiN膜は、半導体デバイスの製造工程での低温化に伴って、400℃以下での成膜が望まれている。
しかしながら、400℃未満でのSiNの堆積膜は通常、膜質が乏しい。この問題を克服するため、SiO2膜を用いてSiN膜性状を強化すること(二重スペーサ)が提案され、かつそれによって効果的な電気的バリヤ層とし、デバイス性能を十分に改善する。また、SiO2膜はSTI(浅溝分離)、中間層絶縁(ILD)層、パッシベーション膜、エッチストップ層として用いられ、かつ挑戦は低温、すなわち400℃未満でそれらのSiO2層の堆積方法を見出した。二重スペーサ適用の特定な場合において、低堆積温度(最大で300℃)でなされる非常に薄い(20〜50Å厚さ)の堆積は、金属電極の酸化を導かず、かつゲートに沿う全てで完全に均一で、原子層堆積方法はそのような要求に最も適している。STI適用に関する限り、共形膜は500℃未満にて高堆積速度(分当たり数百Å)で堆積されるであろう。
低温度で、PECVD反応器を用いるシランおよび酸素から作られるシリコン酸化物膜の堆積は、それによって得られるSiOx膜に前駆体として導入されるシランガス中の水素存在で発生するかもしれないSi−H結合が導入されるために現在、これらの適用に対して失敗に終わった。このSi−H結合は、それから環境中の酸素源と多分ゆっくり反応し、Si−OH結合を生成する。
そのようなSi−OHの存在は、増大するリーク電流を持つトランジスタを有する危険を増加し、かつそれゆえ関連するトランジスタの耐圧を低減する。
本発明者らは、Si前駆体中のシリコン原子と結合される多数の水素およびSiO2膜を発生するための酸素または酸素含有ガスの存在が多分水分(H2O)形成、それからSiと反応してSiOHを作る、を発生することと信じる。
発明者らは、シリコン含有化合物がH2O発生を制限するために水素含有残分に関して好ましくは高純度で、好ましくは100ppm未満のH2またはH含有化合物を含むべきであるとやはり信じる。
SiO2膜形成の間にOH結合の形成を妨げるか制限する400℃またはそれ以下の温度で基板上にシリコン酸化物膜を形成する方法を提供することを本発明の主要な目的とする。
本発明によると、
a)反応チャンバ内に基板を収納すること;
b)−式(R12N)xSiH4-x、ここでR1およびR2は、H,C1〜C4直線、分岐または環状の炭素鎖で、かつxは1と4の間を含む、を有するアミノシラン、
−式;
Si(OR1)(OR2)(OR3)(OR4),または
(OR1)(OR2)(OR3)SiSi(OR4)(OR5)(OR6)、または
(OR1)(OR2)(OR3)SiRSi(OR4)(OR5)(OR6)、または
Si(O−C(=O)−R1)(O−C(=O)−R2)(O−C(=O)−R3)(O−C(=O)−R4)、好ましくはテトラ(アセトキシ)シランSi(O−C(=O)−Me)4
ここで、R,R1,R2,R3,R4,R5,R6は単独でH,O,C1〜C6直線、
分岐または環状の炭素鎖である、
を有するアルコキシシランまたはアセトキシシラン、
−式(SiH3nR、nは1と4の間を含み、RはH,N,O,CH2,CH2
CH2.SiH2,SiH,Siである、を有するシラン、
−式Si(NCO)4を有するテトラ(イソシアネート)シラン
からなる群から選ばれる少なくとも1つのシリコン含有化合物を前記反応チャンバに注入すること、
c)少なくとも1つの酸素含有ガス、好ましくはオゾン、酸素および/または湿気(水分)からなる群から選ばれる、を前記反応チャンバに注入すること、
d)前記基板上に堆積されたシリコン酸化物含有膜を得るために前記反応チャンバ内で少なくとも1つのシリコン含有化合物と少なくとも1つのオゾン含有ガスを500℃未満の温度で反応させること、
e)所期の膜厚が得られるまで工程b)〜d)を繰返すこと、
の工程を含むシリコン酸化物含有膜の形成方法を提供する。
好ましくは、前記基板はその導入、好ましくは反応チャンバ温度に達する後、工程b)、c)、d)およびまたはe)をなす前に反応チャンバ内で加熱される。
本発明の好ましい実施形態によれば、少なくとも1つの工程b)および/またはc)は少なくとも1つの前記化合物および/またはガスの中断された注入によってなされる。例えば、パルスCVDまたはALDは前記反応チャンバ内でなされる。
さらに、少なくとも1つの化合物および少なくとも1つの酸素含有ガスの同時の注入は、前記反応チャンバ内でなされ、少なくとも1つの化合物および少なくとも1つの酸素含有ガスの前記反応チャンバ内への択一的な注入を供することが好ましい。
別の実施形態によれば、少なくとも1つの化合物または少なくとも1つの酸素含有ガスは、別の化合物および/または少なくとも1つの酸素含有ガスの注入前に前記基板表面に吸着される。
好ましくは、各化合物および/または酸素含有ガス注入は、前記反応チャンバ内に不活性ガスのようなパージガス注入の後に続き、さらにより好ましくは化合物および/またはガス注入は所期のSiO2含有膜厚さが得られるまで繰返される。前記反応チャンバ内の圧力は、好ましくは100Torr未満,より好ましくは2Torr未満である。好ましくは、前記SiO2含有膜中のH結合量は、8×1021原子/cc未満である。
別の実施形態によれば、オゾン含有ガスは、O3/O2比30体積%未満、好ましくは5〜20体積%を持つ酸素とオゾンを含むガス混合物である。
好ましくは、前記酸素/オゾン混合物は不活性ガス、好ましくは窒素で希釈される。
前記シリコン含有化合物は、100ppm未満の水素を含むべきであり、
(TSA)トリシリルアミン (SiH33
DSO ジシロキサン (SiH32
BDEAS ビス(ジエチルアミノ)シラン SiH2(NEt22
BDMAS ビス(ジメチルアミノ)シラン SiH2(NMe22
TriDMAS トリス(ジエチルアミノ)シラン SiH(NMe23
ビス(トリメチルシリルアミノ)シラン SiH2(NHSiMe32
TICS テトラ(イソシアネート)シラン Si(NCO)4
TEAS テトラキス(エチルアミノ)シラン Si(NHEt)4
TEOS テトラキス(エトキシ)シラン Si(OEt)4
BTESE ビス(トリエトキシシリル)エタン (EtO)3Si−CH2−CH2−Si(OEt)3
TAS テトラ(アセトキシ)シラン Si(−O−C(=O)−Me)4
からなる群から好ましくは選ばれるべきである。
本発明は、また500℃より高くない低温度での膜形成の間、OH結合の導入を阻害または妨げるシリコン酸化物膜の形成方法を提供し、シリコン酸化物膜の厚さは容易に制御され、かつシリコン酸化物膜は高い信頼性、例えばゲート電極側面に適用するときリーク電流を低減する。
本発明の方法は、また、特に各注入の間に窒素パージを同伴するALD法を用いて堆積される、ギャップ充填適用またはDRAMのキャパシタ電極に有用な非常に高い正角性(すなわち溝の頂部および底において均一膜を堆積する能力)を有するSiO2膜、すなわち表面上の空洞全てを補充し、かつ均一なSiO2層を供する膜を提供する。
シリコン酸化物膜を形成する本発明の方法は、以下に詳細に説明する。この方法は:
−酸素源と式(R12N)xSiH4-x、ここでR1およびR2は、別個にH,C1 6 直線、分岐または環状の炭素鎖で、かつxは1と4の間を含む、を有するアミノシランとの使用は、独立して反応器に連続的またはパルスによって導入される。好ましくは、ALD方法を通して注入される。
好ましくは、前記アルキルアミノシランは、ビス(ジエチルアミノ)シラン(BDEAS)、ビス(ジメチルアミノ)シラン(BDMAS)またはトリス(ジエチルアミノ)シラン(TriDMAS)である。アルキルアミノシランは、基板表面に吸着される(初期段階で、この工程が酸素源の導入の間に、下地金属電極の起こりえる酸化を妨げる)。不活性ガスを用いて反応器からアミノシランを排気するためのパージ時間の後に、酸素/オゾンガス混合物(典型的に:酸素中の5〜20体積%のオゾン)、酸素、水分および/または過酸化水素(H22)またはこれらの組合せからなる酸素源はパルスで導入される。サイクルは、それからアミノシランの1パルス、パージガスの1パルス、酸素含有ガスの1パルス、パージガスの1パルスからなる。サイクル数は、所望の経験条件で得られるサイクル当たりの堆積速度を考慮に入れて目標厚さによって決定される。堆積温度は、0.1〜100Torr(13〜13300Pa)の操作圧力で室温と同じ位低くかつ500℃までできる。非常に低酸素かつ低水素量の高品質膜は、0.1〜100Torr(13〜13300Pa)間の圧力で200〜400℃の間で堆積されることが好ましい。
−酸素源と式Si(OR1)(OR2)(OR3)(OR4),または
(OR1)(OR2)(OR3)SiSi(OR4)(OR5)(OR6)、または
(OR1)(OR2)(OR3)SiRSi(OR4)(OR5)(OR6)、または
Si(O−C(=O)−R1)(O−C(=O)−R2)(O−C(=O)−R3)(O−C(=O)−R4)、好ましくはテトラ(アセトキシ)シランSi(O−C(=O)−Me)4
ここで、R,R1,R2,R3,R4,R5,R6は別個にH,O,C1〜C6直線、分岐または環状の炭素鎖である、を有するアルコキシシランまたはアセトキシシランとは独立して反応器に連続的またはパルスによって導入される。好ましくは、ALD方法を通して注入される。好ましいアルコキシシランは、(EtO)3Si−CH2−CH2−Si(OEt)3(BTESE)である。アルキルアミノシランは、基板表面に吸着される(初期段階で、この工程が酸素源の導入の間に、下地金属電極の起こりえる酸化を妨げる)。不活性ガスを用いて反応器からアミノシランを排気するためのパージ時間の後に、酸素/オゾンガス混合物(典型的に:酸素中の5〜20体積%のオゾン)、酸素、水分および/または過酸化水素(H22)またはこれらの組合せからなる酸素源はパルスで導入される。サイクルは、それからアルコキシシランの1パルス、パージガスの1パルス、酸素含有ガスの1パルス、パージガスの1パルスからなる。サイクル数は、所望の経験条件で得られるサイクル当たりの堆積速度を考慮に入れて目標厚さによって決定される。堆積温度は、0.1〜100Torr(13〜13300Pa)の操作圧力で室温と同じ位低くかつ500℃までできる。非常に低酸素かつ低水素量の高品質膜は、0.1〜100Torr(13〜13300Pa)間の圧力で200〜400℃の間で堆積されることが好ましい。
−酸素源と式Si(NCO)4を有するテトラ(イソシアネート)シランは、独立して反応器に連続的またはパルスによって導入される。好ましくは、ALD方法を通して注入される。イシシアネートシランは、基板表面に吸着される(初期段階で、この工程が酸素源の導入の間に、下地金属電極の起こりえる酸化を妨げる)。不活性ガスを用いて反応器からシラン化合物を排気するためのパージ時間の後に、酸素/オゾンガス混合物(典型的に:酸素中の5〜20体積%のオゾン)、酸素、水分および/または過酸化水素(H22)またはこれらの組合せからなる酸素源はパルスで導入される。サイクルは、それからイソシアネートシランの1パルス、パージガスの1パルス、酸素含有ガスの1パルス、パージガスの1パルスからなる。サイクル数は、所望の経験条件で得られるサイクル当たりの堆積速度を考慮に入れて目標厚さによって決定される。堆積温度は、0.1〜100Torr(13〜13300Pa)の操作圧力で室温と同じ位低くかつ500℃までできる。非常に低酸素かつ低水素量の高品質膜は、0.1〜10Torr(13〜1330Pa)間の圧力で200〜400℃の間で堆積されることが好ましい。
−酸素源とALD体制で触媒の可能な使用を伴う一般式(SiH3xR、xは1〜4に変化してもよく、RはH,N,O,CH2,CH2−CH2.SiH2,SiH,Siである、のシラン(シラン、ジシラン、トリシラン、トリシリルアミン)との使用。好ましいシランは、C−フリーシランである。最も好ましくは、シランはトリシリルアミンである。非常に少量(<1%)の触媒は、反応器に導入される。前述のシランは、シリコンウェハ上のそれらの吸着は良好ではないのでALD条件での使用が困難になる。触媒の使用は、基板または下地層上へのシランの吸着を助長する。不活性ガスを用いて反応器からシランを排気するためのパージ時間の後に、酸素/オゾンガス混合物(典型的に:酸素中の5〜20体積%のオゾン)、酸素、水分および/または過酸化水素(H22)またはこれらの組合せからなる酸素源はパルスで導入される。サイクルは、それから触媒の1パルス、パージガスの1パルス、シランの1パルス、パージガスの1パルス、酸素含有ガスの1パルス、1以上のパージ時間からなる。可能な限り、サイクル間の工程数を低減するために触媒の導入はシランと同じに行われ、それからその持続が行われる。触媒は、アミンまたは金属含有分子、好ましくは前期遷移金属、最も好ましくはHf(NEt)4のようなハフニウム含有分子である。いくつかの適用にとって、前記触媒はC−フリーであるべきである。塩化物または硝酸塩、すなわちHfCl4またはHf(NO34は推奨される。サイクル数は、所望の経験条件で得られるサイクル当たりの堆積速度を考慮に入れて目標厚さによって決定される。堆積温度は、0.1〜100Torr(13〜13300Pa)の操作圧力で室温と同じ位低くかつ500℃までできる。非常に低酸素かつ低水素量の高品質膜は、200/500℃の間の温度、0.1〜10Torr間の圧力で堆積されることが好ましい。
好ましくは、本発明に係る方法は次のように遂行される。
基板が反応チャンバ内に収納した後、チャンバ内のガスはまず減圧下、50〜400℃の基板温度にて反応チャンバ内に不活性ガスを供給してパージされる。つづいて、同温度および減圧下で気相シリコン化合物のパルスが反応チャンバ内に導入され、シリコン化合物の極薄い層が吸着により前記基板上に形成される。次いで、未反応(未吸着)のシリコン化合物をそこからパージするために不活性ガスを反応チャンバ内に供給し、この後オゾン含有ガスが反応チャンバ内に導入される。オゾン含有ガスは、基板上に形成されたシリコン化合物の極薄い層を酸化し、それによってシリコン酸化物の極薄い層を形成し、そして不活性ガスが未反応生成物をパージするために反応チャンバ内に注入される。シリコン酸化物膜は、不活性ガスのパージ、気相シリコン化合物のパルス、不活性ガスのパージおよび酸素含有ガスのパルスを繰り返すことによって所望厚さで前記基板上に形成される。
好ましくは、前記基板は半導体装置の製造に用いられるシリコンウェハ(またはSOI)、ウェハ上に堆積された層、または液晶表示装置の製造に用いられるガラス基板、ガラス基板上に堆積された層である。ゲート電極が形成された半導体基板は、特に、シリコン酸化物膜がゲート耐圧の向上を目的として使用される場合、基板として用いられる。
前記チャンバ内の減圧は、好ましくは0.1と1000torr(13〜1330kPa)の間、より好ましくは1〜10torr(133〜1330Pa)である。
前記基板温度は、少なくとも50℃、最高で500℃、より好ましくは200と400℃の間を含むことが好ましく、さらに250〜350℃がより好ましい。
本発明に用いられる不活性ガスは、窒素、アルゴンおよび/またはヘリウムが好ましい。
前記シリコン化合物は、シラン[SiH4]、ジシラン[(SiH32]、トリシラン[(SiH32SiH2]、アルキルシラン[(SiH3nR、ただしRはC1〜C6の直鎖、分岐または環状のアルカンを示す]、トリシリルアミン[(SiH33N]、ジシロキサン[(SiH32O]のようなシリコン水素化物;TEOS[Si(OC254]、TMOS[Si(OCH34]、ビストリエトキシシリルエタン、トリアルキルシリルアルカン[(RO)3Si−Alk−Si(OR)3、ただしRはC1〜C6のアルカン]のようなシリコンアルコキシド、イソシアネートシランSi(NCO)4、アセトキシシラン(Si(−O−C(=O)−CH34および;BDEAS(SiH2(NEt22)を例示できる。
前記シリコン化合物は、常温で気相である場合、例えばシリンダから反応チャンバにパルスされる。また、前記シリコン化合物はTEOSの場合ように常温で液体である場合、それはバブラー技術を用いてチャンバ内にパルスすることができる。特に、前記シリコン化合物溶液は容器内に置かれ、必要に応じて加温し、その容器内に置かれる不活性ガスバブラー管を用い、不活性ガスをそれを通して泡立たせることによって不活性ガス(例えば窒素、アルゴン、ヘリウム)と一緒に同伴され、そしてチャンバに導入される。液体マスフローコントローラと蒸発器の組み合わせも使用できる。
酸素含有混合ガスは、前記シリコン化合物を酸化してシリコン酸化物に変換させる。この混合ガスとしては、オゾンと酸素の混合ガス、オゾン+酸素+窒素、アルゴン、ヘリウムのような不活性ガスの混合ガスを例示できる。この混合ガスのオゾン濃度は、0.1〜20体積%であることが好ましい。0.1体積%未満のオゾン濃度は、低温でシリコン化合物の単原子層の酸化を成し遂げることに伴う問題の見込みを創る。一方、20体積%を超えるオゾン濃度はオゾンの毒性、不安定性および危険性に関連するための取扱いに伴う問題の見込みを創る。
前記気相シリコン化合物のパルスは、前記反応チャンバに例えば1.0〜100sccmの流量で0.1〜10秒間導入することができる。前記酸素含有ガスのパルスは、前記反応チャンバに例えば10〜1000sccmの流量で0.1〜10秒間導入することができる。
本発明は、次の図面を参照して詳細に説明する。
図1において、膜形成装置は反応チャンバ11;不活性ガス供給(例えば窒素ガス)の源である窒素ガスシリンダ12;気相のSi化合物の供給源であるSi化合物ガスシリンダ13;および酸素ガスの供給源である酸素シリンダ14を備える。枚葉式装置の場合、サセプタ(図示せず)が反応チャンバ11内に配置され、1つの半導体基板(図示せず)、例えばシリコン基板がその上に載置される。ヒータは、半導体基板を特定の反応温度に加熱するためにサセプタ内に配置されている。バッチ式装置の場合、5〜200の半導体基板は反応チャンバ11内に収容される。バッチ式装置においては、ヒータは枚葉式装置のヒータと異なる構成のものであってもよい。
前記窒素ガスシリンダ12は、ラインL1を通して前記反応チャンバ11に接続されている。開閉弁V1、流量調節器、例えばマスフローコントローラMFC1はラインL1に上流側から所望に考慮すべき順序で設けられている。開閉弁V2は、前記反応チャンバ11近傍のラインL1に設けられている。
真空ポンプPMPに延びる排気ラインL2は、前記反応チャンバ11の底部に設けられている。圧力計PG1、背圧調整のためのバタフライ弁BVおよび開閉弁V3は、ラインL2に上流側から所望に考慮すべき順序で設けられている。真空ポンプPMPは、ラインL3を通して除害装置15に接続されている。この除害装置15は、ガス種またはその規模に応じて例えば燃焼式除害装置、乾式除害装置を用いることができる。
前記Si化合物ガスシリンダ13は、ラインL4を通して開閉弁V2から上流(開閉弁V2とマスフローコントローラMFC1の間)のラインL1と接続されている。開閉弁V4、マスフローコントローラMFC2、圧力計PG2および開閉弁V5は、ラインL4に上流側から所望に考慮すべき順序で設けられている。ラインL4は、圧力計PG2の上流で分岐され、もたらされる分岐ラインL4’は真空ポンプPMPから上流(真空ポンプPMPと開閉弁V3の間)の排気ラインL2に接続されている。開閉弁V5’は、分岐ラインL4’に設けられている。開閉弁V5、V5’の状態は、一方が開のときに他方が閉になるように同期される。
前記酸素シリンダ14は、オゾン発生器16に延びるラインL5が設けられ、このラインL5は開閉弁V6およびマスフローコントローラMFC3が上流側から所望に考慮すべき順序で設けられている。オゾン発生器16は、ラインL6を通して開閉弁V2から上流(開閉弁V2とマスフローコントローラMFC1の間)のラインL1と接続されている。オゾン濃度計OCS、圧力計PG3および開閉弁V7は、ラインL6に上流側から所望に考慮すべき順序で設けられている。ラインL6は圧力計PG3から上流で分岐され、もたらされる分岐ラインL6’は真空ポンプPMPから上流(真空ポンプPMPと開閉弁V3の間)の排気ラインL2に接続されている。開閉弁V7’は、分岐ラインL6’に設けられている。開閉弁V7、V7’の状態は、一方が開のときに他方が閉になるように同期される。
酸素とオゾン発生器16で発生されたオゾンの混合ガスがラインL6に流れる。酸素ガスの供給流量を一定にした場合、混合ガス中のオゾン濃度の制御は主にオゾン発生器16への圧力と投入電力により依存する。結果として、オゾン濃度はラインL6に設けられたOCSで測定し、その測定値に基づいて前記投入電力およびオゾン発生器16の容器圧力をフィードバック制御する。
シリコン酸化物膜形成の実施形態の方法は、前述した図1〜図3に述べた膜形成装置を用いて以下に説明される。
1)窒素ガスパージ
処理基板、例えば半導体ウェハ(図示せず)は反応チャンバ11内のサセプタ上に載置され、ウェハはそのサセプタに内蔵された温度調節器によりを50〜400℃の温度に加熱される。図1に示すように開閉弁V5、V7を閉じ、これ以外の開閉弁V1〜V4、V6、V5’、V7’を全て開く。図1において、閉じた制御弁は黒で塗りつぶし、開放された制御弁を白抜きとして表示する。また、以下の説明における開閉弁の開閉状態も同様に表示する。
次いで、真空ポンプPMPを駆動することによって排気ラインL2を通して反応チャンバ11内のガスを排気しながら、窒素ガスが窒素シリンダ12からラインL1を通して反応チャンバ11内にマスフローコントローラMFC1でその供給流量の制御の下で導入される。窒素ガスパージはそれによって反応チャンバ11内のガスの排気、窒素ガスの反応チャンバ11内への供給により所望の真空度(例えば0.1〜1000Torr)でなされ、反応チャンバ11の内部が窒素ガスで置換される。
また、初期および窒素ガスパージの工程以降において、酸素ガスが酸素ガスボンベ14からラインL5を通してオゾン発生器16にマスフローコントローラMFC3でその供給流量の制御の下で連続的に供給される。所望の電力はオゾン発生器16に投入され、所望濃度のオゾンを含む酸素(混合ガス)はオゾンと酸素の混合ガスが流通するラインL6に設けられたオゾン濃度計OCSでオゾン量を測定し、その測定値に基づいて前記投入電力およびオゾン発生器16の容器圧力をフィードバック制御しながら、オゾン発生器16からラインL6に供給する。ただし、前述した窒素ガスパージ工程の間に、反応チャンバ11に延びるラインL1と接続されるライン6に存在する開閉弁V7が閉じられ、排気ラインL2と接続される分岐ラインL6’に存在される開閉弁V7’が開かれ、その結果オゾン+酸素の混合ガスは窒素パージ工程の間、反応チャンバ11に供給されず、むしろラインL6、L6’を通して排気ラインL2に供給されることによって排気される。
2)Si化合物ガスのパルス
図1に示す状態からの進行において、図2に示すように分岐ラインL4’の開閉弁V5’が閉じられ、この動作に同期して、ラインL4の開閉弁V5が開く。所望時間後にこれらの各開閉弁V5、V5’の状態が反転される。開閉弁V5の開の期間に、Si化合物ガスシリンダ13からSi化合物ガスが流量制御の下でラインL4からラインL1に供給され、窒素ガスと一緒に反応チャンバ11内にパルスされる。このパルスは、反応チャンバ11内のサセプタに載置された半導体ウェハの加熱された表面にSi化合物の近似した単分子層の吸着をもたらす。
3)窒素ガスパージ
前記Si化合物ガスパルスが導入された後に、窒素ガスパージはラインL4および分岐ラインL4’の開閉弁V5、V5’の状態を図2に示す状態から反転させることによって、図1として遂行される。このとき、反応チャンバ11内に残留した未反応のSi化合物が窒素ガスにより排出され、反応チャンバ11の内部が窒素ガスで再び置換される。
4)オゾン+酸素の混合ガスのパルス
図1に示す状態からからの進行において、図3に示すように分岐ラインL6’の開閉弁V7’が閉じられ、この動作に同期して、ラインL6の開閉弁V7を開かれる。所望時間後に開閉弁V7、V7’の状態が反転される。開閉弁V7が開かれる期間に、オゾンと酸素の混合ガスはラインL6からラインL1に供給され、窒素ガスと一緒に反応チャンバ11内にパルスされる。このパルスの結果、反応チャンバ11内のサセプタに載置された半導体ウェハの加熱された表面に吸着されたSi化合物はオゾン+酸素の混合ガスで酸化され、近似した単分子層の形態で半導体ウェハ表面のシリコン酸化物膜の形成をもたらす。
所望厚さのシリコン酸化物膜は、1)窒素ガスパージ、2)Si化合物ガスのパルス、3)窒素ガスパージおよび4)オゾン+酸素の混合ガスのパルス、のこれら工程を繰り返すことによって半導体ウェハ表面に形成される。4)オゾンと酸素の混合ガスパルスの導入後に、窒素ガスパージはラインL6,分岐ラインL6’の開閉弁V7、V7’の状態を図3に示す状態から反転させることによって、図1として遂行される。このとき、反応チャンバ11内に残留した反応副生物および未反応のオゾン+酸素の混合ガスが窒素ガスにより排出され、反応チャンバ11の内部が窒素ガスで再び置換される。
常温で気相であるSi化合物は前述した図1〜図3に示す膜形成装置を用いるシリコン酸化物膜の形成で気相のSi化合物を例として用いる。しかしながら、Si化合物がTEOSのような常温で液体であるSi化合物を用いる場合、気相のSi化合物はバブラー方式によりを反応チャンバ11内に導入することもできる。特有の点で、バブラーは図1〜図3に示されるSi化合物ガスシリンダ13の位置に設けられ、このバブラーは窒素ガス流通ラインL1のバルブV1から上流で分岐した分岐ラインを連結され、前述した1)窒素ガスパージ、2)Si化合物ガスのパルス、3)窒素ガスパージおよび4)オゾン+酸素の混合ガスのパルスの工程を繰り返すことが可能になる。
ある反応物は、連続的に導入でき、同じに別の反応物はパルス(パルスCVD体制)によって導入できる。
実施形態の進行によれば、Si化合物ガスのパルス導入を通して近似的に単分子層のSi化合物を400℃以下の比較的低温に加熱された処理基板表面への吸着を引き起こし、それから不活性ガス(例えば窒素ガス)パージの後にオゾン含有混合ガス(例えばオゾン+酸素の混合ガス)のパルスを導入することによって、混合ガス中のオゾンの強力な酸化作用で処理基板表面に吸着されたSi化合物を酸化して近似的に単分子層の形態でシリコン酸化物膜の形成が可能になる。また、酸化反応後の不活性ガス(例えば窒素ガス)パージの履行は、既に形成されたシリコン酸化物膜に反応チャンバ内の水分が吸着されるのを防ぐことが可能になる。これは、OH結合の導入が抑制または防止された良好なシリコン酸化物膜を形成することができる。このようなシリコン酸化物膜は、例えば低リーク電流特性に関する優れた特性を有する。
さらに、処理基板表面に吸着されたSi化合物は適量のオゾン(例えば0.1〜20%濃度)を含む混合ガスのパルスにより酸化されるので、CVD法でオゾン含有混合ガスを用いて確認されたる処理基板表面への酸化を防止できる。オゾン含有混合ガスの必要量は低温でパルスによって導入するため、処理基板に対する少ない影響になる。実施形態に係るシリコン酸化物膜の形成において高温に弱い膜、または酸化し易い性質の金属膜、金属シリサイド膜を有する被処理基板を使用することが可能になる。
図4は、本発明に係るSiO2層を含むMOSトランジスタの側面を示す。ウェハ100上のそれぞれのドレイン105およびソース106はゲート絶縁材料のゲート101に位置し、101上に堆積された金属電極102を持つ。保護シリコン酸化物膜103は、ゲート101および金属電極102の側端に横から位置される。
本発明の実施例は前述した図1〜図4を参照して以下に説明する。
(例1)
前述した図1〜図3に示す膜形成装置を用いた。シリコンウェハは、反応チャンバ11内のサセプタに設置し、ウェハは100℃に加熱した。シリコン酸化物膜は、以下に述べる条件を用いる前述した実施形態に従って1)窒素ガスパージ、2)Si化合物ガスパルス、3)窒素ガスパージおよび4)オゾン+酸素の混合ガスのパルスの工程を繰り返して形成した。
1)窒素ガスパージ
・反応チャンバ内圧力:3Torr、
・窒素ガス供給流量:130sccm、
・窒素ガスパージ時間:6秒間。
2)Si化合物ガスのパルス
・反応チャンバ内圧力:3Torr、
・Si化合物ガス:トリシリルアミン(TSA)ガス、
・TSAガス供給流量:2sccm、
・TSAパルス時間:1秒間。
3)窒素ガスパージ
・反応チャンバ内圧力:3Torr、
・窒素ガス供給流量:130sccm、
・窒素ガスパージ時間:6秒間。
4)オゾン+酸素の混合ガスのパルス
・反応チャンバ内圧力:3Torr、
・オゾン+酸素混合ガス(5%オゾン濃度)の供給流量:20sccm、
・混合ガスパルス時間:2秒間。
(例2)
シリコン酸化物膜は例1と同様な方法によりを形成したが、この場合、反応チャンバ11内のサセプタに設置したシリコンウェハを200℃に加熱した。
(例3)
シリコン酸化物膜は例1と同様な方法によりを形成したが、この場合、反応チャンバ11内のサセプタに設置したシリコンウェハを300℃に加熱した。
シリコン酸化物膜の厚さは、例1〜3(例1は50サイクル行った)の本成膜法の各サイクルで測定した。シリコン酸化物膜は例1〜3において約1.2〜1.7Å/サイクルの速度でインキュベーション期間なしで良好な厚さ制御で形成できた。
また、FT−IR分析は200サイクル(ウェハ加熱温度:300℃)後の例3で生成されたシリコン酸化物膜に実行した。300℃の低温での膜生成がOH結合導入が防止された良好なシリコン酸化物膜を提供することを確認した。
表面にモリブデン薄膜を有するシリコンウェハをサンプルとして用い、シリコン酸化物膜は例1〜3と同様な方法(100サイクル)を用いてモリブデン薄膜表面に形成した。シリコン酸化物膜の下地に形成する、モリブデン薄膜の状態の試験が後に続いた。モリブデン薄膜の酸化は、オゾン+酸素の混合ガス(オゾン濃度=5%)が酸化性ガスとして用いても認められなかった。
(例4)
BDEASおよびオゾンを用いるSiO2膜のALD堆積
膜は、図1〜図3の構成を用い、BDEASとオゾン/酸素の混合物を用いるALDによってシリコンおよびイリジウム上に順調に堆積した。
チャンバは、従来のヒータによって加熱される加熱壁反応器であった。オゾン発生器はオゾンを発生し、その濃度は−0.01MPaGで約150g/m3であった。BDEAS(ビス(ジエチルアミノ)シラン、SiH2(NEt22)は、液体アミノシランに不活性ガス(窒素)のバブリングによって反応チャンバ11に導入した。実験条件は:
− 7.0sccm O3
− 93sccm O2
− BDEAS:1sccm[1〜7sccmの範囲内]
− N2:50sccm
− 200と400℃の間の温度範囲
− 操作圧力:1Torr[0.1〜5Torrの範囲内]
− パージおよびパルス時間は典型的にそれぞれ5秒間に設定した、
− サイクル数は典型的に600サイクルに設定した、
であった。
実験は、堆積速度、堆積温度、膜質および膜組成のような膜特性を決定するためになされた。
SiO2膜は、Siウェハ上に得た。堆積は、200,250,300,350および400℃で遂行した。堆積膜はオージェによる内部深さ分析によって窒素のみならず炭素を含んでいた。
堆積されるSiO2膜のサイクル数は変化(350,600および900サイクル)させ、かつ堆積されたSiO2膜はインキュベーション時間なしまたは無視できるほどであることを確認した。
イリジウム上への堆積は、金属電極の起こりうる酸化を観測するためになされた。オージェプロフィルは、ALDSiO2とイリジウム基板間の急峻な界面を示し、それゆえ金属酸化は全く観測されなかった。
(例5)
BDMASおよびオゾンを用いるSiO2膜のALD堆積
同様な実験は、例4と同様な条件で遂行した。高品質膜は、1Torr,250〜300℃の間で0.3Å/サイクルの堆積速度で得た。
(例6)
TriDMASおよびオゾンを用いるSiO2膜のALD堆積
同様な実験は、例4と同様な条件で遂行した。高品質膜は、1Torr,250〜300℃の間で0.2Å/サイクルの堆積速度で得た。
(例7)
TSA,オゾンおよび触媒[Hf(NEt24]を用いるSiO2膜のALD堆積
膜は、窒素で希釈されるHf(NEt24、N,TSA,Nとオゾン/酸素O3/O2混合物(Hf(NEt24にマスフローコントローラを通してバブリングする窒素は反応器11に同様な方法で択一的に供給されるこの触媒とN2の混合物に供する)を択一的に導入するALDによってシリコン上に順調に堆積した。
チャンバは、従来のヒータによって加熱される加熱壁反応器であった。BDEASは、液体アミノシランに不活性ガス(窒素)のバブリングによって炉に導入した。典型的な実験条件は:
− 4sccm O3
− 96sccm O2
− TSA:1sccm[1〜7sccmの範囲内]
− N2:100sccm
− 温度:400℃
− 操作圧力:5Torr
− パルス期間は典型的にそれぞれ5秒間に設定したかつパルス期間10秒間、
− サイクル数は44サイクルであった、
であった。
検出可能レベルのハフニウムを全く持たないシリコン酸化物の薄膜はオージェ分光法で観測された。
本発明の実施形態に係る膜形成方法(不活性ガスのパージ注入期間)に用いられる膜形成装置を示す概略図。 Si化合物ガス注入期間の、図1の膜形成装置を示す概略図。 オゾン/酸素ガスパルスの注入期間の、図1の膜形成装置を示す概略図。 本発明によって堆積されたSiO膜を持つMOSトランジスタの金属ゲートを示す図。 (記載なし)。 (記載なし)。

Claims (15)

  1. a)反応チャンバ内に基板を収納すること;
    b)−BDEAS ビス(ジエチルアミノ)シランSiH2(NEt22の少なくとも1つのシリコン含有化合物を前記反応チャンバに注入すること、
    c)酸素に対するオゾン比が20体積%未満の酸素およびオゾンを含む少なくとも1つのガス混合物を前記反応チャンバに注入すること、
    d)前記基板上に堆積されたシリコン酸化物含有膜を得るために前記反応チャンバ内で少なくとも1つのシリコン含有化合物と少なくとも1つのガス混合物を400℃未満の温度で反応させること、
    e)所期の膜厚が得られるまで工程b)〜d)を繰返すこと、
    の工程を含むシリコン酸化物含有膜の形成方法。
  2. 前記基板は、その導入後、工程b)、c)、d)およびまたはe)をなす前に反応チャンバ内で加熱される請求項1記載の方法。
  3. 少なくとも1つの工程b)および/またはc)は、少なくとも1つの前記化合物および/またはガス混合物の中断された注入によってなされる請求項1または2記載の方法。
  4. パルス化学気相堆積(CVD)または原子層堆積(ALD)は、前記反応チャンバ内でなされる請求項1〜3いずれか記載の方法。
  5. 少なくとも1つの化合物および少なくとも1つのガス混合物の同時注入は、前記反応チャンバ内でなされる請求項1〜4いずれか記載の方法。
  6. 少なくとも1つの化合物および少なくとも1つのガス混合物の択一的な注入は、前記反応チャンバ内でなされる請求項1〜4いずれか記載の方法。
  7. 少なくとも1つの化合物または少なくとも1つのガス混合物は、別の化合物および/または少なくとも1つのガス混合物の注入前に前記基板表面に吸着される請求項1〜6いずれか記載の方法。
  8. 各化合物および/またはガス混合物注入は、前記反応チャンバ内へのパージガス注入の後に続く請求項1〜7いずれか記載の方法。
  9. 化合物および/またはガス混合物注入は、所期のSiO2含有膜厚が得られるまで繰返される請求項1〜8いずれか記載の方法。
  10. 前記反応チャンバ圧力は、最大100Torrである請求項1〜9いずれか記載の方法。
  11. 前記SiO2含有膜中のH結合量は、8×1021原子/cc未満である請求項1〜10いずれか記載の方法。
  12. 前記ガス混合物は、酸素に対するオゾン比が5〜20体積%である請求項1〜11いずれか記載の方法。
  13. 前記ガス混合物は、不活性ガスで希釈される請求項12記載の方法。
  14. 不活性ガスもまた前記反応チャンバ内に導入される請求項1〜13いずれか記載の方法。
  15. 1%より少量のHf(NEt24またはNHEt2の触媒は、前記反応チャンバ内に導入される請求項1〜14いずれか記載の方法。
JP2008501327A 2005-03-17 2006-03-17 シリコン酸化物含有膜の形成方法 Active JP5329218B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008501327A JP5329218B2 (ja) 2005-03-17 2006-03-17 シリコン酸化物含有膜の形成方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005077608 2005-03-17
JP2005077608A JP2006261434A (ja) 2005-03-17 2005-03-17 シリコン酸化膜の形成方法
JP2008501327A JP5329218B2 (ja) 2005-03-17 2006-03-17 シリコン酸化物含有膜の形成方法
PCT/EP2006/060829 WO2006097525A2 (en) 2005-03-17 2006-03-17 Method of forming silicon oxide containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012254063A Division JP5631958B2 (ja) 2005-03-17 2012-11-20 シリコン酸化物含有膜の形成方法

Publications (3)

Publication Number Publication Date
JP2008533731A JP2008533731A (ja) 2008-08-21
JP2008533731A5 JP2008533731A5 (ja) 2012-01-26
JP5329218B2 true JP5329218B2 (ja) 2013-10-30

Family

ID=36764030

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2005077608A Pending JP2006261434A (ja) 2005-03-17 2005-03-17 シリコン酸化膜の形成方法
JP2008501327A Active JP5329218B2 (ja) 2005-03-17 2006-03-17 シリコン酸化物含有膜の形成方法
JP2012254063A Active JP5631958B2 (ja) 2005-03-17 2012-11-20 シリコン酸化物含有膜の形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2005077608A Pending JP2006261434A (ja) 2005-03-17 2005-03-17 シリコン酸化膜の形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012254063A Active JP5631958B2 (ja) 2005-03-17 2012-11-20 シリコン酸化物含有膜の形成方法

Country Status (9)

Country Link
US (2) US8227032B2 (ja)
EP (1) EP1861519B1 (ja)
JP (3) JP2006261434A (ja)
KR (6) KR20090107090A (ja)
CN (1) CN101171366A (ja)
AT (1) ATE482301T1 (ja)
DE (1) DE602006017042D1 (ja)
TW (2) TWI435387B (ja)
WO (1) WO2006097525A2 (ja)

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8187678B2 (en) * 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
JP2008266711A (ja) * 2007-04-19 2008-11-06 Fuji Electric Systems Co Ltd 真空成膜設備
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR100888186B1 (ko) * 2007-08-31 2009-03-10 주식회사 테스 절연막 형성 방법
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
JP5306691B2 (ja) * 2008-04-01 2013-10-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP2009295621A (ja) * 2008-06-02 2009-12-17 Panasonic Corp 半導体装置及びその製造方法
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5616737B2 (ja) * 2009-11-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
US9466476B2 (en) 2010-12-27 2016-10-11 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US9460913B2 (en) 2010-12-27 2016-10-04 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
WO2012107138A1 (en) * 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
DE102011016935A1 (de) * 2011-04-13 2012-10-18 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Licht emittierenden Halbleiterbauelements und Licht emittierendes Halbleiterbauelement
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9128218B2 (en) 2011-12-29 2015-09-08 Visera Technologies Company Limited Microlens structure and fabrication method thereof
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
CN104271797B (zh) * 2012-03-09 2017-08-25 弗萨姆材料美国有限责任公司 显示器件的阻隔材料
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
KR20140026724A (ko) * 2012-08-23 2014-03-06 주식회사 원익아이피에스 박막 제조 방법 및 기판 처리 장치
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2770526B1 (en) 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
JP2014209558A (ja) * 2013-03-27 2014-11-06 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
CN103450801A (zh) * 2013-09-09 2013-12-18 南京工业大学 一种制备微纳米硅基超疏水涂层的方法及其用途
JP2014064039A (ja) * 2013-12-25 2014-04-10 Tokyo Electron Ltd 成膜方法および成膜装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6285545B2 (ja) * 2014-05-29 2018-02-28 京セラ株式会社 太陽電池素子および太陽電池モジュール
RU2586265C2 (ru) * 2014-07-04 2016-06-10 Федеральное Государственное Бюджетное Образовательное Учреждение Высшего Профессионального Образования "Дагестанский Государственный Технический Университет" (Дгту) Способ осаждения тонких пленок на поверхности подложек для изготовления солнечных элементов
US9869017B2 (en) * 2014-07-10 2018-01-16 Applied Materials, Inc. H2/O2 side inject to improve process uniformity for low temperature oxidation process
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10006122B2 (en) 2014-10-02 2018-06-26 American Air Liquide, Inc. Organodisilane precursors for ALD/CVD silicon-containing film applications
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
EP3029175A1 (en) * 2014-12-05 2016-06-08 Basf Se Process for the production of porous thin films
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11365481B2 (en) * 2015-10-06 2022-06-21 City University Of Hong Kong Homogeneous and transparent protective coatings for precious metals and copper alloys
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102527897B1 (ko) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
GB201523156D0 (en) * 2015-12-31 2016-02-17 Pilkington Group Ltd High strength glass containers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN116978778A (zh) * 2016-06-28 2023-10-31 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
FR3059339B1 (fr) * 2016-11-29 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation de films de dioxyde de silicium
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI782021B (zh) * 2017-05-28 2022-11-01 美商應用材料股份有限公司 有機及混合有機無機層的選擇性分子層沉積
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11411120B2 (en) * 2017-09-05 2022-08-09 Ulvac, Inc. Method for manufacturing semiconductor device using plasma CVD process
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
EP3476973A1 (en) * 2017-10-25 2019-05-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process chamber and method for purging the same
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20210130247A (ko) * 2019-03-20 2021-10-29 어플라이드 머티어리얼스, 인코포레이티드 저온의 열 산화물 품질에서 두꺼운 산화물 막들을 성장시키는 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
EP3963122A4 (en) * 2019-05-21 2023-01-25 Versum Materials US, LLC COMPOSITIONS AND PROCESSES USING THEM FOR THERMAL DEPOSITION OF SILICON CONTAINING FILMS
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11482414B2 (en) * 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
CN111755320A (zh) * 2020-05-20 2020-10-09 嘉兴市轩禾园艺技术有限公司 多晶硅功能膜、制备方法及其用途
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7228076B2 (ja) 2021-02-08 2023-02-22 株式会社日立ハイテク ガス供給装置、真空処理装置及びガス供給方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114318292B (zh) * 2021-12-31 2024-03-29 中储粮成都储藏研究院有限公司 一种利用化学气相沉积法包覆阻燃性膜层的方法
US20230279545A1 (en) * 2022-03-04 2023-09-07 Entegris, Inc. Process for preparing silicon-rich silicon nitride films

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3596370A (en) * 1969-12-11 1971-08-03 Gen Electric Thin film capacitor
US4181751A (en) 1978-05-24 1980-01-01 Hughes Aircraft Company Process for the preparation of low temperature silicon nitride films by photochemical vapor deposition
US4597003A (en) * 1983-12-01 1986-06-24 Harry E. Aine Chemical etching of a semiconductive wafer by undercutting an etch stopped layer
US4592132A (en) * 1984-12-07 1986-06-03 Hughes Aircraft Company Process for fabricating multi-level-metal integrated circuits at high yields
JPH01143221A (ja) 1987-11-27 1989-06-05 Nec Corp 絶縁薄膜の製造方法
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JP3149223B2 (ja) * 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JPH05175132A (ja) * 1991-12-20 1993-07-13 Kojundo Chem Lab Co Ltd 半導体装置のケイ素酸化膜の製造法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5304398A (en) 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5632868A (en) * 1994-08-24 1997-05-27 Ebara Corporation Method and apparatus for generating ozone and methods of its use
JP3432997B2 (ja) 1996-04-23 2003-08-04 株式会社東芝 半導体装置に使用する絶縁膜
US5728602A (en) 1996-06-03 1998-03-17 Vlsi Technology, Inc. Semiconductor wafer manufacturing process with high-flow-rate low-pressure purge cycles
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
GB9723222D0 (en) * 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
JP2002514004A (ja) * 1998-05-01 2002-05-14 セシュー ビー デス 化学蒸着によって堆積された酸化物/有機ポリマー多層薄膜
US6087262A (en) * 1998-11-09 2000-07-11 United Microelectronics Corp. Method for manufacturing shallow trench isolation structure
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
EP1772534A3 (en) * 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
JP3941099B2 (ja) 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
KR100425478B1 (ko) * 2002-04-04 2004-03-30 삼성전자주식회사 금속 도전층을 포함한 반도체소자의 제조방법
JP4007044B2 (ja) * 2002-04-19 2007-11-14 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
WO2004017383A2 (en) * 2002-08-18 2004-02-26 Aviza Technology, Inc. Low termperature deposition of silicon oxides and oxynitrides
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
KR100463633B1 (ko) 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
JP4290421B2 (ja) 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP3909320B2 (ja) * 2003-01-27 2007-04-25 三菱マテリアル株式会社 有機金属化学気相成長法用原料の合成方法
US7229703B2 (en) * 2003-03-31 2007-06-12 Dai Nippon Printing Co. Ltd. Gas barrier substrate
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4354732B2 (ja) 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
JP4290508B2 (ja) 2003-08-08 2009-07-08 有限会社幸文堂 連結式印具及び印具
JP4059183B2 (ja) 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
JP2005159316A (ja) 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
JP2005191482A (ja) 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005197561A (ja) * 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor

Also Published As

Publication number Publication date
KR101547093B1 (ko) 2015-08-24
KR101248358B1 (ko) 2013-04-03
WO2006097525A2 (en) 2006-09-21
TW200707582A (en) 2007-02-16
US20120276292A1 (en) 2012-11-01
JP5631958B2 (ja) 2014-11-26
KR20140069192A (ko) 2014-06-09
KR100961805B1 (ko) 2010-06-08
JP2013070077A (ja) 2013-04-18
JP2006261434A (ja) 2006-09-28
KR20150047631A (ko) 2015-05-04
TW201403715A (zh) 2014-01-16
EP1861519B1 (en) 2010-09-22
US20090232985A1 (en) 2009-09-17
WO2006097525A3 (en) 2006-11-23
TWI515794B (zh) 2016-01-01
KR20120044992A (ko) 2012-05-08
ATE482301T1 (de) 2010-10-15
JP2008533731A (ja) 2008-08-21
KR20070114393A (ko) 2007-12-03
KR20130027573A (ko) 2013-03-15
DE602006017042D1 (de) 2010-11-04
EP1861519A2 (en) 2007-12-05
KR20090107090A (ko) 2009-10-12
CN101171366A (zh) 2008-04-30
US8613976B2 (en) 2013-12-24
TWI435387B (zh) 2014-04-21
US8227032B2 (en) 2012-07-24

Similar Documents

Publication Publication Date Title
JP5329218B2 (ja) シリコン酸化物含有膜の形成方法
US20090075490A1 (en) Method of forming silicon-containing films
KR101920702B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101400690B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101611680B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101514231B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101811531B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2008533731A5 (ja)
KR101858345B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101786301B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2022504232A (ja) ケイ素含有膜の高温原子層堆積

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090317

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090317

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111101

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20111125

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130326

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130531

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130625

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130724

R150 Certificate of patent or registration of utility model

Ref document number: 5329218

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250