KR20070114393A - 산화규소 함유 필름의 형성 방법 - Google Patents

산화규소 함유 필름의 형성 방법 Download PDF

Info

Publication number
KR20070114393A
KR20070114393A KR1020077023846A KR20077023846A KR20070114393A KR 20070114393 A KR20070114393 A KR 20070114393A KR 1020077023846 A KR1020077023846 A KR 1020077023846A KR 20077023846 A KR20077023846 A KR 20077023846A KR 20070114393 A KR20070114393 A KR 20070114393A
Authority
KR
South Korea
Prior art keywords
reaction chamber
gas
oxygen
silicon oxide
ozone
Prior art date
Application number
KR1020077023846A
Other languages
English (en)
Other versions
KR100961805B1 (ko
Inventor
크리스챤 듀싸라트
쥴리엔 가티네우
가즈타카 야나기타
에리 츠카다
이쿠오 스즈키
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20070114393A publication Critical patent/KR20070114393A/ko
Application granted granted Critical
Publication of KR100961805B1 publication Critical patent/KR100961805B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

산화규소 필름의 형성 방법은, 반응 챔버 내에 처리 기판을 마련하는 단계와, 50 내지 400℃의 기판 온도로 감압 상태에서 불활성 가스를 반응 챔버 내에 공급함으로써 반응 챔버 내의 가스를 퍼지하는 단계와, 동일한 온도 및 감압 상태에서, 반응 챔버 내로 기상 규소 화합물의 펄스 도입에 의해 처리 기판 상의 규소 화합물을 흡착하는 단계와, 동일 온도 및 감압 상태에서 반응 챔버 내의 흡착되지 않은 규소 화합물을 불활성 가스로 퍼지하는 단계와, 동일 온도 및 감압 상태에서 오존 함유 혼합 가스의 펄스를 반응 챔버 내로 도입하고 처리 기판 상에 흡착된 규소 화합물과의 산화 반응에 의해 산화규소를 생성하는 단계와, 필요에 따라 기판 상에 원하는 두께를 얻기 위하여 상기 단계들을 반복하는 단계를 포함한다.

Description

산화규소 함유 필름의 형성 방법{METHOD OF FORMING SILICON OXIDE CONTAINING FILMS}
본 발명은 규소 전구체 및 산화제 가스를 이용하여 산화규소 함유 필름을 형성하는 방법에 관한 것이다.
CMOS 반도체 장치의 전단부 제조에 있어서는, 각 MOS 트랜지스터의 게이트 전극 상에 SiN 등의 패시베이션 필름이 형성된다. 이 SiN 필름은 각 트랜지스터의 파괴 전압을 증가시키기 위하여 (다결정질 규소 또는 금속 층들 등의) 게이트 전극의 상단면 및 측면에 증착된다.
400℃ 이하인 온도에 도달하기 위하여 그러한 SiN의 증착 온도를 감소시키는 시도가 이루어졌다.
그러나, 400℃ 미만의 온도에서 증착된 SiN 필름은 일반적으로 필름 품질이 좋지 않다. 이 문제를 극복하기 위하여, SiO2 필름을 사용하여 SiN 필름 특성("듀얼 스페이서")을 보강함으로써, 효율적인 전기 장벽층이 장치의 성능을 상당히 개선하게 하는 것이 제안되었다. 또한, SiO2 필름을 STI(shallow trench insulation), ILD(inter layer dielectric)층, 패시베이션층, 에치 정지층으로서 사용하고, 저온에서, 즉 400℃ 미만에서 이 SiO2 층의 증착 공정을 추구하는 시도가 이루어졌다. 듀얼 스페이서 용례의 특별한 경우에, 낮은 증착 온도(최대 300℃)에서 수행되는 매우 얇은(20-50 A 두께의) 필름의 증착이 금속 전극의 산화의 원인되어서는 않되며, 게이트를 따른 모든 곳에서 완벽하게 균일해야 하고, 그러한 요건에는 원자층 증착 공정이 가장 적합하다. STI 용례에 관한 한, 등각 필름이 500℃ 미만에서 높은 증착 속도(분당 수백 A)로 증착되어야 한다.
PECVD 리액터를 이용하여 저온에서 실란과 산소로부터 제조된 산화규소 필름의 증착은 얻어진 SiOx 필름에 Si-H 결합이 편입되기 때문에 상기 용례에 대해서 지금까지는 실패하였는데, 이는 전구체 가스로서 도입된 실란 가스에 수소가 존재하여 비롯된 것일 수 있다. 이후에, 이 Si-H 결합은 Si-OH 결합을 생성하는 환경에서 산소 소스와 필경 쉽게 반응하게 된다.
그러한 Si-H 결합의 존재는 트랜지스터에 누설 전류가 증가되는 우려를 증가시키고, 이에 따라 관련 트랜지스터의 파괴 전압을 감소시킨다.
본 발명자들은 Si 전구체 내의 규소 원자에 결합된 다수의 수소 원자의 존재와 SiO2 필름을 생성시키는 산소 또는 산소 함유 가스의 존재가 또한 Si와 반응하여 SiOH를 만드는 수분(H2O) 형성물을 필경 발생시킨다고 생각한다.
본 발명자들은 또한 규소 함유 화합물이 H2O 발생을 제한하도록 수소 함유 잔류물에 관하여 높은 순도를 갖는 것이 바람직하고, H2 또는 H 함유 화합물의 100 ppm 미만을 함유하는 것이 바람직하다고 생각한다.
본 발명의 주목적은 SiO2 필름 형성 중에 OH 결합의 형성을 방지 또는 제한하도록 400℃ 이하의 온도에서 기판 상에 산화규소를 형성하는 방법을 제공하는 것이다.
본 발명에 따르면, 산화규소 함유 필름의 형성 방법으로서,
a)기판을 반응 챔버 내에 마련하는 단계와,
b)-화학식 (R1R2N)xSiH4-x를 갖는 아미노실란(여기서, R1과 R2는 H, C1-C4 선형, 분지형 또는 환형 탄소 사슬이고 x는 1 내지 4임)
-화학식 Si(OR1)(OR2)(OR3)(OR4) 또는
(OR1)(OR2)(OR3)SiSi(OR4)(OR5)(OR6) 또는
(OR1)(OR2)(OR3)SiRSi(OR4)(OR5)(OR6) 또는
Si(O-C(=O)-R1)(O-C(=O)-R2)(O-C(=O)-R3)(O-C(=O)-R4),
바람직하게는 테트라(아세톡시)실란 Si(O-C(=O)-Me)4(여기서, R, R1, R2, R3, R4, R5, R6은 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬)를 갖는 알콕시실란 또는 아세톡시실란
-화학식 (SiH3)nR(여기서, n은 1 내지 4이고, R은 H, N, O, CH2, CH2-CH2, SiH2, SiH, Si로 이루어지는 군에서 선택됨)을 갖는 실란
-화학식 Si(NCO)4를 갖는 테트라(이소시아나토)실란
으로 이루어지는 군에서 선택된 적어도 하나의 규소 함유 화합물을 반응 챔버 내로 주입하는 단계와,
c)바람직하게는 오존, 산소 및/또는 수분(물)으로 이루어지는 군에서 선택된 적어도 하나의 산소 함유 가스를 반응 챔버 내로 주입하는 단계와,
d)반응 챔버 내에서 규소 함유 화합물 중 적어도 하나와 오존 함유 가스 중 적어도 하나를 400℃ 미만의 온도에서 반응시켜 기판 상에 증착된 산화규소 함유 필름을 얻는 단계와,
e)원하는 필름 두께를 얻을 때까지 단계 b) 내지 d)를 반복하는 단계
를 포함하는 산화규소 함유 필름의 형성 방법이 제공된다.
바람직하게는, 상기 기판은 도입 후에 반응 챔버 내에서 단계 b), c), d) 및/또는 e)를 수행하기 전에 바람직하게는 반응 챔버 온도까지 가열된다.
본 발명의 바람직한 실시예에 따르면, 단계 b) 및 c) 중 하나 이상은 상기 화합물 및 가스 중 하나 이상의 불연속적인 주입에 의해 수행된다. 예컨대, 반응 챔버 내에서는 펄스된 CVD 또는 ALD가 수행된다.
상기 반응 챔버 내에는 적어도 하나의 화합물과 적어도 하나의 산소 함유 가스의 동시 주입이 수행되고, 상기 반응 챔버 내에는 적어도 하나의 화합물과 적어도 하나의 산소 함유 가스의 교호 주입을 제공하는 것이 바람직하다.
다른 실시예에 따르면, 다른 화합물 및/또는 적어도 하나의 산소 함유 가스의 주입 전에 기판의 표면 상에 적어도 하나의 화합물 또는 적어도 하나의 산소 함유 가스가 흡착된다.
바람직하게는, 각 화합물 및/또는 산소 함유 가스의 주입 후에 반응 챔버 내에 불활성 가스 주입 등의 퍼지 가스 주입이 수행되고, 보다 바람직하게는, 화합물 및/또는 가스 주입은 원하는 SiO2 함유 필름의 두께가 얻어질 때까지 반복된다. 반응 챔버 내의 압력은 바람직하게는 100 torr 이하, 보다 바람직하게는 5 torr 이하이다. 바람직하게는, SiO2 함유 필름 내에 H 결합 함량은 8·1021 원자/cc 미만이다.
다른 실시예에 따르면, 오존 함유 가스는 오존(O3) 대 산소(O2)의 비율이 30 용적% 미만, 바람직하게는 5 내지 20 용적%인 산소와 오존을 포함하는 가스 혼합물이다.
산소와 오존 가스 혼합물은 불활성 가스, 바람직하게는 질소로 희석되는 것이 바람직하다.
실란 함유 화합물은 100 ppm 미만의 H2를 포함하고, 이하의 군에서 선택되는 것이 바람직하다.
(TSA) 트리실릴아민 (SiH3)3N
DSO 디실록산 (SiH3)2O
BDEAS 비스(디에틸아미노)실란 SiH2(NEt2)2
BDMAS 비스(디메틸아미노)실란 SiH2(NMe2)2
TriDMAS 트리스(디에틸아미노)실란 SiH(NME2)3
비스(트리메틸실릴아민)실란 SiH2(NHSiMe3)2
TICS 테트라(이소시아나토)실란 Si(NCO)4
TEAS 테트라키스(에틸아미노)실란 Si(NHEt)4
TEOS 테트라키스(에톡시)실란 Si(OEt)4
BTESE 비스(트리에톡시실릴)에탄 (EtO)3Si-CH2-CH2-Si(OEt)3
TAS 테트라(아세톡시)실란 Si(-O-C(=O)-Me)4
본 발명은 또한 500℃ 이하의 저온에서 필름 형성 중에 OH 결합을 억제하거나 방지하는 산화규소 필름의 형성 방법을 제공하며, 여기서 산화규소 필름의 두께는 쉽게 제어되고, 산화규소 필름은 신뢰성이 높아서, 예컨대 게이트 전극 측면에 인가될 때에 누설 전류를 감소시킨다.
본 발명의 방법은 또한 특히 각 주입 사이에 질소 퍼지를 갖는 ALD 공정을 이용하여 증착될 때에 간극 충전 용례 또는 DRAM용 캐패시터 전극에 유용한 매우 높은 정합성을 갖는 SiO2 필름, 즉 표면 상의 공동을 모두 메꿔서 균일한 SiO2 층을 제공하는 필름을 제공한다.
바람직한 실시예:
본 발명에 따른 산화규소 필름의 형성 방법을 이하에 상세히 설명한다. 상기 방법은 이하를 포함한다.
-산소 소스와 일반식 (R1R2N)xSiH4 -x를 갖는 아미노실란의 사용, 여기서, x는 1 내지 4이고, R1과 R2는 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬이고 리액터 내에 연속적으로 또는 펄스에 의해 독립적으로 도입되고, 바람직하게는 ALD 공정을 통해 주입된다.
바람직하게는, 알킬아미노실란은 비스(디에틸아미노)실란(BDEAS), 비스(디메틸아미노)실란(BDMAS) 또는 트리스(디메틸아민)실란(TriDMAs)이다. 알킬아미노실란은 기판의 표면 상에 흡착된다(초기 스테이지에서, 이 단계는 산소 소스의 도입 중에 아래에 위치한 금속 전극의 가능한 산화를 방지한다). 불활성 가스를 이용하여 리액터로부터 아미노실란을 배출하는 퍼지 시간 후에, 산소/오존 가스 혼합물(통상, 산소 중에 5-20 용적%의 오존)로 이루어질 수 있는 산소 소스, 산소, 수분 및/또는 과산화수소(H2O2) 또는 그 조합이 펄스에 의해 도입된다. 이어서, 사이클은 아미노실란의 한 펄스, 퍼지 가스의 한 펄스, 산소 함유 가스의 한 펄스, 퍼지 가스의 한 펄스로 이루어진다. 사이클의 수는 소정의 실험 조건에서 얻어진 사이클 당 증착 속도를 고려하여 목표로 한 두께에 의해 결정된다. 증착 온도는 0.1 내지 100 torr(13 내지 13300 Pa)의 작동 압력에서 가능한 한 실온보다 낮고 최대 500℃일 수 있다. 바람직하게는, 0.1 내지 10 torr(13 내지 1330 Pa)의 압력, 200 내지 400℃에서 탄소와 수소 함량이 매우 낮은 고품질의 필름이 증착된다.
-화학식 Si(OR1)(OR2)(OR3)(OR4) 또는
(OR1)(OR2)(OR3)SiSi(OR4)(OR5)(OR6) 또는
(OR1)(OR2)(OR3)SiRSi(OR4)(OR5)(OR6) 또는
Si(O-C(=O)-R1)(O-C(=O)-R2)(O-C(=O)-R3)(O-C(=O)-R4),
바람직하게는 테트라(아세톡시)실란 Si(O-C(=O)-Me)4(여기서, R, R1, R2, R3, R4, R5, R6은 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬)를 갖는 알콕시실란 또는 아세톡시실란과 산소 소스의 사용, 이들은 독립적으로 리액터 내에 연속적으로 또는 펄스에 의해 도입되고, 바람직하게는 ALD 공정을 통해 주입된다. 알콕시실란은 (EtO)3Si-CH2-CH2-Si(OEt)3인 것이 바람직하다. 알콕시실란은 기판의 표면 상에 흡착된다(초기 스테이지에서, 이 단계는 산소 소스의 도입 중에 아래에 위치한 금속 전극의 가능한 산화를 방지한다). 불활성 가스를 이용하여 리액터로부터 아미노실란을 배출하는 퍼지 시간 후에, 산소/오존 가스 혼합물(통상, 산소 중에 5-20 용적%의 오존)로 이루어질 수 있는 산소 소스, 산소, 수분 및/또는 과산화수소(H2O2) 또는 그 조합이 펄스에 의해 도입된다. 이어서, 사이클은 알콕시실란의 한 펄스, 퍼지 가스의 한 펄스, 산소 함유 가스의 한 펄스, 퍼지 가스의 한 펄스로 이루어진다. 사이클의 수는 소정의 실험 조건에서 얻어진 사이클 당 증착 속도를 고려하여 목표로 한 두께에 의해 결정된다. 증착 온도는 0.1 내지 100 torr(13 내지 13300 Pa)의 작동 압력에서 가능한 한 실온보다 낮고 최대 500℃일 수 있다. 바람직하게는, 0.1 내지 10 torr(13 내지 1330 Pa)의 압력, 200 내지 400℃에서 탄소와 수소 함량이 매우 낮은 고품질의 필름이 증착된다.
- 독립적으로 리액터 내에 연속적으로 또는 펄스에 의해 도입되고, 바람직하게는 펄스 CVD 공정을 통해 주입되는 화학식 Si(NCO)4를 갖는 테트라(이소시아나토)실란과 산소 소스의 사용. 이소시아나토실란은 기판의 표면 상에 흡착된다(초기 스테이지에서, 이 단계는 산소 소스의 도입 중에 아래에 위치한 금속 전극의 가능한 산화를 방지한다). 불활성 가스를 이용하여 리액터로부터 실란 화합물을 배출하는 퍼지 시간 후에, 산소/오존 가스 혼합물(통상, 산소 중에 5-20 용적%의 오존)로 이루어질 수 있는 산소 소스, 산소, 수분 및/또는 과산화수소(H2O2) 또는 그 조합이 펄스에 의해 도입된다. 이어서, 사이클은 이소시아나토실란의 한 펄스, 퍼지 가스의 한 펄스, 산소 함유 가스의 한 펄스, 퍼지 가스의 한 펄스로 이루어진다. 사이클의 수는 소정의 실험 조건에서 얻어진 사이클 당 증착 속도를 고려하여 목표로 한 두께에 의해 결정된다. 증착 온도는 0.1 내지 100 torr(13 내지 13300 Pa)의 작동 압력에서 가능한 한 실온보다 낮고 최대 500℃일 수 있다. 바람직하게는, 0.1 내지 10 torr(13 내지 1330 Pa)의 압력, 200 내지 400℃에서 탄소와 수소 함량이 매우 낮은 고품질의 필름이 증착된다.
-산소 소스와, 일반식 (SiH3)xR(여기서, x는 1 내지 4일 수 있고, R은 ALD 체제에서 촉매의 사용이 가능한 H, N, O, CH2, CH2-CH2, SiH2, SiH, Si를 포함하는 군에서 선택됨)을 갖는 실란(실란, 디실란, 트리실란, 트리실릴아민)의 사용. 실란은 C가 없는 실란인 것이 바람직하다. 실란은 트리실릴아민인 것이 가장 바람직하다. 리액터 내에는 매우 작은 양(1% 미만)의 촉매가 도입될 수 있다. 전술한 실란은 실리콘 웨이퍼 상에 실란의 흡착이 좋지 않기 때문에 ALD 조건에 사용하기 어렵다. 촉매의 사용은 기판 또는 기저층 상에 실란의 흡착에 일조한다. 불활성 가스를 이용하여 리액터로부터 실란을 배출하는 퍼지 시간 후에, 산소/오존 가스 혼합물(통상, 산소 중에 5-20 용적%의 오존)로 이루어질 수 있는 산소 소스, 산소, 수분 및/또는 과산화수소(H2O2) 또는 그 조합이 펄스에 의해 도입된다. 이어서, 사이클은 촉매의 한 펄스, 퍼지 가스의 한 펄스, 실란의 한 펄스, 퍼지 가스의 한 펄스, 산소 소스의 한 펄스, 추가 일회의 퍼지 시간으로 이루어진다. 촉매의 도입은 실란과 동시에 수행되는 것이 가능하여, 이에 따라 사이클 중에 단계의 수와 그 기간을 감소시킬 수 있다. 촉매는 아민 또는 금속 함유 분자, 바람직하게는 조기 천이 금속을 함유하는 분자, 가장 바람직하게는 Hf(NEt2)4 등의 하프늄 함유 분자이다. 몇몇 용례의 경우, 촉매에는 C가 없다. 따라서, 할로겐 화합물 또는 질산염, 예컨대 HfCl4 또는 Hf(NO3)4가 제안된다. 사이클의 수는 소정의 실험 조건에서 얻어진 사이클 당 증착 속도를 고려하여 목표로 한 두께에 의해 결정된다. 증착 온도는 0.1 내지 100 torr(13 내지 13300 Pa)의 작동 압력에서 가능한 한 실온보다 낮고 최대 400℃일 수 있다. 바람직하게는, 0.1 내지 10 torr(13 내지 1330 Pa)의 압력, 200 내지 500℃의 온도에서 탄소와 수소 함량이 매우 낮은 고품질의 필름이 증착된다.
본 발명에 따른 방법은 다음과 같이 수행되는 것이 바람직하다.
기판을 반응 챔버 내에 도입한 후에, 반응 챔버 내의 가스는 먼저 50 내지 400℃의 기판 온도 및 감압 상태에서 불활성 가스를 반응 챔버 내로 공급함으로써 퍼지된다. 이어서, 동일한 온도 및 감압 상태에서, 기상 규소 화합물의 펄스가 반응 챔버 내로 운반되어 매우 얇은 층의 규소 화합물이 흡착에 의해 처리 기판 상에 형성된다. 이후에, 반응 챔버 내에 불활성 가스를 공급하여 반응 챔버로부터 반응되지 않은(흡착되지 않은) 규소 화합물을 퍼지하고, 그 후에 산소 함유 가스의 펄스가 반응 챔버 내로 운반된다. 오존 함유 가스는 기판 상에 흡착된 매우 얇은 층의 규소 화합물을 산화함으로써, 매우 얇은 층의 산화규소를 형성하고, 반응되지 않은 부산물을 퍼지하도록 불활성 가스가 반응 챔버 내로 주입된다. 이 불활성 가스 퍼지, 기상 규소 화합물 펄스, 불활성 가스 퍼지 및 산소 함유 혼합 가스 펄스의 순서를 반복함으로써, 기판 상에 원하는 두께로 산화규소 필름이 형성된다.
기판은 반도체 장치의 제조에 사용되는 규소 웨이퍼(또는 SOI), 웨이퍼 상에 증착된 층, 액정 디스플레이 장치의 제조에 사용되는 유리 기판, 또는 유리 기판 상에 증착된 층인 것이 바람직하다. 특히 산화규소 필름이 게이트 파괴 전압을 개선하기 위해 사용되는 경우에, 게이트 전극이 상부에 형성된 반도체 기판이 기판으로서 사용된다.
반응 챔버 내의 감압은 바람직하게는 0.1 내지 1000 torr(13 내지 1330 kPa), 보다 바람직하게는 1 내지 10 torr(133 내지 1330 kPa)이다.
기판의 온도는 바람직하게는 적어도 50℃이고 최대 500℃이며, 보다 바람직하게는 200 내지 400℃이지만, 250 내지 350℃가 더 더욱 바람직하다.
본 발명의 방법에 사용된 불활성 가스는 바람직하게는, 질소, 아르곤 및/또는 헬륨이다.
전술한 규소 화합물의 예는 실란[SiH4], 디실란[(SiH3)2], 트리실란[(SiH3)2SiH2], 알킬실란[(SiH3)nR, 여기서 R은 C1 내지 C6 직선형 사슬, 분지형 또는 환형 알칸], 트리실릴아민[(SiH3)3N] 및 이실록산[(SiH3)2O] 등의 규소 수산화물과; TEOS[Si(OC2H5)4], TMOS[Si(OCH3)4], 비스트리에톡시실릴에탄 및 트리알킬실릴알칸[(RO)3Si-Alk-Si(OR)3, 여기서 R은 C1 내지 C6 알칸] 등의 규소 알콕사이드오; 이소시아나토실란 Si(NCO)4, 아세톡시실란(Si(-O-C(=O)-CH3)4 및 BDEAS(SiH2(NEt2)2)일 수 있다.
규소 화합물은, 예컨대 대기 온도에서 기상일 때에 실린더로부터 반응 챔버 내로 펄스되는 것이 바람직하다. 규소 화합물이 TEOS의 경우와 같이 대기 온도에서 액체인 경우에는 버블러 기술을 이용하여 챔버 내로 펄스될 수 있다. 구체적으로, 규소 화합물을 용기 내에 배치하고 필요에 따라 가열하며, 용기 내에 배치된 불활성 가스 버블러 튜브를 이용하여 불활성 가스(예컨대, 질소, 아르곤, 헬륨)를 규소 화합물을 통해 발포시킴으로써 불활성 가스 중에 포획한 다음 챔버 내로 도입한다. 액체 질량 유동 제어기와 증발기의 조합이 또한 이용될 수 있다.
산소 함유 혼합 가스는 규소 화합물을 산화시켜 규소 산화물로 전환시킨다. 이 혼합 가스의 예로는 오존과 산소의 혼합 가스와, 오존 + 산소 + 불활성 가스(예컨대, 질소, 아르곤 또는 헬륨)의 혼합 가스일 수 있다. 이 혼합 가스 중에 오존의 농도는 0.1 내지 20 용적%가 바람직하다. 0.1 용적% 미만의 오존 농도는 저온에서 규소 화합물의 단원자층의 전반적인 산화에 영향을 미치는 문제의 가능성을 유발한다. 한편, 20 용적%를 초과하는 오존 농도는 오존의 관련 독성, 불안정성 및 위험성으로 인해 취급 문제의 가능성을 유발한다.
기상 규소 화합물의 펄스는 반응 챔버 내로, 예컨대 1.0 내지 100 sccm의 유량으로 0.1 내지 10초 동안 운반될 수 있다. 산소 함유 가스의 펄스는 반응 챔버 내로, 예컨대 10 내지 1000 sccm의 유량으로 0.1 내지 10초 동안 운반될 수 있다.
이하, 본 발명을 이하의 도면을 참조하여 상세히 설명한다.
도 1은 (불활성 가스 퍼지 주입 중에) 본 발명의 실시예에 따른 필름 형성 방법에 사용되는 필름 형성 장치의 개략도.
도 2는 Si 화합물 가스 주입 중에 도 1의 필름 형성 장치의 개략도.
도 3은 오존/산소 가스 펄스의 주입 중에 도 1의 필름 형성 장치의 개략도.
도 4는 본 발명에 따라 증착된 SiO2 필름을 갖는 MOS 트랜지스터의 금속 게이트의 도면.
도 1에 있어서, 필름 형성 장치에는 반응 챔버(11)와, 불활성 가스(예컨대, 질소 가스)의 공급 소스인 질소 가스 실린더(12)와, 기상 Si 화합물의 공급 소스인 Si 화합물 가스 실린더(13)와, 산소 가스의 공급 소스인 산소 실린더(14)가 마련되어 있다. 단일 웨이퍼 장치의 경우에, 반응 챔버(11) 내에는 서셉터(도시 생략)가 배치되고, 하나의 반도체 기판(도시 생략), 예컨대 규소 기판이 서셉터 위에 실장된다. 서셉터 내에는 반도체 기판을 특정한 반응 온도로 가열하기 위하여 히터가 마련된다. 배치형(batch-type) 장치의 경우에, 반응 챔버(11) 내에는 5 내지 200개의 반도체 기판이 유지된다. 배치형 장치의 히터는 단일 웨이퍼 장치에서의 히터와 상이한 구조를 가질 수 있다.
질소 가스 실린더(12)는 라인(L1)을 통해 반응 챔버(11)에 연결된다. 라인(L1)에는 상류측으로부터 고려하여 제공된 순서로 차단 밸브(V1)와 유량 제어기, 예컨대 질량 유동 제어기(MFC1)가 마련된다. 반응 챔버(11) 근처에서 라인(L1)에는 또한 차단 밸브(V2)가 마련된다.
반응 챔버(11)의 바닥에는 진공 펌프(PMP)로 연장되는 배출 라인(L2)이 마련 된다. 라인(L2)에는 상류측으로부터 고려하여 제공된 순서로 압력 게이지(PG1)와, 배압 제어용 버터플라이 밸브(BV)와, 차단 밸브(V3)가 마련된다. 진공 펌프(PMP)는 라인(L3)을 통해 해독 장치(15)에 연결된다. 이 해독 장치(15)는, 예컨대 가스 종류와 그 레벨에 따라 연소형 해독 장치나 건식형 해독 장치일 수 있다.
Si 화합물 가스 실린더(13)는 라인(L4)을 통해 [차단 밸브(V2)와 질량 유동 제어기(MFC1) 사이에서] 차단 밸브(V2)의 상류측의 라인(L1)에 연결된다. 차단 밸브(V4)와, 질량 유동 제어기(MFC2)와, 압력 게이지(PG2)와, 차단 밸브(V5)는 상류측으로부터 고려하여 제공된 순서로 라인(L4)에 배치된다. 라인(L4)은 압력 게이지(PG2)의 상류측에서 분기되며, 그 결과로 생긴 분기 라인(L4')은 [진공 펌프(PMP)와 차단 밸브(V3) 사이에서] 진공 펌프(PMP)의 상류측의 배출 라인(L2)에 연결된다. 차단 밸브(V5')는 분기 라인(L4')에 마련된다. 차단 밸브(V5와 V5')의 상태는 하나가 개방될 때에 다른 하나가 폐쇄되는 방식으로 동기화된다.
산소 실린더(14)에는 오존 발생기(16)로 연장되는 라인(L5)이 마련된다. 이 라인(L5)에는 상류측으로부터 고려하여 제공되는 순서로 차단 밸브(V6)와 질량 유동 제어기(MFC3)가 마련된다. 오존 발생기(16)는 라인(L6)을 통해 [차단 밸브(V2)와 질량 유동 제어기(MFC1) 사이에서] 차단 밸브(V2)의 상류측의 라인(L1)에 연결된다. 오존 농도 센서(OCS)와, 압력 게이지(PG3)와, 차단 밸브(V7)는 상류측으로부터 고려하여 제공되는 순서로 라인(L6)에 마련된다. 라인(L6)은 또한 압력 게이지(PG3)의 상류측에서 분기되며, 그 결과로 생긴 분기 라인(L6')은 [진공 펌프(PMP)와 차단 밸브(V3) 사이에서] 진공 펌프(PMP)의 상류측의 배출 라인(L2)에 연결된다. 차단 밸브(V7')는 분기 라인(L6')에 마련된다. 차단 밸브(V7과 V7')의 상태는 하나가 개방될 때에 다른 하나가 폐쇄되는 방식으로 동기화된다.
오존 발생기(16)에 의해 생성된 오존과 산소의 혼합 가스는 라인(L6)으로 유동한다. 일정한 산소 가스 공급 유량과 혼합 가스에서의 오존 농도의 제어는 주로 오존 발생기에 인가된 압력 및 전력에 따라 좌우된다. 그 결과, 오존의 농도는 라인(L6)에 배치된 오존 농도 센서(OCS)로 오존의 레벨을 측정하고 오존 발생기(16)의 인가된 전력 및 용기 압력을 상기 측정된 값을 기초로 하여 피드백 제어함으로써 제어된다.
이하, 산화규소 필름을 형성하는 방법의 실시예를 도 1 내지 도 3에 도시된 필름 형성 장치를 이용하여 설명한다.
1) 질소 가스 퍼지
처리 기판, 예컨대 반도체 웨이퍼(도시 생략)를 반응 챔버(11) 내에서 서셉터 상에 실장하고 반도체 웨이퍼를 서셉터에 통합된 온도 조절기에 의해 50 내지 400℃로 가열한다. 도 1에 도시된 바와 같이, 차단 밸브(V5와 V7)가 폐쇄되고, 다른 차단 밸브(V1 내지 V4, V6, V7')는 모두 개방된다. 폐쇄된 제어 밸브는 도 1에 흑색으로 도시되고, 개방된 제어 밸브는 백색으로 도시되어 있다. 이하의 설명에서 차단 밸브들의 상태는 동일한 방식으로 도시된다.
진공 펌프(PMP)의 작동에 의해 배출 라인(L2)을 통해 반응 챔버(11) 내의 가스를 배출하면서, 질소 가스 실린더(12)로부터 라인(L1)을 통해 질량 유동 제어기(MFC1)에 의한 공급 원료 유량 제어하에 반응 챔버(11) 내로 질소 가스가 도입된 다. 이에 의해, 질소 가스 퍼지는 반응 챔버(11) 내의 가스를 배출하고 반응 챔버(11) 내로 질소 가스를 공급하여 반응 챔버(11)의 내부를 질소 가스로 대체함으로써 원하는 진공(예컨대, 0.1 내지 1000 torr)으로 수행된다.
전술한 질소 가스 퍼지 단계를 개시하여 계속하면, Si 화합물은 질량 유동 제어기(MFC2)에 의한 공급 유량 제어하에 Si 화합물 가스 실린더(13)로부터 라인(L4)으로 계속 공급된다. 그러나, 전술한 질소 가스 퍼지 단계 중에, 반응 챔버(11)로 연장되는 라인(L1)에 연결되는 라인(L4)에 존재하는 차단 밸브(V5)가 폐쇄되고, 배출 라인(L2)에 연결되는 분기 라인(L4')에 존재하는 차단 밸브(V5')가 개방되며, 그 결과 Si 화합물 가스는 질소 가스 퍼지 단계 중에 반응 챔버(11) 내로 공급되지 않고, 오히려 라인(L4와 L4')을 통한 공급에 의해 배출 라인(L2)으로 배출된다.
또한, 전술한 질소 가스 퍼지 단계를 개시하여 계속하면, 산소 가스는 질량 유동 제어기(MFC3)에 의한 공급 유량 제어하에 산소 가스 실린더(14)로부터 라인(L5)을 통해 오존 발생기(16)로 계속 공급된다. 원하는 전력 레벨이 오존 발생기(16)에 인가되고, 오존과 산소의 혼합 가스가 통과하는 라인(L6)에 마련된 오존 농도 센서(OCS)에 의해 오존 레벨을 측정하며, 그 결과적인 측정된 값을 기초로 하여 오존 발생기(16)의 인가된 전력 및 용기 압력의 피드백 제어를 실행하면서 원하는 농도의 산호 함유 오존(혼합 가스)이 오존 발생기(16)로부터 라인(l6)으로 공급된다. 그러나, 전술한 질소 가스 퍼지 단계 중에, 반응 챔버(11)로 연장되는 라인(L1)에 연결되는 라인(L6)에 존재하는 차단 밸브(V7)가 폐쇄되고, 배출 라인(L2) 에 연결되는 분기 라인(L6')에 존재하는 차단 밸브(V7')가 개방되며, 그 결과 이 오존 + 산소의 혼합 가스는 질소 가스 퍼지 단계 중에 반응 챔버(11) 내로 공급되지 않고, 오히려 라인(L6과 L6')을 통한 공급에 의해 배출 라인(L2)으로 배출된다.
2) Si 화합물 가스 펄스
도 1에 도시된 상태로부터 계속하여, 도 2에 도시된 바와 같이, 분기 라인(L4')의 차단 밸브(V5')가 폐쇄되고, 이 작동과 동기하여, 라인(L4)의 차단 밸브(V5)가 개방된다. 원하는 시간의 주기 후에, 이들 차단 밸브(V5와 V5')의 각각의 상태가 역전된다. 차단 밸브(V5)가 개방되는 간격 중에, Si 화합물 가스 실린더(13)로부터의 Si 화합물 가스는 유량 제어하에 라인(L4)으로부터 라인(L1)으로 공급되어 질소 가스와 함께 반응 챔버(11) 내로 펄스된다. 이 펄스로 인해 반응 챔버(11) 내의 서셉터 상에 실장된 반도체 웨이퍼의 가열된 표면 상에 Si 화합물의 대략 단분자층이 흡착된다.
3) 질소 가스 퍼지
Si 화합물 가스 펄스가 운반된 후에, 라인(L4)과 분기 라인(L4')의 차단 밸브(V5와 V5')의 상태를 도 2의 상태로부터 역전시킴으로써 도 1에서와 같이 질소 가스 퍼지가 수행된다. 이 질소 가스 퍼지가 수행되면, 반응 챔버(11) 내에 잔류하는 반응하지 않은 Si 화합물이 질소 가스에 의해 배출되어 반응 챔버(11)의 내부가 다시 질소 가스로 대체된다.
4) 오존 + 산소 혼합 가스 펄스
도 1에 도시된 상태로부터 계속하여, 도 3에 도시된 바와 같이, 분기 라 인(L6')의 차단 밸브(V7')가 폐쇄되고, 이 작동에 동기하여 라인(L6)의 차단 밸브(V7)가 개방된다. 원하는 시간의 주기 후에, 이들 차단 밸브(V7과 V7')의 각각의 상태가 역전된다. 차단 밸브(V7)가 개방되는 간격 중에, 위의 오존과 산소의 혼합 가스가 라인(L6)으로부터 라인(L1)으로 공급되어 질소 가스와 함께 반응 챔버(11) 내로 펄스된다. 이 펄스의 결과로서, 반응 챔버(11) 내의 서셉터 상에 실장된 반도체 웨이퍼의 가열된 표면에 흡착된 Si 화합물이 오존 + 산소 혼합 가스에 의해 산화되고, 그 결과 산화규소 필름이 대략 단분자층의 형태로 반도체 웨이퍼의 표면 상에 형성된다.
원하는 두께의 산화규소 필름은 이들 단계들, 즉 1)질소 가스 퍼지, 2)Si 화합물 가스 펄스, 3)질소 가스 퍼지, 4)오존 + 산소 혼합 가스 펄스를 반복함으로써 반도체 웨이퍼의 표면 상에 형성된다. 4)오존 + 산소 혼합 가스 펄스의 운반 후에, 라인(L6)과 분기 라인(L6')에 있는 차단 밸브(V7)의 상태를 도 3의 상태로부터 역전시킴으로써 질소 가스 퍼지가 도 1에서와 같이 수행된다. 이 질소 가스 퍼지가 수행되면, 반응 챔버(11) 내에 잔류하는 반응 부산물과 반응하지 않은 오존 + 산소 혼합 가스가 질소 가스에 의해 배출되어 반응 챔버(11)의 내부가 질소 가스로 다시 대체된다.
대기 온도에서 기상인 Si 화합물은 도 1 내지 도 3에 도시되고 전술한 필름 형성 장치를 이용한 산화 규소 필름의 형성시에 기상 Si 화합물의 일례로서 사용된다. 그러나, TEOS와 같이 대기 온도에서 액상인 Si 화합물이 사용되는 경우에, 기상 Si 화합물은 버블러 절차를 이용하여 반응 챔버(11) 내로 여전히 도입될 수 있 다. 특정한 용례에 있어서, 버블러는 도 1 내지 도 3에 도시된 Si 화합물 가스 실린더(13) 대신에 마련되고, 이 버블러는 질소 가스 운반 라인(L1)에서 차단 밸브(V1)의 상류측에서 분기되는 분기 라인에 연결되어, 1)질소 가스 퍼지, 2)Si 화합물 가스 펄스, 3)질소 가스 퍼지, 4)오존 + 산소 혼합 가스 펄스의 단계들을 반복할 수 있게 한다.
하나의 반응 물질이 연속적으로 도입되면서 다른 하나가 펄스에 의해 도입될 수 있다(펄스된 CVD 체제).
상기 실시예에 따르면, Si 화합물 가스의 펄스의 운반과 400℃ 이하의 비교적 낮은 온도로 가열된 처리 기판의 표면 상에 Si 화합물의 대략 단분자층의 운반을 통해 흡착을 유도한 다음, 불활성 가스(예컨대, 질소 가스)의 퍼지 후에, 오존 함유 혼합 가스(예컨대, 오존 + 산소 혼합 가스)의 펄스를 운반함으로써, 혼합 가스 중의 오존의 강한 산화 작용에 의해 처리 기판의 표면 상에 흡착된 Si 화합물의 충분한 산화가 대략 단분자층의 형태로 산화규소 필름의 형성을 가능하게 한다. 또한, 산화 반응 후에 불활성 가스(예컨대, 질소 가스) 퍼지의 실시는 형성된 산화규소 필름에 의한 반응 챔버 내의 수분 흡착을 방지할 수 있게 한다. 이로 인해, OH 결합의 도입이 억제되거나 방지된 우수한 산화규소 필름의 형성이 가능해진다. 그러한 산화규소 필름은, 예컨대 낮은 누설 전류와 관련하여 우수한 성능을 갖는다.
더욱이, 처리 기판의 표면 상에 흡착된 Si 화합물은 적절한 양의 오존(예컨대, 5 내지 20%의 농도)을 함유하는 혼합 가스의 펄스에 의해 산화되기 때문에, CVD법에 의해 오존 함유 혼합 가스의 사용에 대해 확인된 처리 기판의 표면에 대한 산화가 방지될 수 있다. 이 오존 함유 혼합 가스는 요구되는 양이 저온에서 펄스로서 도입되기 때문에 처리 기판에 미치는 영향이 거의 없다. 이로 인해, 높은 온도에 견딜 수 없는 필름을 지탱하는 처리 기판을 제공하거나 본 실시예에 따른 산화규소 필름의 형성에 대해 쉽게 산화 가능한 금속 필름 또는 금속 규화물 필름을 제공할 수 있게 된다.
도 4에는 본 발명에 따른 SiO2층을 구비하는 MOS 트랜지스터의 단면도가 도시되어 있다. 웨이퍼(100)에는 각 드레인(105)과 소스(106) 위에 게이트 유전체 재료의 게이트(101)가 배치되어 있고, 금속 전극(102)은 게이트(101) 위에 증착된다. 보호 산화규소 필름(103)은 게이트(101)와 금속 게이트 전극(102)의 측방향 단부 상에 측방향으로 배치된다.
SiO2 필름(103)은 또한 소스(106)와 드레인(105)의 상단부 상에 증착된다.
이하, 본 발명의 실시예를 도 1 내지 도 4를 참조하여 설명한다.
실시예 1
전술한 도 1 내지 도 3에 도시된 필름 형성 장치를 사용하였다. 반응 챔버(11)의 서셉터 상에 규소 웨이퍼를 배치하고 웨이퍼를 100℃로 가열하였다. 산화규소 필름을 후술하는 조건을 이용하여 전술한 실시예에 따라 1)질소 가스 퍼지, 2)Si 화합물 가스 펄스, 3)질소 가스 퍼지, 4)오존 + 산소 혼합 가스 펄스의 단계를 반복하여 형성하였다.
1) 질소 가스 퍼지
반응 챔버 내의 압력: 3 torr
질소 가스 공급 유량: 130 sccm
질소 가스 퍼지 시간: 6 초
2) Si 화합물 가스 펄스
반응 챔버 내의 압력: 3 torr
Si 화합물 가스: 트리실릴아민(TSA) 가스
TSA 가스 공급 유량: 2 sccm
TSA 펄스 시간: 1 초
3) 질소 가스 퍼지
반응 챔버 내의 압력: 3 torr
질소 가스 공급 유량: 130 sccm
질소 가스 퍼지 시간: 6초
4) 오존 + 산소 혼합 가스 펄스
반응 챔버 내의 압력: 3 torr
오존 + 산소 혼합 가스(5% 오존 농도)의 공급 유량: 20 sccm
혼합 가스 펄스 시간: 2 초
실시예 2
산화규소 필름을 실시예 1과 동일한 방법으로 형성하였지만, 이 경우에 반응 챔버(11) 내의 서셉터 상에 배치된 규소 웨이퍼를 200℃로 가열하였다.
실시예 3
산화규소 필름을 실시예 1과 동일한 방법으로 형성하였지만, 이 경우에 반응 챔버(11) 내의 서셉터 상에 배치된 규소 웨이퍼를 300℃로 가열하였다.
산화규소 필름의 두께를 실시예 1 내지 3(실시예 1을 50 사이클을 통해 행하였다)에서의 순간적인 필름 형성 절차의 매 사이클에서 측정하였다. 산화규소 필름을 약 1.2 내지 1.7 A/사이클의 속도로 잠복기 없이 두께를 양호하게 제어하면서 형성할 수 있었다.
또한, 실시예 3에서 생성된 산화규소 필름에 대해 200 사이클(웨이퍼 온도: 300℃) 후에 FT-IR 분석을 수행하였다. 그 결과를 도 5에 공표하였다. 도 5의 결과로부터 명백한 바와 같이, 산화규소를 확인하는 Si-O-Si 결합에 대해서는 피크가 보이고, H-SiO3로부터 비롯되는 Si-H에 대해서는 피크가 보이지 않는다. 보다 구체적으로, 300℃의 저온에서의 필름 제조는 OH 결합이 방지되는 우수한 산화규소 필름을 제공할 수 있다는 것을 확인하였다.
샘플로서 표면 상에 얇은 몰리브덴 필름을 지탱하는 규소 웨이퍼를 이용하여, 실시예 1 내지 3(100 사이클)과 동일한 방법을 이용하여 얇은 몰리브덴 필름의 표면 상에 산화규소 필름을 형성하였다. 이어서, 산화규소 필름을 위해 하층에 형성된 얇은 몰리브덴 필름의 상태를 검사하였다. 오존 + 산소 혼합 가스(오존 농도=5%)를 산화 가스로서 사용하였지만 얇은 몰리브덴 필름의 산화는 관찰되지 않았다.
실시예 4
BDEAS와 오존을 이용한 SiO2 필름의 ALD 증착:
도 1 내지 도 3의 셋업을 이용하여 BDEAS 및 오존/산소의 혼합물을 이용하여 ALD에 의해 규소 및 이리듐 상에 필름을 성공적으로 형성하였다.
챔버는 종래의 히터에 의해 가열되는 고온벽 리액터이었다. 오존 발생기가 오존을 생성하였고 그 농도는 -0.01 MPaG에서 대략 150 g/m3이었다. 액체 아미노실란으로 불활성 가스(질소)의 발포에 의해 반응 챔버(11)로 SiH2(NEt2)2)를 도입하였다. 실험 조건은 다음과 같다.
- 7.0 sccm O3
- 93 sccm O2
- BDEAS: 1 sccm [1 내지 7 sccm의 범위]
- N2: 50 sccm
- 200 내지 400℃ 범위의 온도
- 작동 압력: 1 torr [0.1 내지 5 torr의 범위]
- 퍼지 및 펄스 시간은 통상 각각 5 초로 설정하였다.
- 사이클의 수는 통상 600 사이클로 설정하였다.
증착 속도, 증착 온도, 필름 품질 및 필름 조성 등의 필름 특성을 결정하기 위하여 실험을 수행하였다.
Si 웨이퍼 상에 SiO2 필름을 얻었다. 200, 250, 300, 350 및 400℃에서 증착을 수행하였다. 증착된 필름은 오제(Auger)에 의한 심층 분석에 따른 질소나 탄소를 포함하지 않았다.
도 6에 도시된 바와 같이, 사이클의 수를 변경한(350, 600 및 900 사이클 증착 시험) SiO2 필름을 증착하고, 잠복기가 없거나 무시할 정도로 있는 것을 검사하도록 SiO2 필름을 증착하였다.
금속 전극의 가능한 산화를 관찰하기 위하여 이리듐 상에 증착을 수행하였다. 오제 프로파일은 ALD SiO2와 이리듐 기판 사이의 날카로운 계면을 보여주며, 이에 따라 금속 산화는 관찰되지 않았다.
실시예 5
BDMAS와 오존을 이용한 SiO2 필름의 ALD 증착:
실시예 4와 동일한 조건에서 유사한 실험을 수행하였다. 250 내지 300℃, 1 torr, 0.3 A/사이클의 증착 속도에서 고품질의 필름을 얻었다.
실시예 6
TriDMAS와 오존을 이용한 SiO2 필름의 ALD 증착:
실시예 4와 동일한 조건에서 유사한 실험을 수행하였다. 250 내지 300℃, 1 torr, 0.2 A/사이클의 증착 속도에서 고품질의 필름을 얻었다.
실시예 7
TSA, 오존 및 촉매[Hf(NET2)4]를 이용한 SiO2 필름의 ALD 증착:
질소, N2, TSA, N2 및 오존/산소 O3/O2 혼합물에 희석한 Hf(NEt2)4를 번갈아 도입함으로써 ALD에 의해 규소 상에 필름을 성공적으로 증착하였다[질량 유동 제어기를 통한 Hf(NEt2)4로의 질소 발포는 리액터(11) 내로 동일한 방식으로 번갈아 도입되는 촉매와 N2의 혼합물을 제공한다].
챔버는 종래의 히터에 의해 가열되는 고온벽 관형 리액터이다. 액체 아미노실란으로 불활성 가스(질소)의 발포에 의해 BDEAS를 노로 도입하였다. 통상적인 실험 조건을 다음과 같다.
- 4 sccm O3
- 96 sccm O2
- TSA: 1 sccm [1 내지 7 sccm 범위]
- N2: 100 sccm
- 온도: 400℃
- 작동 압력: 5 torr
- 펄스 기간은 통상적으로 매 경우에 5초로 설정되었고 펄스 기간은 10초였다.
- 사이클의 수는 44 사이클이었다.
오제 분광학에 의해 하프늄의 레벨이 검출될 수 없는 얇은 산화규소 필름이 관찰되었다.

Claims (16)

  1. 산화규소 함유 필름의 형성 방법으로서,
    a)기판을 반응 챔버 내에 마련하는 단계와,
    b)-화학식 (R1R2N)xSiH4 -x를 갖는 아미노실란(여기서, R1과 R2는 H, C1-C4 선형, 분지형 또는 환형 탄소 사슬이고 x는 1 내지 4임)
    -화학식 Si(OR1)(OR2)(OR3)(OR4) 또는
    (OR1)(OR2)(OR3)SiSi(OR4)(OR5)(OR6) 또는
    (OR1)(OR2)(OR3)SiRSi(OR4)(OR5)(OR6) 또는
    Si(O-C(=O)-R1)(O-C(=O)-R2)(O-C(=O)-R3)(O-C(=O)-R4),
    바람직하게는 테트라(아세톡시)실란 Si(O-C(=O)-Me)4(여기서, R, R1, R2, R3, R4, R5, R6은 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬)를 갖는 알콕시실란 또는 아세톡시실란
    -화학식 (SiH3)nR(여기서, n은 1 내지 4이고, R은 H, N, O, CH2, CH2-CH2, SiH2, SiH, Si로 이루어지는 군에서 선택됨)을 갖는 실란
    -화학식 Si(NCO)4를 갖는 테트라(이소시아나토)실란
    으로 이루어지는 군에서 선택된 적어도 하나의 규소 함유 화합물을 반응 챔버 내로 주입하는 단계와,
    c)바람직하게는 오존, 산소 및/또는 수분(물)으로 이루어지는 군에서 선택된 적어도 하나의 산소 함유 가스를 반응 챔버 내로 주입하는 단계와,
    d)반응 챔버 내에서 규소 함유 화합물 중 적어도 하나와 오존 함유 가스 중 적어도 하나를 400℃ 미만의 온도에서 반응시켜 기판 상에 증착된 산화규소 함유 필름을 얻는 단계와,
    e)원하는 필름 두께를 얻을 때까지 단계 b) 내지 d)를 반복하는 단계
    를 포함하는 산화규소 함유 필름의 형성 방법.
  2. 제1항에 있어서, 상기 기판은 도입 후에 반응 챔버 내에서 단계 b), c), d) 및/또는 e)를 수행하기 전에 바람직하게는 반응 챔버 온도까지 가열되는 것인 산화규소 함유 필름의 형성 방법.
  3. 제1항 또는 제2항에 있어서, 단계 b) 및 c) 중 하나 이상은 상기 화합물 및 가스 중 하나 이상의 불연속적인 주입에 의해 수행되는 것인 산화규소 함유 필름의 형성 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 반응 챔버 내에서는 펄스된 화학 기상 증착(CVD) 또는 원자층 증착(ALD)가 수행되는 것인 산화규소 함유 필름의 형성 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 반응 챔버 내에는 적어도 하나의 화합물과 적어도 하나의 산소 함유 가스의 동시 주입이 수행되는 것인 산화규소 함유 필름의 형성 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 반응 챔버 내에는 적어도 하나의 화합물과 적어도 하나의 산소 함유 가스의 교호 주입이 수행되는 것인 산화규소 함유 필름의 형성 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 다른 화합물 및/또는 적어도 하나의 산소 함유 가스의 주입 전에 기판의 표면 상에 적어도 하나의 화합물 또는 적어도 하나의 산소 함유 가스가 흡착되는 것인 산화규소 함유 필름의 형성 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 각 화합물 및/또는 산소 함유 가스의 주입 후에 반응 챔버 내에 불활성 가스 주입 등의 퍼지 가스 주입이 수행되는 것인 산화규소 함유 필름의 형성 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 화합물 및/또는 가스 주입은 원하는 SiO2 함유 필름의 두께가 얻어질 때까지 반복되는 것인 산화규소 함유 필름의 형성 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 반응 챔버 압력은 100 torr 이하, 바람직하게는 5 torr 이하인 것인 산화규소 함유 필름의 형성 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, SiO2 함유 필름 내에 H 결합 함량은 8·1021 원자/cc 미만인 것인 산화규소 함유 필름의 형성 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 오존 함유 가스는 오존 대 산소의 비율이 20 용적% 미만, 바람직하게는 5 내지 20 용적%인 산소와 오존을 포함하는 가스 혼합물인 것인 산화규소 함유 필름의 형성 방법.
  13. 제12항에 있어서, 상기 산소와 오존 가스 혼합물은 불활성 가스, 바람직하게는 질소로 희석되는 것인 산화규소 함유 필름의 형성 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 상기 실란 함유 화합물은 이하의 군에서 선택되는 것인 산화규소 함유 필름의 형성 방법.
    (TSA) 트리실릴아민 (SiH3)3N
    DSO 디실록산 (SiH3)2O
    BDEAS 비스(디에틸아미노)실란 SiH2(NEt2)2
    BDMAS 비스(디메틸아미노)실란 SiH2(NMe2)2
    TriDMAS 트리스(디에틸아미노)실란 SiH(NME2)3
    비스(트리메틸실릴아민)실란 SiH2(NHSiMe3)2
    TICS 테트라(이소시아나토)실란 Si(NCO)4
    TEAS 테트라키스(에틸아미노)실란 Si(NHEt)4
    TEOS 테트라키스(에톡시)실란 Si(OEt)4
    BTESE 비스(트리에톡시실릴)에탄 (EtO)3Si-CH2-CH2-Si(OEt)3
    TAS 테트라(아세톡시)실란 Si(-O-C(=O)-Me)4
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 반응 챔버 내에는 또한 불활성 가스가 도입되는 것인 산화규소 함유 필름의 형성 방법.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 반응 챔버 내에는 소량의 촉매, 예컨대 1% 미만의 Hf(NEt2)4 또는 NHEt2가 도입되는 것인 산화규소 함유 필름의 형성 방법.
KR1020077023846A 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법 KR100961805B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005077608A JP2006261434A (ja) 2005-03-17 2005-03-17 シリコン酸化膜の形成方法
JPJP-P-2005-00077608 2005-03-17

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020097019562A Division KR20090107090A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법

Publications (2)

Publication Number Publication Date
KR20070114393A true KR20070114393A (ko) 2007-12-03
KR100961805B1 KR100961805B1 (ko) 2010-06-08

Family

ID=36764030

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020097019562A KR20090107090A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020157009322A KR101547093B1 (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020127002046A KR101248358B1 (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020077023846A KR100961805B1 (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020137004043A KR20130027573A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020147010408A KR20140069192A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020097019562A KR20090107090A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020157009322A KR101547093B1 (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020127002046A KR101248358B1 (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020137004043A KR20130027573A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020147010408A KR20140069192A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법

Country Status (9)

Country Link
US (2) US8227032B2 (ko)
EP (1) EP1861519B1 (ko)
JP (3) JP2006261434A (ko)
KR (6) KR20090107090A (ko)
CN (1) CN101171366A (ko)
AT (1) ATE482301T1 (ko)
DE (1) DE602006017042D1 (ko)
TW (2) TWI435387B (ko)
WO (1) WO2006097525A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
KR20140026724A (ko) * 2012-08-23 2014-03-06 주식회사 원익아이피에스 박막 제조 방법 및 기판 처리 장치
KR20170021380A (ko) * 2012-03-09 2017-02-27 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질

Families Citing this family (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8187678B2 (en) * 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
JP2008266711A (ja) * 2007-04-19 2008-11-06 Fuji Electric Systems Co Ltd 真空成膜設備
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR100888186B1 (ko) * 2007-08-31 2009-03-10 주식회사 테스 절연막 형성 방법
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
JP5306691B2 (ja) * 2008-04-01 2013-10-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP2009295621A (ja) * 2008-06-02 2009-12-17 Panasonic Corp 半導体装置及びその製造方法
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5616737B2 (ja) * 2009-11-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
US9466476B2 (en) 2010-12-27 2016-10-11 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US9460913B2 (en) 2010-12-27 2016-10-04 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
CN103476965B (zh) * 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2叠层的方法
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
DE102011016935A1 (de) * 2011-04-13 2012-10-18 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Licht emittierenden Halbleiterbauelements und Licht emittierendes Halbleiterbauelement
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9128218B2 (en) * 2011-12-29 2015-09-08 Visera Technologies Company Limited Microlens structure and fabrication method thereof
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9593133B2 (en) 2012-07-20 2017-03-14 America Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
EP2770526B1 (en) 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
JP2014209558A (ja) * 2013-03-27 2014-11-06 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
CN103450801A (zh) * 2013-09-09 2013-12-18 南京工业大学 一种制备微纳米硅基超疏水涂层的方法及其用途
JP2014064039A (ja) * 2013-12-25 2014-04-10 Tokyo Electron Ltd 成膜方法および成膜装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015182503A1 (ja) * 2014-05-29 2015-12-03 京セラ株式会社 太陽電池素子およびその製造方法並びに太陽電池モジュール
RU2586265C2 (ru) * 2014-07-04 2016-06-10 Федеральное Государственное Бюджетное Образовательное Учреждение Высшего Профессионального Образования "Дагестанский Государственный Технический Университет" (Дгту) Способ осаждения тонких пленок на поверхности подложек для изготовления солнечных элементов
US9869017B2 (en) * 2014-07-10 2018-01-16 Applied Materials, Inc. H2/O2 side inject to improve process uniformity for low temperature oxidation process
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
EP3029175A1 (en) * 2014-12-05 2016-06-08 Basf Se Process for the production of porous thin films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11365481B2 (en) * 2015-10-06 2022-06-21 City University Of Hong Kong Homogeneous and transparent protective coatings for precious metals and copper alloys
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP7125343B2 (ja) * 2015-10-23 2022-08-24 アプライド マテリアルズ インコーポレイテッド 表面毒化処理によるボトムアップ式間隙充填
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
GB201523156D0 (en) * 2015-12-31 2016-02-17 Pilkington Group Ltd High strength glass containers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN116978778A (zh) 2016-06-28 2023-10-31 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
FR3059339B1 (fr) * 2016-11-29 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation de films de dioxyde de silicium
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI782021B (zh) * 2017-05-28 2022-11-01 美商應用材料股份有限公司 有機及混合有機無機層的選擇性分子層沉積
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11411120B2 (en) * 2017-09-05 2022-08-09 Ulvac, Inc. Method for manufacturing semiconductor device using plasma CVD process
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
EP3476973A1 (en) * 2017-10-25 2019-05-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process chamber and method for purging the same
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20210130247A (ko) * 2019-03-20 2021-10-29 어플라이드 머티어리얼스, 인코포레이티드 저온의 열 산화물 품질에서 두꺼운 산화물 막들을 성장시키는 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TWI792947B (zh) * 2019-05-21 2023-02-11 美商慧盛材料美國責任有限公司 熱沉積含矽膜的組合物及方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111755320A (zh) * 2020-05-20 2020-10-09 嘉兴市轩禾园艺技术有限公司 多晶硅功能膜、制备方法及其用途
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20240055278A1 (en) 2021-02-08 2024-02-15 Hitachi High-Tech Corporation Gas supply apparatus, vacuum processing apparatus, and gas supply method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114318292B (zh) * 2021-12-31 2024-03-29 中储粮成都储藏研究院有限公司 一种利用化学气相沉积法包覆阻燃性膜层的方法
WO2023168082A1 (en) * 2022-03-04 2023-09-07 Entegris, Inc. Process for preparing silicon-rich silicon nitride films

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3596370A (en) * 1969-12-11 1971-08-03 Gen Electric Thin film capacitor
US4181751A (en) 1978-05-24 1980-01-01 Hughes Aircraft Company Process for the preparation of low temperature silicon nitride films by photochemical vapor deposition
US4597003A (en) * 1983-12-01 1986-06-24 Harry E. Aine Chemical etching of a semiconductive wafer by undercutting an etch stopped layer
US4592132A (en) * 1984-12-07 1986-06-03 Hughes Aircraft Company Process for fabricating multi-level-metal integrated circuits at high yields
JPH01143221A (ja) 1987-11-27 1989-06-05 Nec Corp 絶縁薄膜の製造方法
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JP3149223B2 (ja) * 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JPH05175132A (ja) * 1991-12-20 1993-07-13 Kojundo Chem Lab Co Ltd 半導体装置のケイ素酸化膜の製造法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5304398A (en) 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
EP0703187B1 (en) * 1994-08-24 2006-06-14 Ebara Corporation Method for generating ozone and methods of its use
JP3432997B2 (ja) * 1996-04-23 2003-08-04 株式会社東芝 半導体装置に使用する絶縁膜
US5728602A (en) 1996-06-03 1998-03-17 Vlsi Technology, Inc. Semiconductor wafer manufacturing process with high-flow-rate low-pressure purge cycles
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
GB9723222D0 (en) * 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
KR20010071175A (ko) * 1998-05-01 2001-07-28 세슈 비. 데스 화학 기상 증착에 의해 증착된 옥사이드/유기 폴리머 다층박막
US6087262A (en) * 1998-11-09 2000-07-11 United Microelectronics Corp. Method for manufacturing shallow trench isolation structure
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) * 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
JP3941099B2 (ja) 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
KR100425478B1 (ko) * 2002-04-04 2004-03-30 삼성전자주식회사 금속 도전층을 포함한 반도체소자의 제조방법
JP4007044B2 (ja) * 2002-04-19 2007-11-14 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
KR100463633B1 (ko) 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
JP4290421B2 (ja) 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP3909320B2 (ja) * 2003-01-27 2007-04-25 三菱マテリアル株式会社 有機金属化学気相成長法用原料の合成方法
US7229703B2 (en) * 2003-03-31 2007-06-12 Dai Nippon Printing Co. Ltd. Gas barrier substrate
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
JP4290508B2 (ja) 2003-08-08 2009-07-08 有限会社幸文堂 連結式印具及び印具
JP4059183B2 (ja) 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
JP2005159316A (ja) 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005197561A (ja) * 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
US8613976B2 (en) 2005-03-17 2013-12-24 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon oxide containing films
KR20170021380A (ko) * 2012-03-09 2017-02-27 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
KR20140026724A (ko) * 2012-08-23 2014-03-06 주식회사 원익아이피에스 박막 제조 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
WO2006097525A3 (en) 2006-11-23
WO2006097525A2 (en) 2006-09-21
JP2013070077A (ja) 2013-04-18
ATE482301T1 (de) 2010-10-15
TWI435387B (zh) 2014-04-21
TWI515794B (zh) 2016-01-01
US8613976B2 (en) 2013-12-24
KR20090107090A (ko) 2009-10-12
KR20120044992A (ko) 2012-05-08
US20120276292A1 (en) 2012-11-01
KR100961805B1 (ko) 2010-06-08
DE602006017042D1 (de) 2010-11-04
KR20150047631A (ko) 2015-05-04
TW201403715A (zh) 2014-01-16
US20090232985A1 (en) 2009-09-17
JP2006261434A (ja) 2006-09-28
JP5631958B2 (ja) 2014-11-26
KR20130027573A (ko) 2013-03-15
KR101547093B1 (ko) 2015-08-24
EP1861519B1 (en) 2010-09-22
TW200707582A (en) 2007-02-16
CN101171366A (zh) 2008-04-30
EP1861519A2 (en) 2007-12-05
US8227032B2 (en) 2012-07-24
JP5329218B2 (ja) 2013-10-30
KR20140069192A (ko) 2014-06-09
JP2008533731A (ja) 2008-08-21
KR101248358B1 (ko) 2013-04-03

Similar Documents

Publication Publication Date Title
KR100961805B1 (ko) 산화규소 함유 필름의 형성 방법
KR101542267B1 (ko) 규소 함유 막의 형성 방법
KR101920702B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101454603B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101611680B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101469379B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101749413B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2008533731A5 (ko)
KR20160145502A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101858345B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2023181258A (ja) 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
JP2019054291A (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
A302 Request for accelerated examination
AMND Amendment
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130516

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140515

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150514

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160519

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170518

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 10