TW201403715A - 用於形成含氧化矽之薄膜的方法 - Google Patents

用於形成含氧化矽之薄膜的方法 Download PDF

Info

Publication number
TW201403715A
TW201403715A TW102133860A TW102133860A TW201403715A TW 201403715 A TW201403715 A TW 201403715A TW 102133860 A TW102133860 A TW 102133860A TW 102133860 A TW102133860 A TW 102133860A TW 201403715 A TW201403715 A TW 201403715A
Authority
TW
Taiwan
Prior art keywords
reaction chamber
gas
ozone
oxygen
compound
Prior art date
Application number
TW102133860A
Other languages
English (en)
Other versions
TWI515794B (zh
Inventor
Christian Dussarrat
Julien Gatineau
Kazutaka Yanagita
Eri Tsukada
Ikuo Suzuki
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW201403715A publication Critical patent/TW201403715A/zh
Application granted granted Critical
Publication of TWI515794B publication Critical patent/TWI515794B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

一種用於形成含氧化矽薄膜之方法係包括下列步驟:-提供一處理基板到反應室中,-於減壓下,在50到400℃的基板溫度下,將惰性氣體導入反應室中以清洗反應室內的氣體,-在相同溫度及減壓下,藉由脈衝導入氣態矽化合物到反應室中,以吸附矽化合物到處理基板上,-在相同溫度及減壓下,使用惰性氣體來清洗反應室中未經吸附的矽化合物-在相同溫度及減壓下,導入含臭氧的混合氣體的脈衝到反應室中,並藉由與吸附在處理基板上之矽化合物氧化反應以產生氧化矽;及-若必要時,重複步驟1)到4),直到在基板上獲得所欲的厚度。

Description

用於形成含氧化矽之薄膜的方法
本發明係關於一種使用矽前驅物及氧化劑氣體形成含氧化矽之薄膜之方法。
在CMOS半導體裝置的前端製造中,被動薄膜,如SiN是形成於每個MOS電晶體之閘極上。為了要增加每個電晶體之崩潰電壓,在閘極(例如多晶矽或金屬層)的上表面和側表面上會沉積SiN薄膜。
已經有嘗試要將SiN的沉積溫度降低到不高於400℃的溫度。然而,在低於400℃的溫度下沉積SiN薄膜通常具有較差的薄膜品質。為了要克服這個問題,已經建議了使用SiO2薄膜來增強SiN薄膜性質(“雙重間隙壁(dual spacer)”),並因此產生有效的電子障壁層(electrical barrier layers),以明顯改良元件的性質。同時,SiO2薄膜可被用來作為STI(淺溝槽絕緣)、內層介電層(ILD)、被動層、終止蝕刻層,而且也有嘗試要找出在低溫、亦即低於400℃的溫度下沉積這些SiO2層的方法。在雙重間隙壁應用之特定例子中,在低沉積溫度(最多為300℃)時所進行的非常薄(20-50埃厚)的薄膜沉積不應該導致金屬電極的氧化且較佳應該為沿著閘極均呈現均勻的,並且原子層沉積方法是最適合於這類的需求。只要是關於STI應用,保形薄膜應該使用低於500℃的高沉積速率(每分鐘數百埃)來沉積。
使用PECVD反應器以在低溫下由矽烷和氧來製成的氧化矽薄膜沉積,因為在導入作為前驅物氣體的矽烷氣體中存在有氫氣所引起的Si-H鍵併入所得之SiOx薄膜的緣故,該薄膜沉積已經在現今的應用中失效了。然後,Si-H鍵可能在環境中與氧氣來源立即反應以產生Si-OH鍵。
這類Si-OH鍵的存在增加了電晶體具有增加的漏電流的風險,及因此降低的電晶體之崩潰電壓。
本發明人相信為生成SiO2薄膜,在Si前驅物中存在有大量氫原子鍵結到的矽原子且存在著氧氣或含氧氣體之下,也可能會發生水分(H2O)的生成,水分然後會與Si反應以產生SiOH。
本發明人亦相信含矽化合物應較佳在含氫殘餘物方面具有高的純度,以限制H2O的生成,較佳為包含小於100ppm H2或含H化合物。
本發明之一主要目的為提供一種於400℃或低於400℃的溫度下在基板上形成氧化矽薄膜之方法,上述溫度避免或限制在SiO2薄膜生成期間生成OH鍵。
根據本發明,提供一種形成含氧化矽薄膜之方法,其包括下列步驟:
a)提供一基板到反應室中,
b)將至少一種含矽化合物注射到反應室中,上述含矽化合物為選自下列所組成之族群中:
-具有通式(R1R2N)x SiH4-x的胺基矽烷,其中R1及R2各自獨立為H,C1-C6 線型、分枝或環狀的碳鏈,且x是由1到4之間所組成;
-具有下式之烷氧基矽烷或乙醯氧基矽烷:Si(OR1)(OR2)(OR3)(OR4),或(OR1)(OR2)(OR3)SiSi(OR4)(OR5)(OR6),或(OR1)(OR2)(OR3)SiRSi(OR4)(OR5)(OR6),或Si(O-C(=O)-R1)(O-C(=O)-R2)(O-C(=O)-R3)(O-C(=O)-R4),較佳地,四(乙醯氧基)矽烷Si(O-C(=O)-Me)4其中R,R1,R2 R3,R4,R5,R6各自獨立為H,O,C1-C6線型、分枝或環狀的碳鏈;
-具有通式(SiH3)nR之矽烷,其中n是由1到4之間所組成,R為選自由H,N,O,CH2,C2H4,SiH2,SiH,Si所組成之族群中。
-四(異氰酸)矽烷Si(NCO)4
c)將至少一種含氧氣體,較佳為臭氧及/或氧氣及/或水分(水)注射到反應室中。
d)在反應室中,於低於500℃的溫度下,將至少一種含矽化合物與至少一種含氧氣體反應,以獲得沉積於基板上的含氧化矽薄膜。
e)重複步驟b)到d),直到獲得所欲的SiO2薄膜厚度。
較佳地,在進行步驟b)、c)、d)及/或e)之前,於基板導入反應室後,將基板於反應室中加熱到較佳為高至反應室的溫度。
根據本發明之一較佳具體實例,至少一個步驟b)及/或c)是藉由間斷地注射至少一種化合物及/氣體來進行的。例如,在反應室中進行脈衝CVD或ALD。
儘管同時注射至少一種化合物及至少一種含氧氣體可以在 反應室中進行,較佳為提供至少一種化合物及至少一種含氧氣體在反應室中的另一種類型的注射。
根據另一具體實例,在注射另一種化合物及/或至少一種含氧氣體之前,至少一種化合物或至少一種含氧氣體是在基板的表面上。
較佳地,每次化合物及/或含氧氣體的注射係接續著注射純氣體,例如惰性氣體,到反應室中,同時,更佳為重複化合物及/或氣體的注射,直到獲得所欲的含SiO2薄膜厚度。在反應室外殼內的壓力較佳應低於100Torr,更佳為低於2Torr。較佳地,在含SiO2薄膜中的H含量是低於8×1021原子/cc。
根據另一具體實例,含臭氧氣體為一種包括具有O3/O2比例為低於30體積%(較佳為介於5%到20體積%之間)的氧氣和臭氧之氣體混合物。
較佳地,氧氣/臭氧之氣體混合物被稀釋到惰性氣體中,較佳為氮氣。
含矽化合物應包含低於100ppm H2,且較佳為選自由下列所組成之族群中:
本發明亦提供一種形成氧化矽薄膜之方法,該方法可在不高於500℃的低溫下形成薄膜期間抑制或避免OH鍵的導入,其中氧化矽薄膜的厚度被容易地控制,且氧化矽薄膜是具高度可靠度,例如當施加電流到閘極側表面上時降低漏電流。
本發明之方法也提供一種SiO2薄膜,尤其是當在每次注射之間使用具有氮氣清除的ALD方法沉積時,該方法具有非常高的保形度(亦即,在溝槽頂部和底部中沉積均勻薄膜的能力),而適用於填縫應用中或適用於DRAM的電容電極中,亦即適用於填滿表面上所有孔隙之薄膜,並且還可提供均勻SiO2層的薄膜。
11‧‧‧反應室
12‧‧‧氮氣筒
13‧‧‧Si化合物氣體筒
14‧‧‧氧氣筒
15‧‧‧除毒裝置
16‧‧‧臭氧生成器
V1-V7‧‧‧停止閥
V1’-V7’‧‧‧停止閥
L1-L7‧‧‧管線
L4’,L6’‧‧‧分枝管線
MFC1,MFC2,MFC3‧‧‧質量流量控制器
BV‧‧‧蝴蝶閥
PG1,PG2,PG3‧‧‧壓力計
PMP‧‧‧真空泵
OCS‧‧‧臭氧濃度感測器
101‧‧‧閘極
102‧‧‧金屬電極
103‧‧‧氧化矽薄膜
104‧‧‧氮化矽
105‧‧‧汲極
106‧‧‧源極
本發明係參照下列圖式來更詳細的說明。
圖1是在根據本發明具體實例之形成薄膜方法中所使用的薄膜形成裝置的概要圖(在惰性氣體清洗注射期間時)。
圖2是在Si化合物氣體注射期間,圖1之薄膜形成裝置的概要圖。
圖3是在臭氧/氧氣的氣體脈衝注射期間,圖1之薄膜形成裝置的概要圖。
圖4是具有根據本發明所沉積的SiO2薄膜的MOS電晶體之金屬閘極。
根據本發明之用於形成氧化矽薄膜之方法係詳細描述在下文中。其包括:
-使用氧源及具有通式(R1R2N)xSiH4-x之胺基矽烷(其中x是由1到4之間所組成,其中R1和R2各自獨立為H或C1-C6線型、分枝或環狀的碳鏈)來各自獨立地連續或以脈衝方式導入反應器中。較佳地,經由ALD方法注射。
較佳地,烷基胺基矽烷為雙(二乙基胺基)矽烷(BDEAS),雙(二甲基胺基)矽烷(BDMAS),或三(二甲基胺基)矽烷(三DMAS)。烷基胺基矽烷係吸附到基板表面上(在初始階段時,此步驟避免在導入氧氣源期間時底層金屬電極的可能氧化)。在一段使用惰性氣體排空來自反應器的胺基矽烷之清洗時間後,可能由氧氣/臭氧的氣體混合物(典型地:5-20體積%臭氧溶於氧氣中),氧氣,水分及/或過氧化氫(H2O2)或其組合所組成之氧氣源係經由脈衝導入。然後,循環是由一次脈衝的胺基矽烷,一次脈衝的清洗氣體,一次脈衝的含氧氣體,一次脈衝的清洗氣體所組成。循環次數是由目標厚度,以及考慮在所給定實驗條件下所得到之每次循環的沉積速率而定。沉積溫度在操作壓力為0.1-100Torr(13到13300Pa)時可以低至室溫且高至500℃。具有非常低的碳及氫含量之高品質薄膜較佳地是在0.1-10Torr(13到1330Pa)之間的壓力、於200到400℃之間的溫度下沉積的。
-使用氧源及烷氧基矽烷或乙醯氧基矽烷,彼等具有通式Si(OR1)(OR2)(OR3)(OR4),或(OR1)(OR2)(OR3)SiSi(OR4)(OR5)(OR6),或(OR1)(OR2)(OR3)SiRSi(OR4)(OR5)(OR6),或 Si(O-C(=O)-R1)(O-C(=O)-R2)(O-C(=O)-R3)(O-C(=O)-R4),較佳地四(乙醯氧基)矽烷Si(O-C(=O)-Me)4(其中R,R1,R2,R3,R4,R5,R6各自獨立為H,O,C1-C6線型、分枝或環狀的碳鏈),來各自獨立地以連續方式或脈衝方式導入反應器中。較佳地,乃經由ALD方法注射。較佳地,烷氧基矽烷為(EtO)3Si-CH2-CH2-Si(OEt)3(BTESE)。烷氧基矽烷係吸附在基板的表面(在初始階段時,此步驟避免在導入氧氣源期間時底層金屬電極的可能氧化))。在使用惰性氣體來從反應器排空胺基矽烷的清洗時間之後,氧氣源係藉由脈衝方式來導入,該氧氣源可能由氧氣/臭氧的氣體混合物(典型地:5-20體積%臭氧溶於氧氣中)、氧氣、水分及/或過氧化氫(H2O2)或其組合。循環是由一次脈衝的矽氧基矽烷,一次脈衝的清洗氣體,一次脈衝的含氧氣體,一次脈衝的清洗氣體所組成。循環次數是由目標厚度,以及考慮在所給定實驗條件下所得到之每次循環的沉積速率而定。沉積溫度在操作壓力為0.1-100Torr(13到13300Pa)時,可以低至室溫及高至500℃。具有非常低的碳及氫含量之高品質薄膜較佳地是在0.1-10Torr(13到1330Pa)之間的壓力下、於200到400℃之間的溫度下沉積的。
-使用氧源及具有通式Si(NCO)4之四(異氰酸)矽烷來以連續方式或脈衝方式分別地導入反應器中。較佳地,係經由脈衝式-CVD方法注射。異氰酸矽烷被吸附在基板表面(在初始步驟時,該步驟避免了在導入氧源期間底層金屬電極之可能氧化)。在一段使用惰性氣體排空來自反應器的矽烷化合物之清洗時間後,可能由氧氣/臭氧混合物(典型為5-20體積%之臭氧於氧氣中)、氧氣、水分及/或過氧化氫(H2O2)或其組合所組成之氧源被經由脈衝導入。然後,循環是由一次脈衝的異氰酸矽烷、一次脈衝的清洗氣 體、一次脈衝的含氧氣體、一次脈衝的清洗氣體所組成。循環的次數是目標厚度以及考慮在所給定實驗條件下所得到之每次循環之沉積速率來決定之。沉積溫度在操作壓力為0.1-100Torr(13到13300Pa)時可以低至室溫及高至500℃。具有非常低的碳及氫含量之高品質薄膜較佳地是在0.1-10Torr(13到1330Pa)之間的壓力下時、於200到400℃之間的溫度下沉積的。
-使用氧源,具有通式(SiH3)xR之矽烷(矽烷,二矽烷,三矽烷,三甲矽烷基胺),其中x可從1變化到4,且其中R為選自包括H,N,O,CH2,CH2-CH2,SiH2,SiH,Si,及在ALD機制中可能使用的觸媒。較佳地,矽烷為不含C之矽烷。最佳地,矽烷為三甲矽烷基胺。非常少量(<1%)觸媒可被導入反應器中。上述矽烷係難以用於ALD環境中,因為它們在矽晶圓上的吸附式不利的。使用觸媒係有助於矽烷吸附在基板上或底層中。在使用惰性氣體排空來自反應器的矽烷的清洗循環時間之後,可能由氧氣/臭氧的氣體混合物(典型地:5-20體積%臭氧溶於氧氣中),氧氣,水分及/或過氧化氫(H2O2)及其組合所組成之氧氣源係經由脈衝方式來導入。然後,循環是由一次脈衝的觸媒,一次脈衝的清洗氣體,一次脈衝的矽烷,一次脈衝的清洗氣體,一次脈衝的氧氣源,更多的清洗時間所組成。可能地,觸媒係同時與矽烷導入,因此降低在循環及其後持續期間步驟的數目。觸媒為胺或含金屬的分子,較佳地為含過渡金屬的分子,最佳地為含鉿分子,例如Hf(NEt2)4。在一些應用中,觸媒應為不含C。因此建議使用鹵化物或硝酸鹽,例如HfCl4或Hf(NO3)4。循環次數是由目標厚度,以及考慮在所給定實驗條件下所得到之每次循環的沉積速率而定。沉積溫度在操作壓力為0.1-100Torr(13到13300Pa)時可以低至室溫及高至400℃。具有非常低的碳及 氫含量之高品質薄膜較佳地是在0.1-10Torr(13到1330Pa)之間的壓力下時、於200到500℃之間的溫度下沉積的。
較佳地,根據本發明之方法係如下所進行:在基板已經導入反應室後,於反應室中氣體是在50到400℃的基板溫度下、於減壓下,藉由供應惰性氣體到反應室中來清洗的。然後,儘管在相同溫度及減壓下,氣態矽化合物之脈衝被傳送到反應室中,且非常薄的矽化合物層係藉由吸附作用而形成在處理基板上。接著係供應惰性氣體到反應室中,以清洗未反應的(未經吸附)矽化合物,在此之後,含氧氣體的脈衝係傳送到反應室中。含臭氧的氣體將吸附到基板上之非常薄的矽化合物層氧化,藉此形成非常薄的氧化矽層,再注射惰性氣體到反應室中以清洗未經反應的產物。藉由重複惰性氣體清洗、氣態矽化合物脈衝、惰性氣體清洗及含氧的混合氣體脈衝的順序來在基板上形成所欲厚度的氧化矽薄膜。
較佳地,基板應為用於製造半導體裝置之矽晶圓(或SOI),或沉積於其上的層,或用於製造液晶顯示器裝置的玻璃基板,或者為沉積於其上的層。在閘極已經形成於其上的半導體基板是用來作為基板,尤其當氧化矽薄膜係用於改良閘極崩潰電壓的目的時。
在反應室中的減壓較佳地是介於0.1到1000torr(13到1330kPa)之間,且較佳地為1到10torr(133到1330Pa)。
基板溫度應較佳地為至少50℃且最多為500℃,較佳地是在200到400℃之間,同時甚至更佳為250到350℃。
用於本發明方法中的惰性氣體較佳為氮氣,氬氣及/或氦氣。
上述矽化合物的例示為矽烷類,如四氫化矽[SiH4],二矽烷[(SiH3)2],三矽烷[(SiH3)2SiH2],烷基矽烷[(SiH3)nR,其中R代表C1到C6直鏈、分枝或環狀的烷類],三甲矽基胺[(SiH3)3N],及二矽氧烷[(SiH3)2O];矽氧化物如TEOS[Si(OC2H5)4],TMOS[Si(OCH3)4],雙三乙氧基甲矽烷基乙烷,及三烷基甲矽烷基烷類[(RO)3Si-Alk-Si(OR)3,其中R為C1到C6烷基],乙醯氧基矽烷(Si(-O-C(=O)-CH3)4及BDEAS(SiH2(NEt2)2)。
矽化合物較佳於室溫下為氣體時從例如圓筒中脈衝進入反應室中。當矽化合物在室溫為液態時,如TEOS之例子,其可使用起泡技術來脈衝入反應室中。特定地,矽化合物之溶液被放入反應室中,視情況需要加熱,並藉由使用置放於容器內之惰性氣體起泡管來使惰性氣體起泡以夾帶在惰性氣體(例如,氮氣,氬氣,氦氣)中,接著再導入反應室中。可使用液體質量流量控制器及蒸發器之組合。
含氧的混合氣體氧化矽化合物並將其轉化成氧化矽。混合氣體的例子為臭氧和氧氣的混合氣體,及臭氧加上氧氣加上惰性氣體(如氮氣,氬氣或氦氣)之混合氣體。在混合氣體中的臭氧濃度較佳為0.1到20體積%。小於0.1體積%之臭氧濃度具有在低溫下影響矽化合物之單原子層徹底氧化的可能性問題。另一方面,因為與臭氧相關的毒性、不安定性及危險性,高於20%之臭氧濃度具有處理方面的可能問題。
氣態矽化合物之脈衝是以1.0到100sccm之流速,歷時0.1到10秒的時間傳送到反應室中。含氧氣體的脈衝是以例如10到1000sccm之流速,歷時0.1到10秒的時間傳送到反應室中。
本發明將參照所附圖式來做更詳細的說明。
在圖1中,薄膜形成裝置具有反應室11;為惰性氣體(例如氮氣)進料來源之氮氣筒12;為氣態Si化合物進料來源之Si化合物氣體筒13;及為氧氣進料來源之氧氣筒14。在單一晶圓裝置中,基座(未示出)被置於反應室11中,且一個半導體基板(未示出),例如,矽基板,被置於基座上。在基座內提供一加熱器,以加熱半導體基板到一特定的反應溫度。在批次型式裝置的例子中,5到200個半導體基板係支持於反應室11內。在批次型式裝置中的加熱器可具有與單一晶圓裝置中的加熱器不同的結構。
氮氣筒12係經由管線L1連接到反應室11中。停止閥(shut valve)V1及流速控制器,例如質量流量控制器MFC1是以從上游側所給予的順序來提供在管線L1上。停止閥V2亦提供在鄰近於反應室11的管線L1上。
延伸到真空泵PMP的廢氣管線L2係提供在反應室11的底部。壓力計PG1,用於回壓控制之蝴蝶閥BV,以及停止閥V3是以從上游側所給予的順序來提供在管線L2上。真空泵PMP係經由管線L3連接到除毒裝置15。除毒裝置15例如可為相對應於氣體物種及含量的燃燒型式的除毒裝置或乾燥型式的除毒裝置。
Si化合物氣體筒13係經由管線L4連接到停止閥V2上游的管線L1上,亦即位在停止閥V2和質量流量控制器MFC1之間。停止閥V4,質量流量控制器MFC2,壓力計PG2及停止閥V5是以從上游側所給予的順序來置於管線L4上。管線L4是在壓力計PG2上游處來分枝的,且導致分枝管線L4'係連接到真空泵PMP上游的廢氣管線L2上,亦即位在真空泵PMP和停止閥V3之間。停止閥V5'係提供在分枝管線L4'上。停止閥V5和V5' 之狀態是以當其中一個為開啟,而另一個為關閉的方式來啟動的。
氧氣筒14係提供有管線L5,管線L5係延伸到臭氧生成器16;管線L5以從上游側考量的順序具有停止閥V6及質量流量控制器MFC3。臭氧生成器16係經由管線L6與停止閥V2上游的管線L1連接,亦即連接在停止閥V2及質量流量控制器MFC1之間。臭氧濃度感測器OCS,壓力計PG3及停止閥V7以從上游側考量的順序提供在管線L6上。管線L6係從壓力計PG3上游處分枝,且所得分枝管線L6'係連接到真空泵PMP上游的廢氣管線L2上,亦即連接到真空泵PMP及停止閥V3之間。停止閥V7'係提供在分枝管線L6'上。停止閥V7和V7'之狀態是以當其中一個為開啟,另一個為關閉的方式來操作的。
由臭氧生成器16所產生的氧和臭氧之混合氣體係流入管線L6中。在固定氧氣供給流速下,在混合氣體中的臭氧濃度之控制主要是取決於施加於臭氧生成器16的壓力和能量。結果,臭氧濃度的控制是藉由使用置於管線L6上的臭氧濃度感測器OCS來量測臭氧含量並基於所量測數值來對臭氧生成器16施以能量和容器壓力控制的回饋控制。
用於形成氧化矽薄膜方法之具體實例係使用在圖1到3中所描述的薄膜形成裝置以敘述。
1)氮氣清洗
一種處理基板,例如半導體晶圓(未示出)係安裝在反應室11的基座上,且晶圓係經由基座上的溫度調節器加熱到50到400℃。如圖1所示,關閉停止閥V5和V7,且其他停止閥V1到V4,V6,V5',及V7'均開啟。關閉的控制閥係在圖1中以填黑方式顯示,同時,開啟的控制閥是以空白顯示。 在下列敘述中,停止閥是以相同模式顯示。
然後,當藉由真空泵PMP之操作來使反應室11中的氣體經由管線L2來排出時,經由管線L1來從氮氣筒12引出氮氣,並藉由質量流量控制器MFC1控制進料流速而導入反應室11中。藉此,經由排出在反應室11內之氣體再供給氮氣到反應室11中,以在所欲真空(例如,0.1到1000torr)中進行氮氣清洗,再以氮氣來充滿反應室11之內部。
在開始及持續前述氮氣清洗步驟後,Si化合物氣體係持續地藉由質量流量控制器MFC2控制的進料氣體流速下來從Si化合物氣體筒13供應到管線L4中。然而,在前述氮氣清洗步驟期間,在管線L4(其與延伸到反應室11之管線L1連接)上的停止閥V5是關閉的,在與廢氣管線L2連接之分枝管線L4’上的停止閥V5’是開啟的,結果,該Si化合物在氮氣清洗步驟期間沒有供應到反應室11中,但是藉由管線L4及L4’供應到廢氣管線L2來排出。
此外,在開始及持續前述氮氣清洗步驟後,氧氣係持續地藉由質量流量控制器MFC3控制進料流速來從氧氣筒14供應到臭氧生成器16。將所欲能量施加於臭氧生成器16上,且將所欲濃度之含臭氧之氧氣(混合氣體)從臭氧生成器16供應到管線L6中,同時基於所得測量值,使用臭氧和氧之混合氣體流動經過之管線L6上的臭氧濃度感測器OCS來測量臭氧含量,並且對於臭氧生成器16所施加能量及容器壓力施加回饋控制。然而,在前述氮氣清洗步驟期間,停止閥V7(其是在與延伸到反應室11之管線L1連接之管線L6上面)被關閉,且停止閥V7'(其是在與排放管線L2連接之分枝管線L6上)係開啟,且結果臭氧+氧氣的混合氣體沒有在氮氣清洗步驟期 間供給到反應室11中,反而是在氮氣清洗步驟期間,經由管線L6和L6’供給到廢氣管線L2來排出。
2)Si化合物氣體脈衝
持續圖1顯示的狀態,關閉在分枝管線L4’上的停止閥V5',且相類似於這種操作,如圖2所示,開啟管線L4上的停止閥V5。在所欲時間後,使每個停止閥V5和V5'的狀態倒反過來。在開啟停止閥V5的期間內,來自Si化合物氣體筒13的Si化合物氣體、在控制的流速下從管線L4供給到管線L1,並且隨著氮氣脈衝進入反應室11中。此脈衝導致Si化合物於設置在反應室11內基座上的半導體晶圓之加熱表面上產生近乎單分子層的吸附。
3)氮氣清洗
在已經傳送Si化合物氣體脈衝後,如圖1所示進行氮氣清洗,即將於管線L4和分枝管線L4’上之停止閥V5和V5'之狀態從圖2所示的狀態中倒反過來。當如此進行時,保留在反應室11中的未反應Si化合物係藉由氮氣來排出,且反應室11內部係再次由氮氣取代。
4)臭氧+氧氣的混合氣體脈衝
持續圖1顯示的狀態,關閉在分枝管線L6’上的停止閥V7',且相類似於這種操作,如圖2所示,開啟管線L6上的停止閥V7。在所欲時間後,使每個停止閥V7和V7'倒反過來。在開啟停止閥V7的期間內,將臭氧和氧氣之混合氣體從管線L6供給到管線L1,並且隨著氮氣脈衝入反應室11中。由於此脈衝之緣故,吸附在設置在反應室11內基座上的半導體晶圓加熱表面上之Si化合物是藉由臭氧+氧氣之混合氣體氧化,導致形成近乎單分 子層的氧化矽薄膜半導體晶圓表面。
藉由重複1)氮氣清洗,2)Si化合物氣體脈衝,3)氮氣清洗,和4)臭氧+氧氣混合氣體脈衝之步驟,在半導體晶圓表面上形成所欲厚度之氧化矽薄膜。在傳送4)臭氧+氧氣的混合氣體脈衝之後,如圖1所示進行氮氣清洗,此乃藉由將管線L6和分枝管線L6'上之停止閥V7和V7'之狀態從圖3的狀態中倒反過來。當如此進行時,停留在反應室11中的反應副產物和未經反應的臭氧+氧氣之混合氣體係經由氮氣來排出,且反應室11內部則再次由氮氣取代。
在周圍溫度下為氣態之Si化合物被用來作為氣態Si化合物在使用圖1到3中所示之薄膜形成裝置來形成氧化矽膜的例子。然而,當使用在室溫下為液態的Si化合物,如TEOS時,氣態Si化合物仍然可使用起泡程序來導入反應室11。在特定術語中,起泡器係用來取代圖1到3所示之Si化合物氣筒13,且該起泡器係與攜有氮氣的管線L1上的閥V1上游處所分枝的分枝管線連接,使其可能重複步驟1)氮氣清洗,2)Si化合物氣體脈衝,3)氮氣清洗,及4)臭氧+氧的混合氣體的脈衝。
其中一個反應物可以連續方式導入,同時其它反應物可藉由脈衝方式導入(脈衝的-CVD機制)。
根據前述具體實施例,經由傳送Si化合物氣體脈衝,引發Si化合物單分子層吸附在被加熱到不大於400℃的低溫的處理基板表面上,且在惰性氣體(例如氮氣)清洗之後,傳送含臭氧的混合氣體(例如,臭氧+氧氣的混合氣體)之脈衝,藉由混合氣體中臭氧強烈的氧化作用來使吸附在處理基板表面上的Si化合物徹底氧化能使氧化矽薄膜以近乎單分子層的 形式生成。此外,在氧化反應後進行惰性氣體(例如,氮氣)的清洗,有可能避免在反應室內的水分被已經形成之氧化矽薄膜吸附。此能夠形成極佳的氧化矽薄膜,其中OH鍵結的導入已經被抑制或避免。這類氧化矽薄膜例如具有在低漏電流方面極佳的性能。
此外,因為吸附在處理基板表面上的Si化合物係藉由含合適數量的臭氧(例如,5到20%的濃度)之混合氣體脈衝來氧化,可以使在使用含臭氧混合氣體的CVD方法中已經被確認的對處理基板的氧化問題被避免掉。此對於處理基板只有微小影響,因為所需含臭氧混合氣體之數量是於低溫下以脈波方式導入。使得根據具體實例,提出能承受高溫之薄膜的處理基板或容易氧化之金屬薄膜或金屬矽化物薄膜以形成氧化矽薄膜是有可能的。
圖4係說明包括根據本發明之SiO2層的MOS電晶體側面圖。在基圓100上,於個別的汲極105和源極106上方係設置有閘極介電材料的閘極101,在101上方係具有金屬電極102。保護性氧化矽薄膜103係置於閘極101和金屬閘極102的側端。
SiO2薄膜103亦可沉積在源極106和汲極105的頂部。
下列參考圖1到4說明本發明之實施例:
實施例1
使用顯示在上面圖1到3的薄膜形成裝置。矽晶圓係置於反應室11內的基座,且晶圓係加熱到100℃上。使用下列的條件,根據上述之具體實例來重複1)氮氣清洗,2)Si化合物氣體的脈衝,3)氮氣清洗,及4)臭氧+氧的混合氣體的脈衝等步驟,以形成氧化矽薄膜。
1)氮氣清洗
‧於反應室內的壓力:3torr
‧氮氣進料流速:130sccm
‧氮氣清洗時間:6秒
2)Si化合物氣體的脈衝
‧於反應室內的壓力:3torr
‧Si化合物氣體:三甲矽烷基胺(TSA)氣體
‧TSA氣體進料流速:2sccm
‧TSA脈衝時間:1秒
3)氮氣清洗
‧於反應室內的壓力:3torr
‧氮氣進料流速:130sccm
‧氮氣清洗時間:6秒
4)臭氧+氧的混合氣體的脈衝
‧於反應室內的壓力:3torr
‧臭氧+氧的混合氣體進料流速(5%的臭氧濃度):20sccm
‧混合氣體脈衝時間:2秒
實施例2
氧化矽薄膜係藉由實施例1之相同方法來形成,但在本例中,置於反應室基座上的矽晶圓係加熱到200℃。
實施例3
氧化矽薄膜係藉由實施例1之相同方法來形成,但在本例中,置於反 應室基座上的矽晶圓係加熱到300℃。
量測在實施例1到3中薄膜形成步驟的每一次循環時的氧化矽薄膜的厚度(實施例1係經歷50次的循環)。氧化矽薄膜可以在實施例1到3中,以每次循環約1.2-1.7埃的速率形成良好的厚度控制,而不需要孕核期(incubation period)。
此外,對於在200次循環後實施例3中所產生的氧化矽薄膜上進行FT-IR分析(晶圓溫度:300℃)。已經確認出在300℃的低溫下產生薄膜可以提供避免OH鍵導入的極佳氧化矽薄膜。
使用具有鉬薄膜在其表面上之矽晶圓作為樣本,使用如實施例1到3所述之相同方法來在鉬薄膜上形成氧化矽薄膜(100次循環)。此接續為測試形成氧化矽薄膜之底層的鉬薄膜之狀態。沒有觀察到鉬薄膜的氧化,即使臭氧+氧氣的混合氣體(臭氧濃度=5%)被用來作為氧化氣體時。
實施例4
使用BDEAS及臭氧的SiO2薄膜之ALD的沉積:使用圖1到3的設置來藉由使用BDEAS及臭氧/氧之混合物的ALD方法,成功地在矽及銦上沉積薄膜。
該反應室是一種由傳統加熱器加熱的熱壁型反應器。臭氧器產生臭氧,且其濃度在-0.01MPaG時為大約150g/m3。BDEAS(雙(二乙基胺基)矽烷,SiH2(NEt2)2)係藉由將惰性氣體(氮氣)氣泡進入液態胺基矽烷來導入反應室11中。實驗條件為:
-7.0sccm O3
-93sccm O2
-BDEAS:1sccm[在1到7sccm的範圍內]
-N2:50sccm
-溫度範圍在200到400℃之間
-操作壓力:1Torr[在0.1到5Torr的範圍]
-清洗及脈衝時間係典型設定在每次5秒。
-循環次數係典型設置在600次循環。
進行實驗,以決定薄膜特性,如沉積速率,沉積溫度,薄膜品質和薄膜組成。
在Si晶圓上獲得SiO2薄膜。進行在200,250,300,350及400℃下的沉積。根據Auger的內縱深分析,所沉積的薄膜既不包括氮,也不包括碳。
改變SiO2薄膜的沉積循環次數(350,600及900次循環沉積測試)且所沉積SiO2薄膜檢驗出沒有或幾乎可忽略之孕核期。
進行在銦上的沉積,以觀察金屬電極的可能氧化。Auger分布顯示在ALD SiO2和銦基板之間有銳利的介面,且因此沒有觀察到金屬氧化作用。
實施例5
使用BDMAS和臭氧的SiO2薄膜之ALD沉積:在如實施例4中的相同條件下進行相似的實驗。在每次循環0.3埃的沉積速率,於1Torr,250到300℃之間溫度下獲得高品質的薄膜。
實施例6
使用三DMAS和臭氧的SiO2薄膜之ALD沉積: 在如實施例4中的相同條件下進行相似的實驗。在每次循環0.2埃的沉積速率,於1Torr,250到300℃之間溫度下獲得高品質的薄膜。
實施例7
使用TSA,臭氧和觸媒[Hf(NEt2)4]的SiO2薄膜的ALD沉積:藉由另外導入稀釋於氮氣中的Hf(NEt2)4,TSA,N2,和臭氧/氧O3/O2的混合物,以ALD在矽上成功地沉積薄膜(經由質量流量控制器來氮氣起泡進入Hf(NEt2)4中提供了該觸媒和N2之混合物,其另外以相似方式導入反應器11中)。
反應器是一種由傳統加熱器加熱的熱壁型管狀反應器。藉由將惰性氣體(氮氣)起泡進入液態胺基矽烷中來將BDEAS導入爐內。典型實驗條件為:
-4sccm O3
-96sccm O2
-TSA:1sccm[在1到7sccm的範圍內]
-N2:100sccm
-溫度:400℃
-操作壓力:5Torr
-脈衝持續時間係典型設定為每次5秒且脈衝持續時間為10秒。
-循環次數為44次循環。
藉由Auger光譜儀觀察到氧化矽薄膜上沒有可偵測到的鉿。
11‧‧‧反應室
12‧‧‧氮氣筒
13‧‧‧Si化合物氣體筒
14‧‧‧氧氣筒
15‧‧‧除毒裝置
16‧‧‧臭氧生成器
V1-V7‧‧‧停止閥
V1’-V7’‧‧‧停止閥
L1-L7‧‧‧管線
L4’,L6’‧‧‧分枝管線
MFC1,MFC2,MFC3‧‧‧質量流量控制器
BV‧‧‧蝴蝶閥
PG1,PG2,PG3‧‧‧壓力計
PMP‧‧‧真空泵
OCS‧‧‧臭氧濃度感測器

Claims (13)

  1. 一種用於形成含氧化矽薄膜之方法,其包括下列步驟:a)提供一基板到反應室中,b)將至少一種含矽化合物注射到反應室中,上述至少一種含矽化合物為BDEAS雙(二乙基胺基)矽烷SiH2(NEt2)2;c)將具有臭氧對氧氣的比例為低於20體積%,較佳地為5到20體積%,之含氧氣及臭氧之氣體混合物注射到反應室中,及d)在反應室中,於低於400℃的溫度下,將至少一種含矽化合物與至少一種含臭氧氣體反應,以獲得沉積於基板上的含氧化矽薄膜,其中含氧化矽薄膜經由原子層沉積(ALD)方法或脈衝式-(CVD)方法沉積於基板上。
  2. 根據申請專利範圍第1項之方法,其進一步包括重複步驟b)到d)直到獲得含所欲SiO2薄膜的厚度。
  3. 根據申請專利範圍第1或2項之方法,其中在導入基板後,於進行步驟b),c)及d)之前,基板在反應室中被加熱,較佳地加熱到高至反應室的溫度。
  4. 根據申請專利範圍第1或2項之方法,其中藉由間斷地注射至少一種化合物及/或氣體來進行至少一個步驟b)及/或c)。
  5. 根據申請專利範圍第1或2項之方法,其中在反應室中進行至少一種化合物和含氧氣及臭氧之氣體混合物的交替注射。
  6. 根據申請專利範圍第1或2項之方法,其中在注射另一種化合物及/或至少一種含氧氣之氣體之前,該至少一種化合物或含氧氣及臭氧之氣體混合物被吸附在基板的表面上。
  7. 根據申請專利範圍第1或2項之方法,其中每次的化合物及/或含氧氣及臭氧之氣體混合物的注射後,係接續著在反應室中清洗氣體的注射,例如惰性氣體的注射。
  8. 根據申請專利範圍第1或2項之方法,其中重複化合物及/或氣體的注射,直到獲得含所欲SiO2薄膜的厚度。
  9. 根據申請專利範圍第1或2項之方法,其中反應室的壓力最多為100Torr(133kPa),較佳地最多為5Torr(665Pa)。
  10. 根據申請專利範圍第1或2項之方法,其中在含SiO2的薄膜中氫鍵的含量小於8x1021原子/cc。
  11. 根據申請專利範圍第1或2項之方法,其中氧氣/臭氧的氣體混合物被稀釋到惰性氣體中,較佳地為氮氣中。
  12. 根據申請專利範圍第1或2項之方法,其中惰性氣體亦被導入到反應室中。
  13. 根據申請專利範圍第1或2項之方法,其中少量的觸媒,例如小於1%的Hf(NEt2)4或NHEt2被導入到反應室中。
TW102133860A 2005-03-17 2006-03-16 用於形成含氧化矽之薄膜的方法 TWI515794B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005077608A JP2006261434A (ja) 2005-03-17 2005-03-17 シリコン酸化膜の形成方法

Publications (2)

Publication Number Publication Date
TW201403715A true TW201403715A (zh) 2014-01-16
TWI515794B TWI515794B (zh) 2016-01-01

Family

ID=36764030

Family Applications (2)

Application Number Title Priority Date Filing Date
TW095108926A TWI435387B (zh) 2005-03-17 2006-03-16 用於形成含氧化矽之薄膜的方法
TW102133860A TWI515794B (zh) 2005-03-17 2006-03-16 用於形成含氧化矽之薄膜的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW095108926A TWI435387B (zh) 2005-03-17 2006-03-16 用於形成含氧化矽之薄膜的方法

Country Status (9)

Country Link
US (2) US8227032B2 (zh)
EP (1) EP1861519B1 (zh)
JP (3) JP2006261434A (zh)
KR (6) KR20090107090A (zh)
CN (1) CN101171366A (zh)
AT (1) ATE482301T1 (zh)
DE (1) DE602006017042D1 (zh)
TW (2) TWI435387B (zh)
WO (1) WO2006097525A2 (zh)

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8187678B2 (en) * 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
JP2008266711A (ja) * 2007-04-19 2008-11-06 Fuji Electric Systems Co Ltd 真空成膜設備
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR100888186B1 (ko) * 2007-08-31 2009-03-10 주식회사 테스 절연막 형성 방법
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
JP5306691B2 (ja) * 2008-04-01 2013-10-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP2009295621A (ja) * 2008-06-02 2009-12-17 Panasonic Corp 半導体装置及びその製造方法
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5616737B2 (ja) * 2009-11-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
US9466476B2 (en) 2010-12-27 2016-10-11 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US9460913B2 (en) 2010-12-27 2016-10-04 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
CN103476965B (zh) * 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2叠层的方法
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
DE102011016935A1 (de) * 2011-04-13 2012-10-18 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Licht emittierenden Halbleiterbauelements und Licht emittierendes Halbleiterbauelement
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9128218B2 (en) * 2011-12-29 2015-09-08 Visera Technologies Company Limited Microlens structure and fabrication method thereof
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR20140138272A (ko) * 2012-03-09 2014-12-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
US9593133B2 (en) 2012-07-20 2017-03-14 America Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
KR20140026724A (ko) * 2012-08-23 2014-03-06 주식회사 원익아이피에스 박막 제조 방법 및 기판 처리 장치
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
EP2770526B1 (en) 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
JP2014209558A (ja) * 2013-03-27 2014-11-06 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
CN103450801A (zh) * 2013-09-09 2013-12-18 南京工业大学 一种制备微纳米硅基超疏水涂层的方法及其用途
JP2014064039A (ja) * 2013-12-25 2014-04-10 Tokyo Electron Ltd 成膜方法および成膜装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015182503A1 (ja) * 2014-05-29 2015-12-03 京セラ株式会社 太陽電池素子およびその製造方法並びに太陽電池モジュール
RU2586265C2 (ru) * 2014-07-04 2016-06-10 Федеральное Государственное Бюджетное Образовательное Учреждение Высшего Профессионального Образования "Дагестанский Государственный Технический Университет" (Дгту) Способ осаждения тонких пленок на поверхности подложек для изготовления солнечных элементов
US9869017B2 (en) * 2014-07-10 2018-01-16 Applied Materials, Inc. H2/O2 side inject to improve process uniformity for low temperature oxidation process
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
EP3029175A1 (en) * 2014-12-05 2016-06-08 Basf Se Process for the production of porous thin films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11365481B2 (en) * 2015-10-06 2022-06-21 City University Of Hong Kong Homogeneous and transparent protective coatings for precious metals and copper alloys
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP7125343B2 (ja) * 2015-10-23 2022-08-24 アプライド マテリアルズ インコーポレイテッド 表面毒化処理によるボトムアップ式間隙充填
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
GB201523156D0 (en) * 2015-12-31 2016-02-17 Pilkington Group Ltd High strength glass containers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN116978778A (zh) 2016-06-28 2023-10-31 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
FR3059339B1 (fr) * 2016-11-29 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation de films de dioxyde de silicium
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI782021B (zh) * 2017-05-28 2022-11-01 美商應用材料股份有限公司 有機及混合有機無機層的選擇性分子層沉積
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11411120B2 (en) * 2017-09-05 2022-08-09 Ulvac, Inc. Method for manufacturing semiconductor device using plasma CVD process
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
EP3476973A1 (en) * 2017-10-25 2019-05-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process chamber and method for purging the same
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20210130247A (ko) * 2019-03-20 2021-10-29 어플라이드 머티어리얼스, 인코포레이티드 저온의 열 산화물 품질에서 두꺼운 산화물 막들을 성장시키는 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TWI792947B (zh) * 2019-05-21 2023-02-11 美商慧盛材料美國責任有限公司 熱沉積含矽膜的組合物及方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111755320A (zh) * 2020-05-20 2020-10-09 嘉兴市轩禾园艺技术有限公司 多晶硅功能膜、制备方法及其用途
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20240055278A1 (en) 2021-02-08 2024-02-15 Hitachi High-Tech Corporation Gas supply apparatus, vacuum processing apparatus, and gas supply method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114318292B (zh) * 2021-12-31 2024-03-29 中储粮成都储藏研究院有限公司 一种利用化学气相沉积法包覆阻燃性膜层的方法
WO2023168082A1 (en) * 2022-03-04 2023-09-07 Entegris, Inc. Process for preparing silicon-rich silicon nitride films

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3596370A (en) * 1969-12-11 1971-08-03 Gen Electric Thin film capacitor
US4181751A (en) 1978-05-24 1980-01-01 Hughes Aircraft Company Process for the preparation of low temperature silicon nitride films by photochemical vapor deposition
US4597003A (en) * 1983-12-01 1986-06-24 Harry E. Aine Chemical etching of a semiconductive wafer by undercutting an etch stopped layer
US4592132A (en) * 1984-12-07 1986-06-03 Hughes Aircraft Company Process for fabricating multi-level-metal integrated circuits at high yields
JPH01143221A (ja) 1987-11-27 1989-06-05 Nec Corp 絶縁薄膜の製造方法
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JP3149223B2 (ja) * 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JPH05175132A (ja) * 1991-12-20 1993-07-13 Kojundo Chem Lab Co Ltd 半導体装置のケイ素酸化膜の製造法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5304398A (en) 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
EP0703187B1 (en) * 1994-08-24 2006-06-14 Ebara Corporation Method for generating ozone and methods of its use
JP3432997B2 (ja) * 1996-04-23 2003-08-04 株式会社東芝 半導体装置に使用する絶縁膜
US5728602A (en) 1996-06-03 1998-03-17 Vlsi Technology, Inc. Semiconductor wafer manufacturing process with high-flow-rate low-pressure purge cycles
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
GB9723222D0 (en) * 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
KR20010071175A (ko) * 1998-05-01 2001-07-28 세슈 비. 데스 화학 기상 증착에 의해 증착된 옥사이드/유기 폴리머 다층박막
US6087262A (en) * 1998-11-09 2000-07-11 United Microelectronics Corp. Method for manufacturing shallow trench isolation structure
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) * 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
JP3941099B2 (ja) 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
KR100425478B1 (ko) * 2002-04-04 2004-03-30 삼성전자주식회사 금속 도전층을 포함한 반도체소자의 제조방법
JP4007044B2 (ja) * 2002-04-19 2007-11-14 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
KR100463633B1 (ko) 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
JP4290421B2 (ja) 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP3909320B2 (ja) * 2003-01-27 2007-04-25 三菱マテリアル株式会社 有機金属化学気相成長法用原料の合成方法
US7229703B2 (en) * 2003-03-31 2007-06-12 Dai Nippon Printing Co. Ltd. Gas barrier substrate
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
JP4290508B2 (ja) 2003-08-08 2009-07-08 有限会社幸文堂 連結式印具及び印具
JP4059183B2 (ja) 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
JP2005159316A (ja) 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005197561A (ja) * 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor

Also Published As

Publication number Publication date
WO2006097525A3 (en) 2006-11-23
WO2006097525A2 (en) 2006-09-21
JP2013070077A (ja) 2013-04-18
ATE482301T1 (de) 2010-10-15
TWI435387B (zh) 2014-04-21
TWI515794B (zh) 2016-01-01
US8613976B2 (en) 2013-12-24
KR20090107090A (ko) 2009-10-12
KR20120044992A (ko) 2012-05-08
US20120276292A1 (en) 2012-11-01
KR100961805B1 (ko) 2010-06-08
DE602006017042D1 (de) 2010-11-04
KR20150047631A (ko) 2015-05-04
US20090232985A1 (en) 2009-09-17
JP2006261434A (ja) 2006-09-28
JP5631958B2 (ja) 2014-11-26
KR20130027573A (ko) 2013-03-15
KR101547093B1 (ko) 2015-08-24
EP1861519B1 (en) 2010-09-22
TW200707582A (en) 2007-02-16
CN101171366A (zh) 2008-04-30
EP1861519A2 (en) 2007-12-05
US8227032B2 (en) 2012-07-24
JP5329218B2 (ja) 2013-10-30
KR20140069192A (ko) 2014-06-09
JP2008533731A (ja) 2008-08-21
KR101248358B1 (ko) 2013-04-03
KR20070114393A (ko) 2007-12-03

Similar Documents

Publication Publication Date Title
TWI515794B (zh) 用於形成含氧化矽之薄膜的方法
KR101542267B1 (ko) 규소 함유 막의 형성 방법
CN105261552B (zh) 半导体器件的制造方法和衬底处理装置
US7651730B2 (en) Method and apparatus for forming silicon oxide film
KR101705966B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101749413B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101060911B1 (ko) Ald 또는 cvd 공정을 통한 금속 함유 막의 제조
KR101827620B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
TW200422424A (en) Low temperature deposition of silicon oxides and oxynitrides
KR101786301B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2023181258A (ja) 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
JP2019054291A (ja) 半導体装置の製造方法、基板処理装置およびプログラム