JP2003517731A - 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法 - Google Patents

原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法

Info

Publication number
JP2003517731A
JP2003517731A JP2001545359A JP2001545359A JP2003517731A JP 2003517731 A JP2003517731 A JP 2003517731A JP 2001545359 A JP2001545359 A JP 2001545359A JP 2001545359 A JP2001545359 A JP 2001545359A JP 2003517731 A JP2003517731 A JP 2003517731A
Authority
JP
Japan
Prior art keywords
cvd
reaction
precursor
gas
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001545359A
Other languages
English (en)
Other versions
JP2003517731A5 (ja
Inventor
スネー,オフアー
ガリユウスキー,カール
Original Assignee
ジエヌス・インコーポレイテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ジエヌス・インコーポレイテツド filed Critical ジエヌス・インコーポレイテツド
Publication of JP2003517731A publication Critical patent/JP2003517731A/ja
Publication of JP2003517731A5 publication Critical patent/JP2003517731A5/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

(57)【要約】 原子層堆積(ALD)および他の連続した化学気相成長(CVD)プロセスなどの、層状堆積において堆積されたフィルムの汚染を回避するための新しい方法および装置が教示され、ALDフィルムのCVD堆積された汚染は、ALDチャンバに入る前に、さもなければ汚染するガス成分を、ガス供給装置の壁要素上に効果的に堆積させる、予備反応チャンバの使用によって防止される。

Description

【発明の詳細な説明】
【0001】 発明の分野 本発明は、化学気相成長の領域にあり、さらに詳細には、原子層堆積によって
フィルムを堆積するための新しい方法および装置に属する。本発明は、これらの
新しい方法の延長であり、特に、寄生(parasitic)化学気相成長およ
び結果として生じる汚染を防止するための方法を含む。
【0002】 発明の背景 集積回路の製造においては、多くの純粋な、および化合物の材料の薄いフィル
ムの堆積が必要であり、そのような堆積を達成するために、多くの技術が開発さ
れている。近年、薄いフィルムの堆積のための当技術分野における主な技術は、
化学気相成長(CVD)であり、これは、均一で平坦なコーティングを施す、お
よび、バイア内へ比較的共形的(conformally)に、およびウエハト
ポロジーにおける高アスペクト比かつ平坦でない他の構造物を覆ってコーティン
グする、優れた能力を有することが証明されている。デバイス密度が増大を続け
、形状が複雑さを増し続けてきたため、CVD技術の優れた共形コーティングで
さえ、困難に直面しており、新しいさらに優れた技術が必要となっている。
【0003】 CVDを改変した方法である原子層堆積は、特に低温堆積に関して、均一性お
よび共形性を改善するために考えられたものである。しかし、この技術の現実的
な実施は、より高い純度およびより高いスループットに対する解決策が必要であ
る。本特許は、これらの要求に対処している。
【0004】 原子層堆積 CVDの分野において、原子層堆積(Atomic Layer Depos
ition、ALD)と呼ばれるプロセスは、CVD技術の能力を拡大するため
の有望な候補として現われ、化学気相成長の特性をさらに改善するために、半導
体製造設備メーカーによって急速に開発されている。ALDは、元々原子層エピ
タキシと呼ばれていたプロセスであり、これについての十分な参照文献は、19
90年にグラスゴーおよびロンドンのBlackieによって出版されたT.S
untolaおよびM.Simpsonによって編集された「Atomic L
ayer Epitaxy(原子層エピタキシ)」である。この文献は、本明細
書に参照として組み込まれている。
【0005】 一般に、ALDは、従来技術のCVDプロセスが、単一の単層堆積の各ステッ
プに分割されているプロセスであり、各個別の堆積ステップが、単一の分子また
は原子の単層の厚さにおいて、理論的に飽和に向かい自己終了する。
【0006】 堆積は、反応性分子前駆物質と基板との間の化学反応の結果である。CVDと
同様に、フィルムを構成する元素は、分子前駆物質として与えられる。正味の反
応は、「純粋な」所望のフィルムを堆積しなければならず、分子前駆物質(配位
子)を構成する「余計な」原子を排除する。CVDの場合、分子前駆物質は、C
VD反応装置に同時に供給される。基板は、副産物の効率的な脱離と同時に起き
る分子前駆物質間の化学反応を促進するために最適化された温度に保たれている
。したがって、反応は、所望の純粋なフィルムを堆積するために進行する。
【0007】 ALDの適用について、分子前駆物質は、ALD反応装置に別個に導入される
。これは、一時に1つの前駆物質、すなわち原子または分子の配位子Lと結合し
て揮発性分子を作る、金属元素Mを含む金属前駆物質ML(M=Al、W、T
a、Si etc.)を流すことによって、実際には行われる。金属前駆物質の
反応は、典型的に、他の前駆物質の個別の導入に先だって、反応チャンバからこ
の前駆物質を排除するための不活性ガスによるパージが後に続く。
【0008】 このパージステップ(または、時々、ポンプダウンステップ)は、不要なCV
D成分のないALDフィルムに対する鍵となっている。パージ(排出)ステップ
の間に、最後に使用された化学物質が、反応チャンバおよびガス導入配管から取
り除かれ、異なる化学物質の導入を可能にする。
【0009】 ALD反応は、表面が、分子前駆物質と直接反応するために「処理」された場
合に「のみ」起こる。したがって、表面は、典型的に、金属前駆物質と反応性を
持つ水素含有配位子AHを含むように処理される。表面/分子の反応は、表面上
のすべての配位子と反応するように進行することができ、金属のパッシベーティ
ング配位子を備えた金属の単層を堆積する。すなわち、基板−AH+ML→基
板−AML+HLであり、ここで、HLは交換反応副産物である。反応の間、
初期表面配位子AHが消費され、表面は、金属前駆物質MLとさらなる反応が
できないL配位子で覆われる。したがって、すべての初期配位子がML種と交
換された時に、反応は自己飽和する。
【0010】 金属前駆物質反応の完了の後、金属前駆物質のすべては、典型的に、他の前駆
物質の導入に先立って、反応装置から取り除かれる。前駆物質の第2のタイプは
、金属前駆物質に対する表面反応性を回復するために、すなわち、L配位子を排
除し、AH配位子を再堆積するために使用される。
【0011】 ほとんどのALDプロセスは、化合物フィルムを堆積するために適用されてき
た。この場合、第2の前駆物質は、所望の(通常、非金属性)元素A(すなわち
、O、N、S)、および、例えば、HO、NH、またはHSを使用する水
素から構成されている。反応−ML+AH→−M−AH+HL(簡略化のため
に、化学反応は平衡が取れていない)は、表面をAHで覆われた状態に逆変換す
る。所望の追加の元素Aが堆積され、配位子Lは揮発性副産物として排除される
。再び、反応は、反応性部位(今度はL終端部位)を消費し、反応性部位が完全
に消耗した時に自己飽和する。
【0012】 表面を初期点に回復させる表面反応のシーケンスは、ALD「堆積サイクル」
と呼ばれている。初期表面への回復は、ALDの「根本原理」である。このこと
は、フィルムが、化学反応速度論、サイクル当りの堆積、組成、および厚さがす
べて同一である、均等に計測されたシーケンスに階層化することができることを
示唆している。自己飽和表面反応は、ALDを、流体技術工学または表面形状(
すなわち、高アスペクト比構造物への堆積)のいずれかから起こる、搬送不均一
性に影響されなくする。不均一なフラックスは、異なった領域での異なった完了
時間をもたらすだけである。しかし、反応のそれぞれが、領域全体で完了するこ
とが可能となったなら、異なった完了反応速度論は不利益を受けない。
【0013】 プロセス開発にしばしば見られるように、新しい技術の当初の予想された長所
は、最後には、その当初の予想を完全には達成しない。残念ながら、ALDには
、深刻な基本的な問題がある。継続的な一定した状態を性質とするCVD反応と
は異なり、ALD反応は、分子/表面の相互反応の反応速度論に従う。分子/表
面反応の反応速度論は、分子前駆物質および表面の反応性部位と、利用可能な反
応性部位の数との間の個々の反応速度に依存する。反応が完了に向けて進行する
に従い、表面は、反応性から非反応性へ変換される。その結果、堆積の間に、反
応速度は低下していく。最も簡単な場合、この速度dN/dtは、反応性部位の
数に比例する、すなわち、dN/dt=−kNであり、ここで、Nは反応性部位
の数であり、kは(単一の部位の)反応速度である。反応性部位の排除(または
、「既に反応した」部位の成長)は、時間に依存する指数関数kN(t)=kN exp(−kt)に従う。分子/表面反応速度論のこの基本特性は、著名な科
学者ラングミュアの名を取って名付けられ、当技術分野では非常によく知られて
いる。
【0014】 ラングミュア反応速度論の制限の解釈は、ALDの重大な短所、および「理想
」状態からの大幅な逸脱を示している。したがって、自己終結反応は、本当は決
して自己終結しない(これらの反応は、速度が指数関数的に低下していくために
、無限の時間を必要とする)。このことは、現実的な条件下において、「堆積サ
イクル」の後の完了に向けて、表面は決して完全には反応しないことを意味する
。表面が完全に反応しない場合、フィルム内には、不要な残存元素がある。例え
ば、ML反応が、表面AH部位をすべて消費できない場合、フィルムはHを取
り込んでいる。同様に、AH反応が完了まで行かない場合、不要なLの取り込
みは避けられない。明らかに、フィルムの品質は不純物のレベルに依存する。「
スループット/品質のトレードオフ」は、これが、不純物レベルの低減を達成す
るために、指数関数的なスループットの不利益をもたらすため、特に関心を持た
れている。
【0015】 従来の原子層堆積において、高純度フィルムを達成するために低いスループッ
トを許容しなければならないか、または、より高いスループットのために低純度
フィルムを許容しなければならない。明らかに必要なものは、ラングミュアの制
限を克服するだけでなく、従来の方法で入手可能であった高純度フィルムを同時
に提供する装置および方法である。このような装置および方法は、実施可能とす
る以下の詳細に教示される本発明の実施形態に提供されている。
【0016】 上記の理想的な状況に加えて、上記の例におけるMLおよびAHなどのA
LD化学物質は、典型的に、極度に反応性が高く、反応チャンバ内に痕跡程度に
共存する場合でも、広範な不要CVD副反応(side reaction)を
もたらす。CVDが非常に望ましくない方法であるため、迅速かつ効率的なパー
ジは、高スループットALD装置構成の最も困難かつ解決すべき部分であった。
【0017】 化学物質供給配管は、化学物質の効率的なパージを促進するために、短く、か
つ、滞留部分のないものでなければならない。しかし、効率的なパージに対する
ある種の制限は、回避することが困難である配管表面のガス放出からもたらされ
ている。したがって、混合化学物質の多少の痕跡は、スループットに制限された
短いパージ時間では、抑えることが不可能である。必要なことは、所望の新しい
化学前駆物質の導入に先立って、その前に使用された微量の化学前駆物質を除去
する迅速な方法である。
【0018】 明白かつ当面の必要性を提供する本発明は、基板上のALDフィルムに対する
CVDの寄与なしに、微量の混合化学物質を排除する装置およびプロセスとして
のALD予備反応装置を提供する。
【0019】 発明の概要 本発明の好ましい実施形態において、(a)ガスソースとコーティングすべき
基板との間に予備反応チャンバを設置するステップ、および、(b)加熱された
表面上にCVD反応によって汚染物質元素を堆積させるのに十分な温度まで、予
備反応チャンバ内の表面を加熱するステップを含む、原子層堆積プロセスの間の
寄生化学気相成長を最小限に抑えるための方法が提供される。
【0020】 代案となる実施形態においては、ガスソースからガス分配装置への交互に増加
する方式でガスを供給するための通路、および、ガスがガス分配装置に入る前に
、汚染物質元素を堆積させるための予備反応チャンバ内の加熱された表面を含む
、原子層堆積システムのための予備反応チャンバが提供される。
【0021】 実施可能とする以下の詳細に教示される本発明の実施形態において、原子層堆
積プロセスにおいて、汚染物質ガスを効果的に除去する方法および装置が初めて
提供され、結果的にサイクルタイムが大幅に延長されることを可能にする。
【0022】 好ましい実施形態の説明 発明者は、ALDの従来の表面処理ステップを改変し、従来のALDの問題を
克服するALDの強化された変形を開発し、品質に関して妥協することなく高ス
ループットを実現する。発明者は、新しく独自のプロセスを「ラジカル支援連続
CVD(Radical−Assisted Sequential CVD、
RAS−CVD)」と名付ける。
【0023】 図1は、本発明の実施形態によるRAS−CVDを実現するためのシステム1
1の一般化した図である。この例示的システムにおいて、堆積チャンバ13は、
コーティングされる基板19を支持し、かつ加熱するための加熱可能な炉床、お
よび、コーティングされる基板表面にガス状の種を供給するためのシャワーヘッ
ド15などのガス分配装置を有する。基板は、チャンバ13からバルブ21を介
して導入され、かつ取り除かれ、基板取扱装置は図示していない。ガスは、ガス
状材料を連続して供給するための計測およびバルブ装置を含む、ガス供給および
パルス送出装置23から供給される。任意の処理装置25は、装置23から供給
されるガスからガスラジカルを生成するために設けられている。
【0024】 用語、「ラジカル」は、当技術分野においてよく知られており、理解されてい
るが、混乱を避けるためにここで再び説明する。「ラジカル」によって意味され
るものは、不安定な種である。例えば、酸素は2原子の形態で安定であり、原則
として自然界にはこの形態で存在する。しかし、2原子の酸素は単原子の形態に
分割される、または、他の原子と結合して3つの原子を備えた分子であるオゾン
を生成することがある。単原子の酸素とオゾンの双方とも、酸素の「ラジカルな
」形態であり、2原子の酸素より反応性が強い。本発明は、単原子ガスに厳密に
限定されてはいないが、本発明の実施形態の多くの場合において、生成され、使
用される「ラジカル」は、酸素、水素、および窒素などの様々なガスの単原子の
形態である。
【0025】 図2は、従来の原子層堆積プロセスのステップ図であり、本発明の対照および
関連としてこここに提示する。図2に示すように、従来のALDにおいて、ステ
ップ31において、第1の分子前駆物質は、反応装置チャンバ内にパルス送出さ
れ、所望の材料の(理論的に)単層を生成するために表面と反応する。これらの
プロセスにおいては、しばしば、前駆物質が金属を有するガスであり、堆積され
る材料は、金属、すなわち例えばTaClからのタンタルである。
【0026】 従来のプロセスにおけるステップ33において、チャンバから余分の第1の前
駆物質を一掃するために、反応装置チャンバ内に不活性ガスがパルス送出される
【0027】 従来のシステムにおけるステップ35において、典型的に非金属性である第2
の前駆物質が、反応装置内にパルス送出される。この第2の前駆物質の第1の目
的は、第1の前駆物質との反応性に向けて基板表面を元の状態に戻すことである
。多くの場合、第2の前駆物質は、表面において金属と結合するための分子性ガ
スからの材料も供給し、新しく堆積された金属を含む酸素または窒素などの化合
物を形成する。
【0028】 ステップ37において、反応装置チャンバは、第2の前駆物質の余剰分を除去
するために再びパージされ、続いて、ステップ31が繰り返される。サイクルは
、所望のフィルムを確立するために、必要な回数だけ繰り返される。
【0029】 図3は、本発明の実施形態によるラジカル支援CVDプロセスにおけるステッ
プを示すステップ図である。図3によって示される独自のプロセスにおいて、最
初のステップであるステップ41および43は、従来のプロセスと同じである。
第1の前駆物質は、基板表面と反応するためにステップ41においてパルス送出
され、単層の堆積物を形成し、チャンバは、ステップ43においてパージされる
。次のステップは独特である。ステップ45において、単一または複数のラジカ
ル種は、第2の材料を表面に任意に供給するため、および、続くステップにおい
て、第1の分子前駆物質との反応性に向けて表面の状態を整えるために、基板表
面にパルス送出される。続いて、ステップ41が繰り返される。第2のパージの
必要はなく、サイクルは、所望のフィルムを完成するために必要な回数だけ繰り
返される。
【0030】 ステップ45は、単一のラジカル種に関わる単一のステップであってもよい。
例えば、第1の前駆物質は、WFからのWなどの金属を堆積することができ、
ステップ45のラジカル種は、原子の水素であってもよい。原子の水素は、残存
するFをHFに非常に迅速かつ効果的に中和し、原子の水素で表面を終端処理し
、次のWFのパルスのために反応性の表面を提供する。
【0031】 多くの場合、ステップ45は、異なったラジカル種に関わるサブステップを含
む化合物ステップである。優れた例は、原子の酸素が後に続き、再び原子の水素
が後に続く、原子の水素のシーケンスである。第1の水素のステップは、Clま
たは他の残存する配位子を中和し、原子の酸素は新しく堆積された金属の酸化物
を供給し、第2の原子の水素は、次の金属前駆物質ステップのための処理として
(OH)で表面を終端処理する。
【0032】 ステップ45においては、広い範囲の材料および組合せがあり、多くは、プロ
セスの化学反応のさらに完全な説明とともに、以下にさらに詳細に開示されてい
る。
【0033】 RAS−CVDにおいて、金属前駆物質の反応に続いて、金属前駆物質の反応
の生成物と迅速に反応するため、および、次の金属前駆物質の反応のために表面
を処理するために、反応性の高いラジカル種が導入される。上記で導入されたラ
ジカル種は、化学的に不安定であり、したがって極度に反応性が高い、反応性原
子または分子フラグメントである。加えて、ラジカルは、実質的に100%の効
率で表面に化学吸着する。ラジカルは多くの方法で生成することができ、プラズ
マの発生は、処理の効率的かつ互換性のある手段であることが見出されている。
【0034】 RAS−CVDプロセスは、単一の分子前駆物質、多くの場合、金属前駆物質
のみを使用する。表面処理、並びに非金属元素の堆積は、原子/表面反応によっ
て達成される。金属前駆物質反応に続いて、−ML終端処理された表面は、表面
を−MHに変換して、HL副産物を排除するために、水素原子と反応させられる
。分子/表面反応と異なり、原子/表面反応は、反応性部位の数密度には依存し
ない。原子の離脱は、通常好ましくないため、(貴ガスを除く)ほとんどの原子
は、非可逆的プロセスで表面に非常に効率的に固着する。原子は、非反応性部位
では移動性が高く、反応性部位では非常に反応性が高い。その結果、原子/表面
反応は、線形の露出依存性、並びに大きな速度を有する。
【0035】 −MH表面は、A原子と反応することができ、−M−A−表面を作る。この場
合、H配位子の一部は、AHとして排除することができる。例えば、−MH表
面は、酸素原子と反応することができ、酸化化合物を堆積する。この代わりに、
−MH表面は、M金属フィルムの原子層制御堆積のために、MLと再び反応す
ることができる。窒化化合物フィルムの堆積のために、Aは原子の窒素である。
A原子の反応の後の表面は、A−およびAHで終端処理される。この時点で、水
素との付加的な原子の反応は、表面を、金属前駆物質に向けて反応性のある所望
のAH配位子に変換する。この代わりに、MH表面は、AおよびH原子の混合物
と反応することができ、1つ少ないステップでこの表面を−AH終端処理された
表面に変換する。上記に説明した反応のすべては、迅速かつ効率的であり、露出
に線形的に依存するラジカル/表面反応である。加えて、最後の水素反応は、不
純物のいかなる取り込みもない、初期表面への完全な回復をもたらす。
【0036】 RAS−CVDの他のスループットに対する利益は、従来のプロセスにおいて
必要である2つのパージステップではなく、金属前駆物質ステップの後の単一の
パージステップが必要であることである。パージステップは、ほとんどの研究者
によって、ALDプロセスにおいて最も重大なスループット制限ステップである
と予想されている。他の長所は、RAS−CVDが、より長いシステム稼働時間
および軽減されたメンテナンスが予想されることである。これは、原子種が、堆
積モジュールのアルミニウムの壁で効率的に抑えられるためである。したがって
、チャンバおよびポンプ系配管での下流側堆積は、実質的に排除される。RAS
−CVDは、従来技術において(それぞれ)酸化物および窒化物の堆積のために
一般に適用されている、HOおよびNHの使用を排除する。これらの前駆物
質は、真空系のメンテナンスおよび停止時間を増大させるため、嫌われている。
【0037】 上記にしたがって、金属酸化物フィルムのための典型的なRAS−CVDサイ
クルは、以下のステップを含む。 1.−O−MLを付加し、HL堆積によって水素を排除するための、−OH(
ヒドロキシル)で終端処理された表面との金属前駆物質反応。表面はL配位子で
覆われる、すなわち、TaClの場合、表面はCl原子で覆われる。 2.余剰な金属前駆物質を一掃するための不活性ガスによるパージ。 3.HL脱離によって配位子Lを排除し、表面を水素で終端処理する原子水素ス
テップ。 4.金属の単層と反応して酸化物を形成する原子酸素ステップ。原子水素は、次
の金属前駆物質ステップのためにヒドロキシルで飽和した表面を再び残す。
【0038】 この時点において、酸化物フィルムの品質(すなわち、絶縁特性、誘電体強度
、電荷トラップ)は、ステップ4+5を複数回実行することによって改善するこ
とができる。例えば、AlRAS−CVDは、トリメチルアルミニウムA
l(CH、水素、および酸素への露出から実現することができる。−OH
で終端処理された表面と反応するAl(CHは、メタン(CH)の脱離
と同時に−OAl(CHを堆積する。OAl(CH(x=1、2)
表面は、H原子で処理され、x個のメタン分子を排除し、表面をOAlHで終端
処理する。O原子およびH原子との連続した(または、同時の)反応後のこの表
面は、回復状態であるOAl−OH終端処理される。この時点において、RAS
−CVDプロセスは、別のAl(CH反応を適用することによって進行す
ることができる。この代わりに、−OAl−OH表面は、OおよびH原子の他の
サイクルに露出させることができる。プロセスが、より歪んだ(Al−O−) 環構造を排除し、並びに欠陥および壊れた結合を減少させるため、100℃以上
の温度において、このプロセスは、OH基とAl−O−Al架橋部位を交換し、
その結果生じたOAl−OH表面は、開始時の表面より熱反応速度論的により好
ましい。原子の反応がむしろ速いため、これらの品質改良は、主なスループット
の問題であると予想されている。事実、究極の品質は、O、Hサイクルを何回か
適用することによって達成することができる。与えられたO、H原子の反応の数
に従って、シーケンスは、次のAl(CH反応を伴って継続する。
【0039】 6.1からステップを繰り返す。
【0040】 金属窒化物については、原子の窒素が酸素と置き換えられる。純粋な金属の堆
積のために、酸素/窒素のステップは、タングステンフィルムなどのための単一
の原子水素ステップのために、排除することができる。第1の原子水素ステップ
後の水素飽和表面は、WFと反応性を持ち、純粋な金属を生成する。
【0041】 RAS−CVDの一般的な性質は、異なった酸化物、異なった窒化物、窒化物
を備えた酸化物、異なった金属、および化合物フィルムを備えた金属の複数の層
の組合せフィルムに対して有利である。
【0042】 障壁層のために有用である他の独自のプロセスにおいて、WNプロセスは、純
粋なWプロセスと組み合わせることができ、様々な構成の交互のWとWN層を生
成し、多結晶化を抑え、障壁層の抵抗率を低減する。エレクトロマイグレーショ
ンなどの他の特性は、このような応用例のための銅界面における窒素含有量を低
減した、WNのグレーデッド層を提供する能力によって制御することができる。
【0043】 本発明の実施形態において、広範なプロセス化学反応を実現することができ、
広範な最終的フィルムを提供する。例えば、純粋な金属の領域では、部分的だが
限定しないリストを以下に掲げる。 1.六フッ化タングステンからタングステン。 2.五塩化タンタルからタンタル。 3.三塩化アルミニウムまたはトリメチルアルミニウムのいずれかからアルミニ
ウム。 4.四塩化チタンまたは四ヨウ化チタンからチタン。 5.六フッ化モリブデンからモリブデン。 6.ニ塩化亜鉛から亜鉛。 7.四塩化ハフニウムからハフニウム。 8.五塩化ニオブからニオブ。 9.CuClから銅。
【0044】 酸化物の領域においては、部分的だが限定しないリストを以下に掲げる。 1.五塩化タンタルから五酸化タンタル。 2.トリメチルアルミニウムまたは三塩化アルミニウムから酸化アルミニウム。 3.四塩化チタンまたは四ヨウ化チタンから酸化チタン。 4.五塩化ニオブから五酸化ニオブ。 5.四塩化ジルコニウムから酸化ジルコニウム。 6.四塩化ハフニウムから酸化ハフニウム。 7.ニ塩化亜鉛から酸化亜鉛。 8.六フッ化モリブデンまたは四塩化モリブデンから酸化モリブデン。 9.ニ塩化マグネシウムから酸化マグネシウム。 10.四塩化スズから酸化スズ。 11.三塩化インジウムまたはトリメチルインジウムから酸化インジウム。 12.六フッ化タングステンから酸化タングステン。 13.四塩化シリコンから二酸化シリコン。
【0045】 窒化物の領域においては、部分的だが限定しないリストを以下に掲げる。 1.六フッ化タングステンから窒化タングステン。 2.五塩化タンタルから窒化タンタル。 3.三塩化アルミニウムまたはトリメチルアルミニウムから窒化アルミニウム。 4.四塩化チタンから窒化チタン。 5.四塩化シリコンまたはジクロロシランから窒化シリコン。 6.トリメチルガリウムから窒化ガリウム。
【0046】 ハードウエアの要件 RAS−CVDの他の長所は、ほとんどの場合に、これがALDプロセスのハ
ードウエアと互換性を持っていることである。重大な差は、原子種および/また
は他のラジカルの生成、および、プロセスチャンバへのガスのタイミングおよび
シーケンスにある。原子種の生成は、(1)もとの場所でのプラズマ発生、(2
)内部シャワーヘッドによるプラズマ発生、(3)高密度遠隔プラズマソース、
またはUV解離または準安定分子の解離などの他の手段による外部での発生など
の様々な方法で行うことができる。図1を再び参照すると、これらの方法および
装置は、装置25によって包括的に表されている。
【0047】 任意で、その場での発生は、最も単純な方式であるが、スループットの制限と
なることがあるターンオン/ターンオフ回数などのいくつかの問題を課す。内部
シャワーヘッドによる発生は、ALD空間からの原子種発生を分離するという長
所を有することが示されている。本明細書の時点における好ましい方法は、最も
汎用性の大きい方法であるため、高密度ソースによる遠隔発生である。ラジカル
は遠隔ソース内で発生され、ALDボリュームに送られ、処理中のウエハの上方
にあるシャワーヘッドによって分配される。
【0048】 上記に説明した実施形態の変形として、本発明の範囲内で実施することができ
る様々な選択肢があることは、当業者に明らかである。一部は既に説明した。例
えば、水素、酸素、窒素などの必要な種のラジカルは、様々な方法で発生させる
ことができ、プロセスの各ステップに送られる。さらに、ALDチャンバ、ガス
の分配、バルブ操作、タイミングなどは、多くの特定の形態に変更できる。さら
に、多くの金属、酸化物、窒化物なども生成することができ、プロセスの各ステ
ップは、徐々に変わる、および交互になったフィルムを形成するために変更およ
び挿入することができる。
【0049】 原子層堆積の間の寄生化学気相成長を最小限に抑えるための装置および方法 本発明のさらなる実施形態において、ALDプロセスの間のCVD堆積による
汚染を防止するための装置および方法が提供される。図4は、化学前駆物質の種
の急速なパルス送出およびパージステップを使用する、良好に稼働するシステム
についての一般化した化学前駆物質の分圧対時間の曲線46である。各活性化学
前駆物質の分圧47は、X軸上の時間に対して、図のY軸上に定性的に示す。前
駆物質「A」49および前駆物質「B」50の分圧は、便宜のために示す。3つ
以上の前駆物質を伴うシステムは、各化学前駆物質についての分圧の明瞭なピー
クと同様にふるまう。
【0050】 図4において特に注目すべきことは、各前駆物質の分圧が、各パルスの開始時
において急速に上昇する一方、各パルスの最後には明瞭な「引きずり(tail
)」がある。この「引きずり」は、前駆物質が堆積システムから完全には除去さ
れていないという不要な状態を表す。この引きずりは、例えば、真空技術の分野
においてガス放出として知られている現象、すなわち、ガスの分子が、導管およ
びチャンバ内の表面に対して特定の親和性を有し、そのような表面に拘束された
分子が、ガスの流れが停止された後でもシステム内で発生を続けるという事実な
どの様々な現実の現象の結果である。前の前駆物質がまだ存在している間に、次
の前駆物質が堆積チャンバ59、図6に導入された場合、不要なCVD副反応が
起こり、図6の基板61上の所望のフィルムを汚染する。フィルムの汚染に加え
て、不要なCVD反応がガス相内に核を形成し、基板61上または堆積チャンバ
59内に不要な粒子の蓄積をもたらす。
【0051】 図5は、化学前駆物質の種の急速なパルス送出、パージステップ、および本発
明の応用例において実施された新規な予備反応装置の発明を使用する、良好に稼
働するシステムについての理想化した化学前駆物質の分圧対時間の曲線51であ
る。各活性化学前駆物質の分圧52は、X軸上の時間に対して、図のY軸上に定
性的に示す。前駆物質「A」54および前駆物質「B」55の分圧は、便宜のた
めに示す。3つ以上の前駆物質を伴うシステムは、各化学前駆物質についての分
圧の明瞭なピークと同様にふるまう。
【0052】 図5において特に注目すべきことは、各前駆物質の分圧が、各パルスの開始時
において急速に上昇し、各プログラムされたフローパルスの最後に急速に落ちる
ことである。図4において存在する明瞭な化学物質の「引きずり」は、主に、本
発明で説明される新規な予備反応装置の結果として排除される。この「引きずり
」効果を起こす微量の化学前駆物質を除去するために使用される方法および装置
は、以下の実施可能とする詳細に説明する。
【0053】 図6は、本発明の追加の実施形態によるRAS−CVDを実現するためのシス
テム56の一般化した図である。RAS−CVDは例として使用されているが、
発明者は、本発明の装置と方法が、RAS−CVDに限定されないが、一般に、
すべての種類のALDおよび多くの他の連続CVDプロセスに適用することがで
きることが明らかであることを意図している。
【0054】 この例示的システムにおいて、堆積チャンバ59は、コーティングされる基板
61を支持し、かつ加熱するための加熱可能な炉床、および、コーティングされ
る基板表面にガス状種を供給するためのシャワーヘッド60などのガス分配装置
を有する。基板は、チャンバ59からバルブ64を介して導入され、かつ取り除
かれ(参照符号65)、基板取扱い装置は図示していない。ガスは、ガス状材料
を連続して供給するための計測およびバルブ装置を含む、ガス供給およびパルス
送出装置57から供給される。任意の処理装置58は、装置57から供給される
ガスからガスラジカルを生成するために設けられている。予備反応装置66は、
不要なCVD副反応の改善された制御を提供するために、このシステムに追加さ
れている。
【0055】 予備反応装置は、様々な形態を取ることができ、考えられる変形のいくつかは
図6、7、8、および、9に示し、以下にさらに詳細に説明する。すべての図は
、ガス供給およびパルス送出装置57、ラジカル生成のための任意の処理装置5
8、ガス分配装置60、堆積チャンバ59、基板61を加熱するための加熱炉床
62、使用済み化学物質排出システム63、基板導入および取り出し装置65、
および、バルブ64を共通して利用する。これらの品目は、この例示的システム
においては共通である。加えて、予備反応装置の一部だがすべてではない実施を
示す。例えば、1つの実施形態のおいて、シャワーヘッドなどのガス分配装置は
、2倍のデューティで機能することができ、予備反応装置チャンバも同様である
【0056】 図6において、予備反応装置66は、ガスラジカルを生成する任意の処理装置
とガス分配シャワーヘッドとの間のプロセスガス通路に配置されている、物理的
に分離したチャンバとして示す。予備反応プロセスは、加熱、RFプラズマ、U
V、または他の手段のいずれかによって供給された十分な活性エネルギーを備え
た、いかなる表面上でも起こる可能性がある。
【0057】 図7は、本発明のさらなる実施形態において、RAS−CVDを実現するため
のシステム67の一般化した図である。図7において、予備反応装置68の2つ
の実施形態を示す。第1は、ガス分配シャワーヘッド60への予備反応装置68
の組み込みである。この場合、不要なCVD副反応は、ガス分配シャワーヘッド
68の内部の自立している加熱された表面上に起こされる。このような加熱され
た表面は、非常に様々な方法で提供することができ、予備反応チャンバの形態は
、同様に、例えば、長いコイル状の加熱された導管などの非常に様々な形態を取
ることができる。不要な化学物質の「引きずり」の急速な消耗は、副反応が基板
上で起きる可能性を排除し、システムに進入する各化学反応物の間の時間の短縮
を可能にする。1つの好ましい実施形態において、予備反応のために必要な熱的
入力は、炉床および基板からの熱伝達を伴って、基板61へのシャワーヘッド装
置の近接によって供給される。
【0058】 図8は、RAS−CVDを実現するためにシステム69を提供する本発明のさ
らなる実施形態の一般化した図である。図8において、予備反応装置70の2つ
の実施形態を示す。第1は、図7と概念的に同様のガス分配シャワーヘッド60
への予備反応装置70の組み込みである。この場合、不要のCVD副反応は、こ
の実施形態においては、炉床62および基板61によって、シャワーヘッド60
へのこれらの要素の近接のために加熱される、ガス分配シャワーヘッド68自体
の加熱された表面上に起こされる。再び、不要な化学物質の「引きずり」の急速
な消耗が、副反応が基板上で起きる可能性を排除し、システムに進入する各化学
反応物質の間の時間の短縮を可能にする。
【0059】 図9は、本発明のさらなる実施形態におけるRAS−CVDを実現するための
システム71についての一般化された図である。図9において、予備反応装置7
2の2つの実施形態を示す。第1は、図7と概念的に同様のガス分配シャワーヘ
ッド60への予備反応装置72の組み込みである。しかし、この新しい実施形態
においては、シャワーヘッド内で発生されたRFプラズマを使用して、不要なC
VD副反応を活性化することによって、ガス分配シャワーヘッド68と予備反応
装置72の組合せ内で、不要なCVD副反応が起こされる。このプロセスは不要
な化学物質の「引きずり」の急速な消耗を引き起こし、副反応が基板上で起きる
可能性を排除し、システムに進入する各化学反応物質の間の時間の短縮を可能に
する。
【0060】 これらの変形に加えて、本明細書に説明したプロセスを組み込むことによって
、2種または3種以上の金属の合金を堆積することができ、2種または3種以上
の成分の化合物を堆積することができ、徐々に変化するフィルムおよびナノ積層
物なども同様に形成することができる方法で、プロセスの各ステップを変更でき
ることは、当業者には明らかである。これらの変化は、典型的にその場で、サイ
クルを変更するうえで、本発明の特定の実施形態を使用する単なる変形である。
本発明の精神および範囲内において、多くの他の変化があり、そのため、本発明
は特許請求の範囲によってのみ限定される。さらに、本発明の予備反応チャンバ
の態様を特に参照すると、説明した実施形態からの様々な逸脱は、本発明の精神
および範囲内に該当すること、加えて、実施形態および変化の多くの組合せを作
成できることは、当業者には明らかである。例えば、プラズマの活性化は、本発
明の範囲内で、予備反応チャンバ内で容易に使用することができ、チャンバ自体
は、広い範囲の形態のいずれも呈することができる。
【図面の簡単な説明】
【図1】 本発明の実施形態によるラジカル支援の連続CVDプロセスを実現するための
反応装置および関連装置類の一般化した図である。
【図2】 原子層堆積プロセスの主要なステップを示すステップ図である。
【図3】 本発明の実施形態によるラジカル支援CVDプロセスにおけるステップを示す
ステップ図である。
【図4】 巧みに構成されたガス流ソースおよびパルス送出サブシステムを備えた、シス
テムのための典型的な時間依存化学前駆物質分圧曲線を示す図である。
【図5】 本発明の実施形態を実現した結果、鋭く規定された「フローオフ」状態が達成
された時間依存化学前駆物質分圧曲線を表す図である。
【図6】 不要なCVD副反応を排除する、本発明の改良された実施形態によるラジカル
支援連続CVDを達成するための反応装置および関連装置類の一般化した図であ
る。
【図7】 図6における反応装置の第2の実施を示す図である。
【図8】 図6における反応装置の第3の実施を示す図である。
【図9】 図6における反応装置の第4の実施を示す図である。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,CA,C H,CN,CR,CU,CZ,DE,DK,DM,EE ,ES,FI,GB,GD,GE,GH,GM,HR, HU,ID,IL,IN,IS,JP,KE,KG,K P,KR,KZ,LC,LK,LR,LS,LT,LU ,LV,MA,MD,MG,MK,MN,MW,MX, NO,NZ,PL,PT,RO,RU,SD,SE,S G,SI,SK,SL,TJ,TM,TR,TT,TZ ,UA,UG,UZ,VN,YU,ZA,ZW Fターム(参考) 4K030 AA03 AA04 AA11 BA02 BA12 BA13 BA17 BA18 BA20 BA21 BA38 BA42 EA01 EA06 FA10 HA01 KA49 LA15 4M104 BB02 BB04 BB13 BB14 BB16 BB17 BB18 BB30 BB32 BB33 DD44 DD45 5F045 AA04 AA15 BB04 EE10 EE19

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 (a)ガスソースとコーティングすべき基板との間に予備反
    応チャンバを設置するステップ、および (b)加熱された表面上にCVD反応によって汚染物質元素を堆積させるのに
    十分な温度まで、予備反応チャンバ内の表面を加熱するステップを含む、原子層
    堆積プロセス間の寄生化学気相成長を最小限に抑えるための方法。
  2. 【請求項2】 ガスソースからガス分配装置へ交互に増加する方式でガスを
    供給するための通路、および ガスがガス分配装置に入る前に、汚染物質元素を堆積させるための、予備反応
    チャンバ内の加熱された表面を含む、原子層堆積システムのための予備反応チャ
    ンバ。
JP2001545359A 1999-12-17 2000-11-21 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法 Pending JP2003517731A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/466,100 US6305314B1 (en) 1999-03-11 1999-12-17 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US09/466,100 1999-12-17
PCT/US2000/032016 WO2001045158A1 (en) 1999-12-17 2000-11-21 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Publications (2)

Publication Number Publication Date
JP2003517731A true JP2003517731A (ja) 2003-05-27
JP2003517731A5 JP2003517731A5 (ja) 2005-12-22

Family

ID=23850468

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001545359A Pending JP2003517731A (ja) 1999-12-17 2000-11-21 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法

Country Status (9)

Country Link
US (2) US6305314B1 (ja)
EP (1) EP1238421B1 (ja)
JP (1) JP2003517731A (ja)
KR (1) KR100522951B1 (ja)
CN (1) CN1191614C (ja)
AT (1) ATE388484T1 (ja)
AU (1) AU1925401A (ja)
DE (1) DE60038250T2 (ja)
WO (1) WO2001045158A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001348666A (ja) * 2000-04-14 2001-12-18 Asm Microchemistry Oy 基板上に薄膜を成長させる方法および装置
JP2005521792A (ja) * 2002-03-28 2005-07-21 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ 二酸化珪素ナノラミネートの蒸着
JP2007056369A (ja) * 2005-08-24 2007-03-08 Integrated Process Systems Ltd Ge−Sb−Te薄膜蒸着方法
KR20120062895A (ko) * 2009-09-11 2012-06-14 도쿄엘렉트론가부시키가이샤 금속-실리콘 함유 막의 펄스형 화학 기상 증착
JP2012514753A (ja) * 2009-01-07 2012-06-28 ロベルト・ボッシュ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング 電磁放射センサおよび製造方法
KR101317219B1 (ko) * 2010-01-25 2013-10-15 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2015028218A (ja) * 2008-07-03 2015-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子層堆積装置
JP2022516870A (ja) * 2018-12-28 2022-03-03 アプライド マテリアルズ インコーポレイテッド 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100356473B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
KR100331964B1 (ko) * 2000-06-08 2002-04-10 김경균 원자층 증착 설비 및 이를 이용한 원자층 증착 방법
KR20010114050A (ko) * 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
KR100886997B1 (ko) * 2000-12-05 2009-03-04 도쿄엘렉트론가부시키가이샤 피처리체의 처리방법 및 처리장치
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
FR2842829B1 (fr) * 2002-07-25 2004-09-24 Memscap Structure multicouche, utilisee notamment en tant que materiau de forte permittivite
US6713199B2 (en) * 2001-12-31 2004-03-30 Memscap Multilayer structure used especially as a material of high relative permittivity
KR100979575B1 (ko) * 2002-01-17 2010-09-01 썬듀 테크놀로지스 엘엘씨 원자층 침착 장치 및 이의 제조방법
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
GB0211354D0 (en) * 2002-05-17 2002-06-26 Surface Innovations Ltd Atomisation of a precursor into an excitation medium for coating a remote substrate
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (ja) * 2002-10-21 2008-03-26 キヤノン株式会社 情報処理装置及び情報処理方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6716693B1 (en) 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7662233B2 (en) * 2003-06-27 2010-02-16 Ofer Sneh ALD apparatus and method
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
DE102004061094A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
JP3984639B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
CN100461343C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用预处理的材料原子层沉积的方法
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7727912B2 (en) * 2006-03-20 2010-06-01 Tokyo Electron Limited Method of light enhanced atomic layer deposition
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN101496153A (zh) 2006-07-31 2009-07-29 应用材料股份有限公司 形成含碳外延硅层的方法
DE112007001813T5 (de) 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
WO2008108754A1 (en) * 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8568529B2 (en) * 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
WO2010127156A2 (en) * 2009-04-29 2010-11-04 Applied Materials, Inc. Method of forming in-situ pre-gan deposition layer in hvpe
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
EP2746423B1 (en) * 2012-12-20 2019-12-18 Applied Materials, Inc. Deposition arrangement, deposition apparatus and method of operation thereof
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
KR102115337B1 (ko) * 2013-07-31 2020-05-26 주성엔지니어링(주) 기판 처리 장치
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102271202B1 (ko) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
WO2016009404A1 (en) 2014-07-17 2016-01-21 Reliance Industries Limited A process for modifying a heterogeneous catalyst with an organometallic compound, a heterogeneous catalyst and system thereof
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
TWI564425B (zh) * 2015-07-21 2017-01-01 Atomic layer oxidation and reduction of material modification process
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
CN105908151B (zh) * 2016-03-01 2018-11-30 江南大学 一种纳米薄膜的原子层沉积定量建模方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI740046B (zh) 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
TW202111825A (zh) * 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN117364063B (zh) * 2023-10-27 2024-05-10 无锡松煜科技有限公司 一种银粉及其制备方法与应用

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5015503A (en) * 1990-02-07 1991-05-14 The University Of Delaware Apparatus for producing compound semiconductor thin films
US5320680A (en) 1991-04-25 1994-06-14 Silicon Valley Group, Inc. Primary flow CVD apparatus comprising gas preheater and means for substantially eddy-free gas flow
JPH08236459A (ja) * 1995-02-27 1996-09-13 Sony Corp Cvd装置
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR19980021208A (ko) * 1996-09-14 1998-06-25 김광호 화학기상증착 장비
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6051276A (en) * 1997-03-14 2000-04-18 Alpha Metals, Inc. Internally heated pyrolysis zone
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001348666A (ja) * 2000-04-14 2001-12-18 Asm Microchemistry Oy 基板上に薄膜を成長させる方法および装置
JP2005521792A (ja) * 2002-03-28 2005-07-21 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ 二酸化珪素ナノラミネートの蒸着
JP2007056369A (ja) * 2005-08-24 2007-03-08 Integrated Process Systems Ltd Ge−Sb−Te薄膜蒸着方法
JP4515422B2 (ja) * 2005-08-24 2010-07-28 インテグレイテッド プロセス システムズ リミテッド Ge−Sb−Te薄膜蒸着方法
US8029859B2 (en) 2005-08-24 2011-10-04 Integrated Process Systems Ltd. Method of depositing Ge-Sb-Te thin film
JP2015028218A (ja) * 2008-07-03 2015-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子層堆積装置
JP2012514753A (ja) * 2009-01-07 2012-06-28 ロベルト・ボッシュ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング 電磁放射センサおよび製造方法
JP2013504875A (ja) * 2009-09-11 2013-02-07 東京エレクトロン株式会社 金属−シリコン−含有膜のパルス化学蒸着方法
KR20120062895A (ko) * 2009-09-11 2012-06-14 도쿄엘렉트론가부시키가이샤 금속-실리콘 함유 막의 펄스형 화학 기상 증착
KR101696957B1 (ko) * 2009-09-11 2017-01-16 도쿄엘렉트론가부시키가이샤 금속-실리콘 함유 막의 펄스형 화학 기상 증착
KR101317219B1 (ko) * 2010-01-25 2013-10-15 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2022516870A (ja) * 2018-12-28 2022-03-03 アプライド マテリアルズ インコーポレイテッド 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置
JP7239707B2 (ja) 2018-12-28 2023-03-14 アプライド マテリアルズ インコーポレイテッド 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置

Also Published As

Publication number Publication date
US20010000866A1 (en) 2001-05-10
ATE388484T1 (de) 2008-03-15
CN1415115A (zh) 2003-04-30
WO2001045158A1 (en) 2001-06-21
US6451119B2 (en) 2002-09-17
AU1925401A (en) 2001-06-25
DE60038250T2 (de) 2008-06-19
EP1238421A1 (en) 2002-09-11
KR20020063234A (ko) 2002-08-01
CN1191614C (zh) 2005-03-02
US6305314B1 (en) 2001-10-23
DE60038250D1 (de) 2008-04-17
EP1238421B1 (en) 2008-03-05
KR100522951B1 (ko) 2005-10-24
EP1238421A4 (en) 2006-06-21

Similar Documents

Publication Publication Date Title
JP2003517731A (ja) 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6602784B2 (en) Radical-assisted sequential CVD
JP4546060B2 (ja) 原子層堆積法および化学気相成長法の均一性および再現性を向上するパッシベーション方法
EP2052098A1 (en) Method of cleaning film forming apparatus and film forming apparatus
WO2013070702A1 (en) Deposition of metal films using alane-based precursors
US9005704B2 (en) Methods for depositing films comprising cobalt and cobalt nitrides
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
US9721787B2 (en) Film deposition using tantalum precursors
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
KR101302592B1 (ko) 실리콘 화합물 박막의 형성방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040722

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050524

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050818

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051220

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060314

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060718

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061017

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061024

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070118

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080617