TWI433252B - 活化氣體噴射器、成膜裝置及成膜方法 - Google Patents

活化氣體噴射器、成膜裝置及成膜方法 Download PDF

Info

Publication number
TWI433252B
TWI433252B TW98128929A TW98128929A TWI433252B TW I433252 B TWI433252 B TW I433252B TW 98128929 A TW98128929 A TW 98128929A TW 98128929 A TW98128929 A TW 98128929A TW I433252 B TWI433252 B TW I433252B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
reaction
turntable
region
Prior art date
Application number
TW98128929A
Other languages
English (en)
Other versions
TW201029089A (en
Inventor
Hitoshi Kato
Yasushi Takeuchi
Shigehiro Ushikubo
Hiroyuki Kikuchi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201029089A publication Critical patent/TW201029089A/zh
Application granted granted Critical
Publication of TWI433252B publication Critical patent/TWI433252B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5093Coaxial electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J1/00Details of electrodes, of magnetic control means, of screens, or of the mounting or spacing thereof, common to two or more basic types of discharge tubes or lamps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Description

活化氣體噴射器、成膜裝置及成膜方法
本發明係一種活化氣體噴射器、成膜裝置及成膜方法,係關於將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜之技術。
半導體製程之成膜方法中,已知有將基板之半導體晶圓(以下稱為「晶圓」)等的表面在真空氣氛下吸附有第1反應氣體後,供給第2反應氣體,藉由兩種氣體的反應而形成1層或複數層的原子層或或分子層,並藉由進行多數次的該循環以將該等層加以層積,進而對基板上進行成膜之製程。這製程亦被稱做為例如ALD(Atomic Layer Deposition)或MLD(Molecular Layer Deposition)等(以下稱作ALD方式),因為對應於循環次數而可高精確度地控制膜厚,且膜品質的面內均勻性亦良好,而為一種對應半導體元件之薄膜化的有效方法。
進行這樣成膜方法之裝置,有使用在真空容器的上部中央具備淋氣頭之枚葉成膜裝置,並討論有由基板的中央部上方側來供給反應氣體,並將未反應之反應氣體及反應副生成物由處理容器的底部進行排氣的方法。然而,該成膜方法因沖洗氣體之氣體置換需要長時間,又 循環次數亦要進行例如數百次,因此具有處理時間過長的問題,故而希望有能以高處理效率進行處理之裝置及方法。
由於這樣的背景,專利文獻1~專利文獻8中便提出將複數片基板配置於真空容器內之迴轉台的迴轉方向來進行成膜處理之裝置。
然而,ALD方式之成膜方法所適合的例子,例如有使用於閘氧化膜等之高介電膜、例如氮化矽膜(SiN膜)或矽氧化膜(SiO2 膜)等之成膜。其中一例,例如在成膜氮化矽膜的情況,第1反應氣體係例如使用二氯矽烷(以下稱作「DCS」)等氣體,第2反應氣體係例如使用氨氣等。利用這樣反應氣體之成膜,必須提供將習知的晶圓升溫至例如700℃~800℃之溫度來成膜所需要的能量,但伴隨著半導體裝置之更高積體化及細微化,成膜時施加於晶圓之熱歷程(thermal history)必須要降低。
例如在專利文獻9中,記載有將例如數十片~百來片之晶圓以棚狀保持於保持具再搬入至圓筒型反應管內,將反應氣體供給至該反應管內以對晶圓進行成膜之縱型批次式成膜裝置中,將例如一邊的反應氣體之氨氣電漿化後,供給至反應容器內以進行成膜之技術。藉由利用以電漿化所產生的高反應性之反應氣體之活性自由基(例如NH3 自由基)來進行成膜,可以使得施加於晶圓之熱能較習知方法為低。
設置於專利文獻9之成膜裝置的電漿產生部係將施加有高頻電力之平行平板型電極沿反應管壁的高度方向設置所構成,將通過平行平板間之電漿化後的活化後反應氣體由反應管壁供給至棚狀保持於該反應管內的晶圓。然而,因電漿化之活化後反應氣體(含有NH3 自由基等活性自由基之反應氣體)的失去活性速度非常大,就由反應管壁所供給反應氣體可立刻到達之晶圓周緣部與反應氣體較該周緣部更慢到達之晶圓中央部而言,該反應氣體中的活性自由基的濃度會有很大的差異,故有該等部位間的膜品質之面內均勻性會降低的問題。
再者,本案申請人檢討迴轉台型之成膜裝置中,設置有由處理容器壁朝迴轉台中央部徑向延伸之反應氣體噴嘴,反應氣體流通於該噴嘴內,並經由該噴嘴之側壁面所設置之複數個氣體供給孔通過噴嘴的下方以朝晶圓噴出。此時,如專利文獻9所記載之技術,採用於處理容器壁,即噴嘴的一端側設置電漿產生部,以將反應氣體電漿化再供給至噴嘴內之結構,由於反應氣體之活性自由基例如僅和固體表面接觸即失去活性,所以在狹窄的噴嘴內與噴嘴的壁面接觸並流通時,反應氣體的活性會急遽地喪失。因為這樣的理由,當專利文獻9所記載之技術應用於本案申請人所開發中的迴轉台型成膜裝置時,即使習知的縱型熱處理裝置也會增加膜品質之面內均勻性降低的問題。
又,將電漿照射至晶圓的技術,已知有如圖1所示,相對於處理容器500內之載置台501上所載置之晶圓W,將反應氣體A及反應氣體B加以反應而成膜後,將電漿產生室502所產生之氧自由基供給至薄膜以改質該薄膜之方法。符號503為自由基搬送路徑,符號504為氣體供給部,符號505為反應氣體A的供給源,符號506為反應氣體B的供給源,符號507為氣體供給路徑,符號508為加熱器,符號509為真空泵。薄膜可例如為SiO2 膜,此時氧自由基具有去除SiO2 膜中之N、OH基、H等不純物之功能。但是,供給至晶圓W之電漿係所謂的遠程電漿,因此自由基在搬送途中會失去活性,使得供給至晶圓W之自由基濃度過低而無法進行充分的改質。因此,由於無法順利進行由SiO2 膜排除該不純物,使得Si與O的比率不會接近於1:2,又難以形成Si-O-Si的3維結構,其結果便無法得到優良的SiO2 膜。另外,為了促進電漿中的臭氧分解,可以考慮將晶圓溫度提高至高溫,但這樣卻無法對應低溫製程。
(專利文獻1)美國專利公報第7,153,542號:圖6A、圖6B
(專利文獻2)日本特開2001-254181號公報:圖1、圖2
(專利文獻3)日本特許3144664號公報:圖1、圖2、申請專利範圍第1項
(專利文獻4)日本特開平4-287912號公報
(專利文獻5)美國專利公報6,634,314號
(專利文獻6)日本特開2007-247066號公報:段落0023~0025、0058、圖12及圖20
(專利文獻7)美國專利公開公報2007/218701號
(專利文獻8)美國專利公開公報2007/218702號
(專利文獻9)日本特開2004-343017號公報:段落 0021~0025、圖1~圖4
本發明有鑒於上述情事,而提供一種能供給均勻的活化後處理氣體之活化氣體噴射器、具有該噴射器之成膜裝置及成膜方法。
本發明第1樣態係提供一種活化氣體噴射器,係具備有:流道形成組件,係藉由分隔壁將氣體活化用流道及氣體導入用流道加以分隔;氣體導入埠,係用以將處理氣體導入至該氣體導入用流道;一對氣體活化用構件,係於該氣體活化用流道內沿著該分隔壁相互隔有間隔地併列延伸設置,以將處理氣體活化,該間隔係供氣體通過;連通孔,係沿著該氣體活化用構件的長度方向設置於該分隔壁,以將該氣體導入用流道內之處理氣體供給至該氣體活化用流道;以及 氣體噴出口,係沿著該氣體活化用構件的長度方向設置於該氣體活化用流道,以將該氣體活化用流道所活化後的氣體噴出。
本發明第2樣態係提供一種第1樣態的活化氣體噴射器,其中該氣體活化用構件為電極或加熱器。
本發明第3樣態係提供一種成膜裝置,係具備有:迴轉台,係設置於真空容器內;基板載置區域,係設置用以將基板載置於該迴轉台;以及上述任一種活化氣體噴射器,係對向於該迴轉台之基板載置區域側且與該迴轉台之移動路徑交叉地設置,用以將活化後氣體供給至該基板載置區域所載置的基板以進行成膜。
本發明第4樣態係提供一種成膜裝置,係具備有:迴轉台,係設置於真空容器內;基板載置區域,係設置用以將基板載置於該迴轉台;以及上述任一種活化氣體噴射器,係對向於該迴轉台之基板載置區域側且與該迴轉台之移動路徑交叉地設置,用以將活化後氣體供給至該基板載置區域所載置的基板以進行該基板上之薄膜改質。
本發明第5樣態係提供一種成膜裝置,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生 成物層以形成薄膜。該成膜裝置具備有:迴轉台,係設置於該真空容器內;基板載置區域,係設置用以將基板載置於該迴轉台;第1反應氣體供給機構及第2反應氣體供給機構,係於該迴轉台之迴轉方向相互遠離地設置,以分別將第1反應氣體及第2反應氣體供給至該迴轉台之基板的載置區域側一面,該第2反應氣體供給機構係由申請專利範圍第1至4項任一項之活化氣體噴射器所構成;分離區域,係為了將供給有該第1反應氣體之第1處理區域及供給有第2反應氣體之第2處理區域的氣氛分離而設置於該迴轉方向的該等處理區域之間;以及排氣口,係用以將擴散至該分離區域兩側之分離氣體及該反應氣體加以排氣。
其中,該分離區域係具備有:分離氣體供給機構,係用以供給分離氣體;以及頂面,係與迴轉台之間形成位於該分離氣體供給機構之該迴轉方向兩側且用以將分離氣體由該分離區域流動至處理區域側之狹窄空間。
本發明第6樣態係提供一種成膜裝置,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜。該成膜裝置具備有:基板載置區域,係設置用以將基板載置於該真空容 器內的迴轉台上;第1反應氣體供給機構,係用以將第1反應氣體供給至該基板;第2反應氣體供給機構,相較於該第1反應氣體供給機構係設置於該迴轉台之迴轉方向之更下游側處,以將第2反應氣體供給至該基板;上述任一種活化氣體噴射器,係設置在對向於該迴轉台之基板載置區域,且在該迴轉台的迴轉方向之該第2反應氣體供給機構及該第1反應氣體供給機構之間,供給活化後之處理氣體至該基板以進行該基板上之反應生成物的改質;分離區域,係為了將供給有該第1反應氣體之第1處理區域及供給有第2反應氣體之第2處理區域的氣氛分離而設置於該迴轉方向的該等處理區域之間;以及排氣口,係用以將擴散至該分離區域兩側之分離氣體及該反應氣體加以排氣。
其中,該分離區域係具備有:分離氣體供給機構,係用以供給分離氣體;以及頂面,係與迴轉台之間形成位於該分離氣體供給機構之該迴轉方向兩側且用以將分離氣體由該分離區域流動至處理區域側之狹窄空間。
本發明第7樣態係提供一種成膜裝置,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生 成物層以形成薄膜。該成膜裝置具備有:台座,係設置於該真空容器內以載置基板;第1反應氣體供給機構,係用以供給第1反應氣體至該台座上之基板;第2反應氣體供給機構,係用以供給第2反應氣體至該台座上之基板;如申請專利範圍第1項之活化氣體噴射器,係供給活化後之處理氣體至該基板,以進行該基板上之反應生成物的改質;以及迴轉機構,係用以將該第1反應氣體供給機構、第2反應氣體供給機構以及活化氣體噴射器與該台座相對地迴轉。
其中,該第1反應氣體供給機構、第2反應氣體供給機構以及活化氣體噴射器藉由該相對地迴轉,使得基板沿著台座的圓周方向依第1反應氣體供給區域、第2反應氣體供給區域及活化後處理氣體之供給區域的順序設置。
本發明第8樣態係提供一種成膜方法,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜。該成膜方法包含有下述步驟:將基板載置於真空容器內所設置之迴轉台的基板載置區域,並將該迴轉台以鉛直軸為中心進行迴轉之步驟; 將該真空容器內進行真空排氣的步驟;由第1反應氣體供給機構供給第1反應氣體至該基板的表面之步驟;由相較於該第1反應氣體供給機構係設置於該迴轉台之迴轉方向之更下游側處之第2反應氣體供給機構來供給第2反應氣體至該基板表面,將該第2反應氣體與該基板表面上之第1反應氣體的成分加以反應以生成反應生成物之步驟;由設置在對向於該迴轉台之基板載置區域且在該迴轉台之迴轉方向的該第2反應氣體供給機構及該第1反應氣體供給機構之間的上述任一種活化氣體噴射器供給活化後處理氣體至該基板表面,並進行該基板表面之反應生成物的改質之步驟;以及將分離氣體供給至分離區域之步驟,該分離區域係分別設置於該迴轉方向中供給有該第1反應氣體之第1處理區域及供給有第2反應氣體之第2處理區域之間,用以分離處理區域之氣氛。
本發明第9樣態係提供一種成膜方法,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜。該成膜方法包含有下述步驟:將基板載置於真空容器內所設置之迴轉台的基板載置區域,並將該迴轉台以鉛直軸為中心進行迴轉之步驟; 將該真空容器內進行真空排氣的步驟;由第1反應氣體供給機構供給第1反應氣體至該基板的表面之步驟;由相較於該第1反應氣體供給機構係設置於該迴轉台之迴轉方向之更下游側處之第2反應氣體供給機構來供給第2反應氣體至該基板表面,將該第2反應氣體與該基板表面所吸附之第1反應氣體的成分加以反應以生成反應生成物之步驟;由設置在對向於該迴轉台之基板載置區域且在該迴轉台之迴轉方向的該第2反應氣體供給機構及該第1反應氣體供給機構之間的上述活化氣體噴射器供給電漿化後之處理氣體至該基板表面,並進行該基板表面之反應生成物的改質之步驟;以及將分離氣體供給至分離區域之步驟,該分離區域係分別設置於該迴轉方向中供給有該第1反應氣體之第1處理區域及供給有第2反應氣體之第2處理區域之間,用以分離處理區域之氣氛。
本發明第10樣態係提供一種成膜方法,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積反應生成物層以形成薄膜。該成膜方法包含有下述步驟:將基板幾乎水平地載置於真空容器內的台座之步驟;由第1反應氣體供給機構供給第1反應氣體至該台 座上的基板之步驟;將該台座及第1反應氣體供給機構相對地迴轉以將基板置於第2反應氣體供給區域,藉由自第2反應氣體供給機構供給第2反應氣體至基板以在基板上生成反應生成物之步驟;將該台座、第1反應氣體供給機構以及第2反應氣體供給機構相對地迴轉以將基板置於活化區域,藉由自如申請專利範圍第1項之活化氣體噴射器供給活化後處理氣體至該基板以進行該基板表面之反應生成物的改質之步驟;以及將分離氣體供給至分離區域之步驟,該分離區域係分別設置於該相對的迴轉方向中供給有該第1反應氣體之區域及供給有第2反應氣體之區域之間,用以分離該等區域之氣氛。
本發明第11樣態係提供一種記憶體,係儲存使用於成膜裝置之電腦程式,該成膜裝置係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積反應生成物層以形成薄膜。該電腦程式包含有實施本發明成膜方法之組合步驟。
依本發明,在供給處理氣體之活化氣體噴射器內具有用以活化處理氣體之一對電極,該等電極係沿著該噴射器之長度方向,詳細而言係沿著構成噴射器之流道形成組件內所分隔之分隔壁的長度方向來設置。因此,與 例如在供給活化後處理氣體之裝置的側壁設置電極,將活化後處理氣體經過細長的噴嘴來供給至裝置內的情況相比,可以均勻地供給活化後處理氣體,在將該活化氣體噴射器使用於例如於基板表面進行成膜之成膜裝置時,可以在基板面形成膜品質均勻的膜。
以下便參照所附圖式,說明本發明實施形態之成膜裝置。本發明實施形態之成膜裝置係具備有如圖2(圖4之I-I’線剖視圖)所示之平面形狀為概略圓形的扁平真空容器1、設置於該真空容器1內並具有位於該真空容器1中心之迴轉中心的迴轉台2。該真空容器1係頂板11可與容器本體12分離之結構。該頂板11係以內部的減壓狀態透過密封組件,例如O型環13來按壓於容器本體12側以維持氣密狀態,而頂板11由容器本體12分離時,係以圖中未示的驅動機構來朝上方提起。
該迴轉台2係以中心部固定於圓筒狀的核心部21,該核心部21係固定於沿鉛直方向延伸之迴轉軸22上端。該迴轉軸22係貫穿真空容器1的底面部14,其下端係組裝於可將該迴轉軸22以鉛直軸迴轉(本例係順時針方向旋轉)之驅動部23。迴轉軸22及驅動部23係收納於上方開口之筒狀箱體20內。該箱體20上方所設置的凸緣部份係氣密地組裝於真空容器1的底面部14下面,使得箱體20能維持內部氣氛與外部氣氛之氣密 狀態。
迴轉台2的表面設置有如圖3及圖4所示沿著迴轉方向(圓周方向)用以載置複數片(例如5片)基板之晶圓的圓形凹部24。另外,圖4係為了方便而僅於一個凹部24處描繪晶圓W。圖5係將迴轉台2沿著同心圓裁切並展開的展開圖,該凹部24如圖5A所示,其直徑僅較晶圓W的直徑大上例如4mm,且其深度係設定為與晶圓W的厚度相等。因此,當晶圓W落入凹部24時,晶圓W表面會與迴轉台2的表面(未載置晶圓W的區域)一致。由於晶圓W表面與迴轉台2表面之間的高低落差過大時,該落差部份會產生壓力變動,故將晶圓W表面與迴轉台2表面的高度一致能使得膜厚的面內均勻性一致。所謂晶圓W表面與迴轉台2表面的高度一致係指相同高度或兩面的落差在5mm以內,但只要能對應於加工精確度等,兩面的高低落差較佳係趨近於0。凹部24的底面形成有用以讓支撐晶圓W內面以將晶圓升降之例如後述的3根升降栓穿設的貫穿孔(圖中未示)。
凹部24能定位晶圓W並使其不會因迴轉台2的迴轉所伴隨之離心力飛出,其相當於本發明之基板載置區域,但基板載置區域(晶圓載置區域)不僅限於凹部,亦可為例如在迴轉台2的表面沿著晶圓W的圓周方向並列設置將晶圓W的周緣引導之複數個引導組件之結構,或是在迴轉台2側具有靜電夾具(electrostatic chuck) 等夾持機構來吸附晶圓W的情況,亦可將藉由該吸附來載置晶圓W之區域作為基板載置區域。
如圖3及圖4所示,真空容器1分別於迴轉台2之凹部24的通過區域所對向位置處,於真空容器1之圓周方向(迴轉台2之迴轉方向)相互間隔地朝中心放射狀的延伸有第1反應氣體噴嘴31及活化氣體噴射器32與2根分離氣體噴嘴41、42。該結果為活化氣體噴射器32會以延伸的狀態配置於迴轉台2之迴轉方向,即與移動路徑交叉的方向。該等第1反應氣體噴嘴31、活化氣體噴射器32及分離氣體噴嘴41、42係組裝於例如真空容器1之側壁,其一端之氣體供給埠31a、32a、41a、42a係貫穿該側壁。
反應氣體噴嘴31、活化氣體噴射器32、分離氣體噴嘴41、42於圖示之例子中雖係由真空容器1的側壁導入至真空容器1內,但亦可由後述之突出部5導入,此時,亦可採用在突出部5的外周面與頂板11之外表面設置L型導管,在真空容器1內將反應氣體噴嘴31(活化氣體噴射器32、分離氣體噴嘴41、42)連接至L型導管之一端開口,於真空容器1外部將氣體供給埠31a(32a、41a、42a)連接至L型導管之另端開口的結構。
第1反應氣體噴嘴31及活化氣體噴射器32係分別連接至第1反應氣體之DCS(二氯矽烷)氣體的氣體供給源及第2反應氣體之氨氣(NH3 )的氣體供給源(均未圖示),分離氣體噴嘴41、42均連接至分離氣體之氮氣(N2 ) 的氣體供給源(未圖示)。本實施例中之活化氣體噴射器32、分離氣體噴嘴41、第1反應氣體噴嘴31及分離氣體噴嘴42係以該順序順時針方向設置。
在第1反應氣體噴嘴31之噴嘴的長度方向間隔地設置有用以將反應氣體朝下方側噴出的氣體噴出口33。另一方面,活化氣體噴射器32係具備有將導入至該噴射器32內的氨氣活化以供給至真空容器1內的功能,其詳細結構容後說明。又,分離氣體噴嘴41、42於長度方向間隔地穿設有用以將分離氣體朝下方側噴出的噴出口40。第1反應氣體噴嘴31、活化氣體噴射器32係分別相當於第1反應氣體供給機構及第2反應氣體供給機構,其下方區域則分別成為用以將DCS氣體吸附於晶圓W之第1處理區域P1以及用以將活化後氨氣吸附於晶圓W之第2處理區域P2。
分離氣體噴嘴41、42係形成用以將第1處理區域P1及第2處理區域P2分離之分離區域D,該分離區域D之真空容器1的頂板11如圖3~圖5所示,設置有以迴轉台2之迴轉中心為中心,且將沿著真空容器1內壁附近所描繪的圓以圓周方向加以分隔之凸型部4,該凸型部4平面形狀為扇形並朝下方突出。分離氣體噴嘴41、42係收納於該凸型部4以該圓的圓周方向中央朝該圓半徑方向延伸所形成的溝部43內。亦即分離氣體噴嘴41、42之中心軸至凸型部4之扇形兩邊緣(迴轉方向上游側之邊緣及下游側之邊緣)的距離係設定為相同 的長度。
另外,溝部43在本實施形態中係將凸型部4加以二等分,但在其他實施形態中,亦可形成由溝部43所見之凸型部4的迴轉台2之迴轉方向上游側係較該迴轉方向下游側為廣的溝部43。
因此,分離氣體噴嘴41、42之該圓周方向兩側則存在有該凸型部4下面之例如平坦的低頂面44(第1頂面),該頂面44的該圓周方向兩側則存在有較該頂面44為高的頂面45(第2頂面)。該凸型部4的作用係用以形成狹窄空間之分離空間來阻止第1反應氣體及第2反應氣體侵入至其與迴轉台2之間,並阻止該等反應氣體的混合。
亦即,以分離氣體噴嘴41為例,能阻止由迴轉台2之迴轉方向上游側之氨氣侵入,又能阻止由迴轉方向下游側之DCS氣體侵入。所謂「阻止氣體侵入」係指分離氣體噴嘴41所噴出之分離氣體的氮氣擴散至第1頂面44與迴轉台2表面之間,並朝本例為相鄰於該第1頂面44之第2頂面45的下方側空間噴出,藉此由該相鄰空間所噴出之氣體無法侵入的意思。而所謂「氣體無法侵入」並不僅是指由相鄰空間完全無法進入凸型部4之下方側空間的意思,亦包含多少有侵入但可確保由兩側侵入之氨氣及DCS氣體不會交會之狀態的意思,只要能得到這樣的作用,便可以發揮將第1處理區域P1之氣氛與第2處理區域P2之氣氛加以分離的分離區 域D之分離作用。因此,狹窄空間的狹窄程度便設定為狹窄空間(凸型部4的下方空間)及鄰接於該空間之區域(本例為第2頂面45之下方空間)的壓力差能確保「氣體無法侵入」作用程度的大小,而其具體的尺寸則因凸型部4之面積等而有所差異。又,就已吸附於晶圓W之氣體而言,當然可以通過分離區域D內,阻止氣體侵入係指氣相中的氣體。
另一方面,頂板11的下面如圖6、圖7所示,於較迴轉台2之核心部21更對向於外周側部位且沿著該核心部21之外周設置有突出部5。該突出部5如圖6所示,係與凸型部4的該迴轉中心側之部位連續地形成,其下面形成有與凸型部4之下面(頂面44)相同的高度。圖3及圖4係於較該頂面45為低且較分離氣體噴嘴41、42為高之位置的頂板11之水平裁切狀態。另外,突出部5與凸型部4並不限於一定要為一體者,亦可為相異體。
就凸型部4及分離氣體噴嘴41(42)之組合結構的製法而言,並不限於在構成凸型部4的單片扇形片體之中央形成溝部43,再於該溝部43內設置分離氣體噴嘴41(42)之結構,亦可使用兩片扇形片體,並於分離氣體噴嘴41(42)之兩側位置以螺栓鎖固於頂板本體下面之結構。
本例之分離氣體噴嘴41(42)係朝正下方沿著噴嘴的長度方向以例如10mm之間隔設置例如口徑為0.5mm 之噴出口。又,第1反應氣體噴嘴31亦是朝正下方沿著噴嘴的長度方向以例如10mm之間隔設置例如口徑為0.5mm之噴出口。
本例係以直徑300mm之晶圓W作為被處理基板,此時凸型部4在與由迴轉中心遠離例如140mm之後述突出部5的相接部位中之圓周方向的長度為例如146mm,在晶圓W載置區域(凹部24)的最外側部位中之圓周方向的長度為例如502mm。另外,如圖5A所示,該外側部位中,由分離氣體噴嘴41(42)兩側分別位於左右之凸型部4的圓周方向長度L來看,該長度L為246mm。
又,如圖5B所示,凸型部4的下面,即由頂面44之迴轉台2表面起的高度h可為例如0.5mm至10mm,較佳為4mm。此時,迴轉台2之轉速設定為例如1rpm~500rpm。為了確保分離區域D的分離功能,對應於迴轉台2之轉速的使用範圍,基於例如實驗等來設定凸型部4之大小或凸型部4下面(第1頂面44)與迴轉台2表面間之高度h。另外,分離氣體不限於氮氣,亦可使用氬氣等惰性氣體,但亦可不限於惰性氣體而可為氫氣等,只要是不會影響成膜處理之氣體,並無特定限定氣體之種類。
真空容器1的頂板11下面,亦即由迴轉台2之晶圓載置區域(凹部4)所見之頂面如所述般,係於圓周方向存在有第1頂面44及較該頂面44更高的第2頂面 45,圖2係設有高頂面45區域之縱向剖視圖,圖6係設有低頂面44區域之縱向剖視圖。扇形凸型部4之周緣部(真空容器1的外緣部位)如圖3及圖6所示,係形成有對向於迴轉台2之外端面而彎曲呈L型的彎曲部46。扇形凸型部4係設置於頂板11側,因為可由容器本體12取下,故與該彎曲部46之外周面及容器本體12之間僅存在極小的間隙。該彎曲部46也是與凸型部4同樣地係用以防止來自兩側的反應氣體侵入,並防止兩反應氣體的混合為目的而設置,彎曲部46內周面與迴轉台2外端面之間的間隙,以及彎曲部46外周面與容器本體12之間的間隙係以例如與對應於迴轉台2表面之頂面44的高度h相同的尺寸來設定。本例中,由迴轉台2之表面側區域可以見到彎曲部46的內周面構成真空容器1的內壁。
容器本體12的內壁在分離區域D係如圖6所示地形成有接近該彎曲部46之外周面的垂直面,在分離區域D以外的部位則如圖2所示般,例如由對向於迴轉台2之外端面的部位通過底面部14而形成縱向剖面形狀為矩形之外側的凹陷結構。將該凹陷部份稱之為排氣區域6,則該排氣區域6的底部便設置有如圖2及圖4所示之例如2個排氣口61、62。如圖2所示,該等排氣口61、62係各自透過排氣管63連接至真空排氣機構之例如共用的真空泵64。另外,圖2中,符號65為壓力調節機構,可依每個排氣口61、62設置,亦可共通地 加以設置。
排氣口61、62如圖4所示在平面所見時,係設置於該分離區域D之該迴轉方向兩側,專用於進行各反應氣體(DCS氣體及氨氣)的排氣,以使分離區域D的分離作用能確實運作。本例中,一邊的排氣口61係設置於第1反應氣體噴嘴31及相對於該反應氣體噴嘴31鄰設於該迴轉方向下游側的分離區域D之間,又另一邊的排氣口62係設置於活化氣體噴射器32及相對於該噴射器32鄰設於該迴轉方向下游側的分離區域D之間。
排氣口的設置數量不限於2個,例如亦可在含分離氣體噴嘴42之分離區域D及相對於該分離區域D鄰設於該迴轉方向下游側的活化氣體噴射器32之間再設置排氣口來成為3個,亦可以為4個以上。本例之排氣口61、62係設置於較迴轉台2更低的位置,以由真空容器1內壁與迴轉台2周緣間之間隙進行排氣,但並不限於設置在真空容器1的底面部,亦可設置在真空容器1的側壁。又,排氣口61、62設置於真空容器1側壁時,亦可設置在較迴轉台2更高的位置。藉由這樣地設置排氣口61、62,迴轉台2上的氣體因為會朝迴轉台2的外側流動,故與由迴轉台2對向之頂面進行排氣的情況相比,有利於抑制微粒的上揚。
如圖2、圖6及圖7所示,該迴轉台2及真空容器1之底面部14間的空間中設置有作為加熱機構的加熱器單元7,透過迴轉台2將迴轉台2上之晶圓W以製程 條件所決定之溫度加熱至例如300℃。該迴轉台2周緣附近之下方側設置有將迴轉台2之上方空間至排氣區域6為止的氣氛及設置有加熱器單元7之氣氛加以區隔而圍繞加熱器單元7整圈之罩體組件71。該罩體組件7之上緣係朝外側彎曲而形成為凸緣狀,其彎曲面與迴轉台2下面之間的間隙極小,以抑制氣體由外界侵入至罩體組件71內。
較設置有加熱器單元7之空間更接近迴轉中心的部位之底面部14係接近迴轉台2下面的中心部附近及核心部21,並形成狹窄空間,又,貫穿該底面部14的迴轉軸22之貫孔而言,其內周面與迴轉軸22之間的間隙亦狹窄,這些狹窄空間係與該箱體20內連通。然後,該箱體20設置有用以供給沖洗氣體之氮氣至該狹窄空間內以進行沖洗的沖洗氣體供給管72。又,真空容器1的底面部14在位於加熱器單元7下方側的圓周方向之複數部位設置有用以沖洗加熱器單元7之設置空間的沖洗氣體供給管73。
藉由設置這樣的沖洗氣體供給管72、73,如圖7的箭頭所示之沖洗氣體流向,能夠將箱體20內至加熱器單元7之設置空間的空間以氨氣加以清洗,該沖洗氣體則由迴轉台2與罩體組件71間的間隙透過排氣區域6排氣至排氣口61、62。藉此,可以防止DCS氣體或氨氣由該第1處理區域P1及第2處理區域P2的一側回流至另側,故該沖洗氣體亦具有分離氣體的作用。
又,真空容器1之頂板11中心部連接有分離氣體供給管51以供給分離氣體之氮氣至頂板11與核心部21之間的空間52。供給至該空間52的分離氣體如圖7所示,透過該突出部5及迴轉台2之狹窄間隙50沿著迴轉台2之晶圓載置區域側的表面朝周緣噴出。該突出部5所圍繞的空間因為充滿分離氣體,故可以防止反應氣體(DCS氣體或氨氣)透過迴轉台2的中心部在第1處理區域P1及第2處理區域P2之間混合。亦即,該成膜裝置可具備有中心部區域C,其係為了將第1處理區域P1及第2處理區域P2之氣氛分離,而以迴轉台2之迴轉中心部及頂板11加以區分,並以分離氣體加以沖洗,同時在沿著該迴轉方向形成有朝該迴轉台2表面噴出分離氣體之噴出口。另外,在此所指的噴出口係相當於該突出部5及迴轉台2之狹窄間隙50。
再者,真空容器1的側壁如圖3、圖4所示,形成有搬送口15以進行將基板之晶圓W在外部的搬送臂10及迴轉台2之間的傳遞,該搬送口15係以圖中未顯示的閘閥來進行開閉。又,迴轉台2中晶圓載置區域之凹部24係以接近該搬送口15的位置來與搬送臂10之間進行晶圓W的傳遞,因此在迴轉台2下方側之該傳遞位置所對應之部位設置有傳遞用升降栓及其升降機構(均未圖示)以貫穿凹部24來將晶圓W由內面提升。
以上所說明的成膜裝置係具備有活化氣體噴射器32,以將所述的第2反應氣體之氨氣電漿化而活化,並 在該氣體未喪失活性時,供給至載置於迴轉台2之晶圓載置區域(凹部24)的晶圓W表面。以下便針對活化氣體噴射器32的詳細結構進行說明。
活化氣體噴射器32如圖8所示,係具備有框體型噴射器本體321,其為例如具優異的耐電漿蝕刻性之石英製扁平細長立方體形狀的流道形成組件,如圖9、圖10所示,該噴射器本體321內部係形成空洞。該空洞係沿著長度方向以分隔壁324區分出不同寬度的2個空間,一邊是氣體活化室323,係用以將氨氣電漿化的氣體活化用流道,另一邊是氣體導入室322,係用以將氨氣均勻地供給至氣體活化室的氣體導入用流道。如圖10所示,氣體活化室323寬度相對於氣體導入室322寬度的比為例如大概2:3,故氣體導入室322的容積較大。
如圖9、圖10所示,氣體導入室322內設置有沿著噴射器本體321側壁,即沿著分隔壁324由一端朝前端延伸之管狀氣體導入噴嘴34。對向於該氣體導入噴嘴34之分隔壁324的側壁沿著噴嘴34之長度方向間隔地穿設有氣體孔341,而可將氨氣朝氣體導入室322內噴出。另一方面,氣體導入噴嘴34的一端係與噴射器本體321之側壁的氣體導入埠39(圖9)連接,該氣體導入埠39再如圖8所示,例如透過接頭部38連接至所述之氣體供給埠32a,以接受由圖中未示的氣體供給源傳來的氨氣。
對向於氣體導入噴嘴34之氣體孔341的分隔壁324上部,在相當於與噴射器本體321之頂面的連接部高度位置沿著氣體導入室322之長度方向(沿著後述之電極36a、36b之長度方向)間隔地設置有在長度方向為細長矩形之連通孔的缺口部325,故可將供給至氣體導入室322內的氨氣朝氣體活化室323的上方供給。在此,例如由氣體導入噴嘴34之氣體孔341至分隔壁324之距離「L1」係設定為例如由相鄰的氣體孔341所噴出之氣體能在氣體導入室322內朝長度方向擴散、混合,並於該長度方向以均勻的濃度流入各缺口部325之距離。
氣體活化室323內沿著該分隔壁324由該空間323之一端朝前端側延伸有由2根介電體所構成之例如陶瓷製的套管35a、35b,該等套管35a、35b係間隔地在水平方向上相互地並列設置。各套管35a、35b的管內由一端朝前端穿設有例如具優異耐熱性的鎳合金製之例如直徑5mm左右的電極36a、36b。藉此,一對的電極36a、36b便以套管35a、35b之陶瓷材料覆蓋的狀態下,以例如2mm~10mm之間(例如4mm)的間隔相互地並列延伸設置。各電極36a、36b的一端係延伸至噴射器本體321的外部,並透過真空容器1外部的整合器來與高頻電源(均未圖示)連接。如此一來,該等電極36a、36b藉由供給例如13.56MHz之例如10W~200W(例如100W)之高頻電力,便可達到將流通於2根套管35a、35b間之電漿產生部351的氨氣以容量結合型電漿方式 來電漿活化之作用。另外,如圖11之放大剖視圖所示,2根套管35a、35b係貫穿噴射器本體321的一端側壁而延伸至外部,該等套管35a、35b係固定於噴射器本體321之側壁,並例如以陶瓷製的保護管37覆蓋。
然後,該電漿產生部351下側之噴射器本體321底面則沿著噴射器本體321的長度方向,即電極36a、36b的長度方向間隔地設置有氣體噴出口33,以將該電漿產生部351所電漿化後之活化後氨氣朝下側噴出。又,如圖10所示,套管35b頂部至氣體活化室351頂面的距離「h1」與套管35b側壁至分隔壁324的距離「w1」之關係係例如為「h1≧w1」,因此由氣體導入室322流入氣體活化室323的氨氣相較於分隔壁324及套管35b之間,主要會透過2個套管35a、35b之間來流向氣體噴出口33。
具有上述結構的噴射器本體321如圖8所示,例如以將所述之接頭部38或保護管37固定於容器本體12之側壁來單方支撐一端,並將前端以朝迴轉台2之中心部延伸之狀態設置。又,噴射器本體321底面由氣體活化室323之氣體噴出口33至載置於迴轉台2的凹部24之晶圓W表面的距離係調整至例如1mm~10mm範圍(例如10mm)的高度位置。在此之噴射器本體321係可自由裝卸於容器本體12的結構,保護管37與容器本體12之連接部係例如使用未加以圖示的O型環來維持真空容器1內的氣密狀態。
又,該實施形態的成膜裝置係設置有電腦所構成的控制部100以進行裝置整體動作的控制,該控制部100的記憶體內儲存有用以運轉裝置用的程式。該程式係由進行後續之裝置動作的步驟群所組成,可由硬碟、光碟、Mo碟、記憶卡、軟碟等記憶體安裝至控制部100內。
其次,就上述實施形態的作用加以說明。首先,將未加以圖示的閘閥開啟,將晶圓W由外部藉由搬送臂10透過搬送口15傳遞至迴轉台2的凹部24。該傳遞係藉由凹部24停止在接近搬送口15的位置時,透過凹部24底面之貫穿孔升降來自真空容器底部的升降栓(未圖示)來進行。間歇性地將迴轉台2迴轉來進行這樣的晶圓W傳遞以在迴轉台2的5個凹部24內分別地載置晶圓W。接著關閉閘閥,藉由真空泵64將真空容器1內真空吸引至預先設定的壓力,同時將迴轉台2以順時針旋轉並藉由加熱器單元7來加熱晶圓W。詳細而言,迴轉台2係以加熱器單元7預先加熱至例如300℃,再將晶圓W置於該迴轉台2來進行加熱。在晶圓W的溫度以未加以圖示之溫度感測器確認到達設定溫度後,由第1反應氣體噴嘴31及活化器體噴射器32分別地噴出DCS氣體及氨氣,並由分離氣體噴嘴41、42噴出分離氣體之氮氣。
此時,活化氣體噴射器32會將氣體供給埠32a所導入之氨氣供給至氣體導入噴嘴34,並由設置於其側 壁的各氣體孔341噴出至氣體導入室322內。氨氣會在氣體導入室322內擴散而於噴射器本體321之長度方向上有均勻的濃度,並如圖12所示的朝對向於該氣體孔341的分隔壁324流動。到達分隔壁324而被遮斷流動的氨氣會沿著分隔壁324上升,並透過缺口部325朝氣體活化室323的上方部流動。
另一方面,真空容器1內因為是真空氣氛,流入氣體活化室323上方部的氨氣會通過形成於2根套管35a、35b之間的電漿產生部351而朝氣體噴出口33向下方流動。此時,各套管35a、35b內的電極36a、36b係供給有高頻電力,通過電漿氣體產生部351之氨氣會成為電漿活化狀態並朝氣體噴出口33流動。
活化後氨氣在通過電漿產生部351後係立刻供給至真空容器1內,因此幾乎不會喪失活性,即使有喪失活性,但與通過較長的噴嘴內再進行供給的情況比較,能以活性降低較少的狀態到達晶圓W表面。又,電漿產生部351係形成於沿著噴射器本體321之長度方向延伸的2根套管35a、35b之間,由該電漿產生部351下側所見之區域形狀係對應於設置有氣體噴出口33之區域形狀,因此其正下方的各氣體噴出口33會供給活性程度幾乎均勻的氨氣。藉由相關的作用,能由活化氣體噴射器32於長度方向供給活性程度為幾乎相等狀態的氨氣。
回到成膜裝置整體作用的說明,晶圓W因為藉由 迴轉台2的迴轉而交互地通過設有第1反應氣體噴嘴31之第1處理區域P1及設有活化氣體噴射器32之第2處理區域P2,故能吸附DCS氣體,然後吸附活化後氨氣,並將該等氣體分子反應以形成1層或複數層的氮化矽的分子層,藉由依序地層積這樣的氮化矽之分子層來成膜出既定膜厚的氮化矽膜。
此時亦會由分離氣體供給管51供給分離氣體之氮氣,藉此由中間部區域C,即由突出部5及迴轉台2之中心部間沿著迴轉台2表面噴出氮氣。本例中,沿著設置有反應氣體噴嘴31及活化氣體噴射器32之第2頂面45下方的空間之容器本體12內壁係具有如所述般將內壁裁切擴張,並位於該擴張空間下方的排氣口61、62,因此第2頂面45下方之空間的壓力便較第1頂面44下方之狹窄空間及該中心部區域C之各壓力要低。氣體由各部位噴出時的氣體流動狀態則如圖13所示。由活化氣體噴射器32朝下方噴出,並接觸迴轉台2表面(晶圓W表面及未載置晶圓W之區域表面的雙方)而沿該表面朝迴轉方向上游側流動之氨氣則會被其上游側所流動的氮氣推壓,而流入至迴轉台2周緣與真空容器1內壁之間的排氣區域6並藉由排氣口62排出。
又,由活化氣體噴射器32朝下方噴出,並接觸迴轉台2表面而沿該表面朝迴轉方向下游側流動之氨氣則會因中心部區域C所噴出氮氣之流動與排氣口62的吸引作用而朝向該排氣口62,但部份會朝向鄰設於下 側之分離區域D而流入扇形凸型部4的下側。但是,由於該凸型部4之頂面44高度及圓周方向長度係在含有各氣體流量之運作時的製程條件下設定為可防止氣體侵入至該頂面44下側的尺寸,因此如圖5B所示,氨氣幾乎無法流入至扇形凸型部4的下側,或即使有少許流入,也無法到達分離氣體噴嘴41附近,而被分離氣體噴嘴41所噴出的氮氣推回至迴轉方向上游側,即處理區域P2,並與中心部區域C所噴出的氮氣由迴轉台2周緣與真空容器1內壁間之間隙透過排氣區域6排氣至排氣口62。
又,由第1反應氣體噴嘴31朝下方噴出,並沿著迴轉台2表面而分別向迴轉方向上游側及下游側流動之DCS氣體則完全無法侵入至鄰接於其迴轉方向上游側及下游側的扇形凸型部4下側,或即使侵入也會被推回第1處理區域P1,並與中心部區域C所噴出的氮氣由迴轉台2周緣與真空容器1內壁間之間隙透過排氣區域6排氣至排氣口61。亦即,各分離區域D能阻止氣氛中所流動的反應氣體之DCS氣體或氨氣的侵入,而吸附於晶圓W的氣體分子就能這樣的通過較分離區域,亦即扇形凸型部4的低頂面44的下方來成膜。
再者,第1處理區域P1的DCS氣體(第2處理區域P2的氨氣)雖可能侵入中心部區域C,但如圖7及圖13所示,因為該中心部區域C會朝迴轉台2之周緣噴出分離氣體,而藉由該分離氣體來阻止侵入,或即使多 少有侵入也可將其推回,故能透過該中心部區域C來阻止流入至第2處理區域P2(第1處理區域P1)。
然後,分離區域D的扇形凸型部4的周緣係朝下方彎曲,並藉由將彎曲部46與迴轉台2之外端面間的間隙變得如所述般的狹窄來實質地阻止氣體的通過,故能阻止第1處理區域P1的DCS氣體(第2處理區域P2的氨氣)透過迴轉台2之外側流入至第2處理區域P2(第1處理區域P1)。因此,藉由2個分離區域D來將第1處理區域P1的氣氛及第2處理區域P2的氣氛完全地加以分離,DCS氣體會朝排氣口61,又氨氣會朝排氣口62分別地排氣。結果使得兩反應氣體(本例為DCS氣體及氨氣)於氣氛中即使在晶圓W上也不能交會。另外,本例係藉由氮氣沖洗迴轉台2的下側,因此流入至排氣區域6的氣體能由迴轉台2的下側排除,而完全不會有例如DCS氣體流入氨氣的供給區域之虞。結束該成膜處理後,各晶圓W便依搬入動作的相反動作依序地藉由搬送臂10搬出。
在此記載處理條件的一例,迴轉台2的轉速在將直徑300mm的晶圓W作為被處理基板時為1rpm~500rpm,製程壓力例如為1067Pa(8Torr),晶圓W的加熱溫度例如為350℃,DCS氣體及氨氣的流量例如分別為100sccm及10000sccm,來自分離氣體噴嘴41、42之氮氣流量例如為20000sccm,來自真空容器1之中心部的分離氣體供給管51的氮氣流量例如為 5000sccm。又,相對於1片晶圓W之反應氣體供給的循環數,即晶圓W分別通過處理區域P1、P2的次數係對應於目標膜厚而有所改變,可例如為600次。
藉由本實施形態的成膜裝置,可具有以下效果。在迴轉台2的迴轉方向設置複數個晶圓W,並將迴轉台2旋轉以依序通過第1處理區域P1及第2處理區域P2之所謂進行ALD(或MLD)的成膜裝置中,於供給氨氣之活化氣體噴射器32內具備有用以活化氨氣的一對電極36a、36b,該等電極36a、36b係沿著噴射器32的長度方向相互地並列延伸設置。因此,與例如在成膜裝置的真空容器1側壁設置電極,並將活化後氨氣透過細長噴嘴供給至真空容器1內的情況比較,可以供給均勻的活化後氨氣,例如由活化氣體噴射器32的一端及前端供給氨氣的晶圓W面處便可以形成膜品質均勻的SiN膜。
又,如所述般,例如在真空容器1的側壁設置電極並供給氨氣時,因為要將氨氣在儘可能於高活性的狀態下供給至噴嘴的前端,故須對電極施加較強的高頻電力來形成解離度高的電漿。相對於此,本實施形態之活化氣體噴射器32因為在迴轉台2所載置之晶圓W的正上方位置,例如1mm~10mm範圍(例如10mm)的高度位置所設置的噴射器本體321內設置電極36a、36b,故與前述例子相比,即使不提升電漿的解離度,亦可獲得成膜時之反應所需要的活性。結果便能在不增加產生電漿所 需之必要能量消耗量下來進行成膜。
再者,本實施形態之成膜裝置係在迴轉台2之迴轉方向設置複數個晶圓W,並將迴轉台2旋轉以依序通過第1處理區域P1及第2處理區域P2來進行所謂ALD(或MLD),因此能以高處理效率來進行成膜處理。然後,該迴轉方向之第1處理區域P1及第2處理區域P2之間設置有具備低頂面的分離區域D,並藉由迴轉台2之迴轉中心部與真空容器1所區分的中心部區域C來向迴轉台2之周緣噴出分離氣體,該反應氣體與擴散至該分離區域D兩側之分離氣體及該中心部區域C所噴出之分離氣體一同地透過迴轉台2周緣與真空容器內壁間之間隙加以排氣,因而可防止兩反應氣體的的混合,其結果便可進行良好的成膜處理,在迴轉台2上完全不會產生反應生成物,並抑制微粒的產生。另外,本發明亦可適用於迴轉台2載置1個晶圓的情況。
再者,所述之活化氣體噴射器32因為係可自由地裝卸於真空容器1之容器本體12的結構,故可容易地進行該噴射器32的維修或改造及更換新的反應氣體噴嘴。但活化氣體噴射器32不僅限於固定在容器本體12之側壁的情況,係可為固定於真空容器1之頂板11底面的結構。
接著,利用圖14~圖16針對第2實施形態的活化氣體噴射器32進行說明。另外,這些圖式中,針對與所述第1實施形態之活化氣體噴射器32具有同樣功能 的部份則賦予和圖9~圖12所示者相同的符號。
第2實施形態之活化氣體噴射器32係以具有優異的耐電漿蝕刻性,並由較所述第1實施形態之活化氣體噴射器32的石英材料更容易加工的高純度氧化鋁所製造。本實施形態的活化氣體噴射器32之噴射器本體321如圖14所示,於氣體導入室322之一端側壁連接有氣體導入埠39,與使用氣體導入噴嘴34之第1實施形態的差異處在於係由該氣體導入埠39之開口部將氨氣導入至氣體導入室322內。又,在分隔壁324幾乎中央的高度位置於分隔壁324的長度方向間隔地設置有將氣體導入室322及氣體活化室323連接的連通孔326之要點亦與在分隔壁324的上部設置矩形缺口部325之第1實施形態有異。
另一方面,就氣體活化室323而言,如圖14、圖15所示,藉由介電體製的套體組件352、354形成各電極36a、36b的設置空間353、355,並於該等設置空間353、355內插置各電極36a、36b之要點亦與使用套管35a、35b之第1實施形態有異。本例之2根電極係於上下方向相互地平行設置,以上方的套體組件352下面與下方的套體組件354上面之間能形成相當於電漿產生部351之間隙來設置2個套體組件352、354。該等套體組件352、354例如係與噴射器本體相同地為高純度氧化鋁製,並藉由以陶瓷接著劑來接合分別加工的套體組件352、354而組裝於噴射器本體內。另外,在可以 加工的情況,當然本實施形態之活化氣體噴射器32亦可以為石英製的。
其結果則與第1實施形態所說明之情況相同,電極36a、36b係以例如2mm~10mm之間(例如4mm)的間隔設置,藉由施加由外部的高頻電源之高頻電力而可將流通於電漿產生部351的氨氣電漿化。
又,如圖15所示,下側的套體組件354係設置在遠離噴射器本體321側壁的位置,通過電漿產生部351之氨氣在通過該側壁及套體組件354之間所形成的空間後,會經由氣體噴出口33供給至真空容器1內。
以下,便就第2實施形態之活化氣體噴射器32的作用進行說明,由氣體導入埠39所導入的氨氣如圖16所示,會由一端朝前端流動於氣體導入室322內,並透過各連通孔326流入至氣體活化室323內。流入氣體活化室323之氨氣會通過上、下的套體組件352、354之間所形成的電漿產生部351而電漿化,並變成活化狀態而朝氣體噴出口33流去。本例的活化後氨氣也是在通過電漿產生部351後立刻地供給至真空容器1內,所以可以高活性狀態供給氨氣至晶圓W表面。又,本實施形態之活化氣體噴射器32因為只有1個由分隔壁324之連通孔326至氣體噴出口33之流道,故所有的氨氣均會通過電漿產生部351,可有效率地將氨氣電漿化。
在此,成為流道形成組件的噴射器本體321之形狀並不限於第1、第2實施形態所示的框體狀。例如圖17 及圖18所示,亦可使用圓管狀的噴射器本體321來構成活化氣體噴射器32b。該例的活化氣體噴射器32b係例如在圓管狀噴射器本體321內插入氣體導入噴嘴34的雙重圓管結構,於該氣體導入噴嘴34之下側設置有沿著該氣體導入噴嘴34之長度方向延伸的一對電極36a、36b。氣體導入噴嘴34係將氣體孔341朝向例如上側而設置於噴射器本體321內,該氣體導入噴嘴34下側,即氣體導入噴嘴34外壁面與噴射器本體321內壁面之間的空間,係以橫向並列設置有穿插於各套管35a、35b內的電極36a、36b。其結果便可於設置有噴射器本體321之氣體噴出口33上方形成有被夾置於一對電極36a、36b之間的電漿產生部351。
然後,由氣體導入噴嘴34供給至噴射器本體321內的氨氣會如圖18中的該氣體流向之箭頭所示,通過氣體導入噴嘴34外壁面與噴射器本體321內壁面間之空間而到達電漿產生部351,並於該電漿產生部351電漿化而活化之後,透過氣體噴出口33而供給至晶圓W表面。本例中,氣體導入噴嘴34內側之空間係相當於作為氣體導入用流道的氣體導入室322,該氣體導入噴嘴34與噴射器本體321所夾置的空間則相當於作為氣體活化用流道之氣體活化室323。又,氣體導入噴嘴34之管壁係相當於區分該等氣體導入室322及氣體活化室323的分隔壁,設置於該管壁的氣體孔341係相當於將該兩個流道(氣體導入室322及氣體活化室323)連接 之連通孔。
然後,就利用加熱器來做為活化反應氣體之機構的第3實施形態相關的活化氣體噴射器32c進行說明。圖19及圖20分別為第3實施形態相關的活化氣體噴射器32c之內部結構立體圖及縱向剖視圖,就與所述第1實施形態的活化氣體噴射器32具有相同功能的部份則賦予圖9~圖12所示者相同的符號。
第3實施形態之活化氣體噴射器32c在例如成為流道形成組件之高純度氧化鋁製的框體狀噴射器本體321內部係以分隔壁324分隔出氣體導入用流道之氣體導入室322及氣體活化用流道之氣體活化室323。於氣體導入室322一端之側壁連接有氣體導入埠39,另一方面,氣體活化室323則設置有後述之加熱器30,該2個空間(氣體導入室322及氣體活化室323)係透過於分隔壁324的長度方向間隔設置的連通孔326來連通。
氣體活化室323內的加熱器30如圖21的剖視圖所示,係以捲繞有電阻發熱線303之套筒302及覆蓋該套筒302之圓筒狀罩體301所構成之雙重管結構。套筒302係例如氧化鋁等的陶瓷製之圓筒,該套筒302的內側貫穿有由一端朝前端延伸之電阻發熱線303。由該套筒302之前端延伸出的電阻發熱線303係沿著套筒302的外周面朝一端捲繞,藉由後述電源部307所提供之電力進行發熱,以將供給至氣體活化室323內之氨氣加熱而具有活化作用。
罩體301係覆蓋所述套筒302的細長圓筒狀組件,以例如石英等透明組件所構成,可以將電阻發熱線303之輻射熱穿透至氣體活化室323內。如圖19所示,罩體301的前端係封閉的,另一方面,其一端係固定於噴射器本體321的側壁,使得罩體301的內部成為與氣體活化室323隔絕的氣氛。又,套筒302係以貫穿噴射器本體321側壁的狀態固定,所述的電阻發熱線303由該套筒302的一端延伸而出並貫穿於圖19所示之保護管37內,而後成為供電線304以連接至電源部307。
又,例如圖21所示,罩體301與套筒302之間的空間插置有例如熱電偶等所構成之溫度檢測端305,由該溫度檢測端305所延伸出的導線306如圖19所示,係通過噴射器本體321外部的保護管37而連接至溫度檢測部308。溫度檢測部308係具有將基於例如熱電偶之溫度檢測端305的電動勢所檢測之溫度數據輸出至控制部100的作用,藉由控制部100基於所檢測之溫度數據來增減電源部307的輸出,而可以調整電阻發熱線303的輸出。
以下,便對第3實施形態的活化氣體噴射器32c之作用進行說明,由氣體導入埠39所導入之氨氣會在氣體導入室322內由一端流向前端,並透過各連通孔326朝氣體活化室323內流動。流入至氣體活化室323之氨氣會被加熱器30所放射之輻射熱加熱,並成為活化狀態而朝氣體噴出口33流動。因為本例之活化後氨氣也 是在氣體活化室323內活化後立刻地供給至真空容器1內,故能以高活性狀態將氨氣供給至晶圓W表面。又,由於是利用加熱器30之熱輻射,故可以將氣體活化室323內所流動之氨氣完整地加熱,因此不需要如同例如使用所述電漿之活化方式,將氨氣流通於形成電漿區域般的流道調整,而可以將活化氣體噴射器32c之結構簡化。
又,使用加熱器30時,例如圖22、圖23所示之第4實施形態的活化氣體噴射器32d,亦可以不設置分隔壁324而將所有噴射器本體321之框體內作為氣體活化室323。本例中,係例如由氣體導入埠39將氣體導入噴嘴34以平行加熱器30之方式插入至氣體活化室323內,氨氣係藉由設置於該氣體導入噴嘴34側壁之氣體孔341導入氣體活化室323內而被加熱,並於活化後之狀態透過氣體噴出口33供給至晶圓W。亦即圖22、圖23所示之活化氣體噴射器32d之氣體導入噴嘴34內的空間係相當於氣體導入用流道,該氣體導入噴嘴34之管壁係相當於氣體導入用流道與氣體活化室323之分隔壁。此時,設置於噴嘴34之氣體孔341係相當於該氣體導入用流道與氣體活化室(氣體活化用流道)323間的連通孔。
又,本例的噴射器本體321亦不限定於框體狀。例如圖17及18所示之本體321形狀為圓筒狀的活化氣體噴射器32b中,將氣體導入噴嘴34下方之電極36a、36b 加以取代而設置所述第3、第4實施形態之加熱器30的活化氣體噴射器亦包含於本發明之範圍。
適用於本發明的處理氣體除了上述例子外,亦可以使用單矽烷、HCD(六氯二矽甲烷)等來作為構成SiN膜之Si原料的反應氣體,亦可使用N2 、N2 O等來作為N原料的反應氣體。該等原料氣體中,如上述各實施形態所述般,可將成為N原料的反應氣體加以電漿化來活化,亦可將成為Si原料的反應氣體電漿化。
本實施形態之成膜裝置不限定於SiN膜的成膜製程,亦可適用於例如SiO2 膜及其他材料膜的成膜製程。此時,例如成為原料氣體之第1反應氣體係採用BTBAS(二(特丁胺基)矽烷)、DCS(二氯矽烷)、HCD(六氯二矽甲烷)、TMA(三甲基鋁)、3DMAS(三(二甲胺基)矽烷)、TEMAZ(四(乙基甲基胺基酸)-鋯)、TEMAH(四(乙基甲基胺基酸)-鉿)、Sr(THD)2 (二(四甲基庚二酮酸)-鍶)、Ti(MPD)(THD)((甲基戊二酮酸)(四甲基庚二酮酸)-鈦)、單胺基矽烷等,氧化該等原料氣體之氧化氣體的第2反應氣體係採用臭氧氣體(O3 氣體)或水蒸氣,供給該等反應氣體(例如氧化氣體)之反應氣體噴嘴亦可使用所述第1、第2實施形態的活化氣體噴射器32、32b~32d。另外,當然也可以使用活化氣體噴射器32、32b~32d於原料氣體的供給。
又,第1、第2實施形態所示之各活化氣體噴射器32、32b~32d的結構亦不僅限於各實施形態所示之結 構,亦可為例如將噴出氨氣至真空容器1內的氣體噴出口33形成為溝槽狀。又,第2實施形態亦可以是將套體組件352、354間隔地左右並列設置於噴射器本體321的底面,並於該套體組件352、354間所形成之電漿產生部351下方設置氣體噴出口33的結構。再者,第2實施形態亦可以取代以分隔壁324來將噴射器本體321內區分為2個空間(氣體導入室322及氣體活化室323),將第1實施形態的氣體導入噴嘴34插入至未設有分隔壁324之一體化氣體活化室323內,並由該氣體導入噴嘴34來朝電漿產生部351噴出氨氣之結構。
然後,於該分離氣體供給噴嘴41(42)(以圖24A、圖24B之該分離氣體供給噴嘴41為代表)之兩側分別形成狹窄空間之該第1頂面44在將例如直徑300mm之晶圓W作為被處理基板時,其沿著晶圓W之中心WO所通過部位的迴轉台2迴轉方向之寬度尺寸L較佳為50mm以上。為了有效地阻止反應氣體由凸型部4的兩側侵入至該凸型部4的下方(狹窄空間),在該寬度尺寸L較短時,其所對應之第1頂面44與迴轉台2之間的距離也必須變小。再者,將第1頂面44與迴轉台2間的距離設定為某尺寸時,因為越離開迴轉台2之迴轉中心,則迴轉台2的速度就越快,故為了得到反應氣體的阻止侵入效果,所要求的寬度尺寸L便會因遠離迴轉中心而變長。由這樣的觀點來考量,當晶圓W之中心WO所通過部位的該寬度尺寸L較50mm小時,因為第1 頂面44與迴轉台2間的距離也需要變小,所以為了防止迴轉台2迴轉時,迴轉台2或晶圓W與頂面44的衝撞,因此必須極力地抑制迴轉台2的震動。再者,迴轉台2的轉速越高時,因為反應氣體越容易由凸型部4的上游側侵入至該凸型部4的下側,故該寬度尺寸較50mm要小時,迴轉台2的轉速便必須要降低而由處理效率之觀點來看並非良策。因此寬度尺寸L在50mm以上為佳,但並非50mm以下便無法獲得本發明的效果。亦即,該寬度尺寸L較佳為晶圓W直徑的1/10~1/1,更佳為約1/6以上。另外,圖24A為了表示上的方便而省略凹部24的記載。
在此就處理區域P1、P2及分離區域D之各設置而言則舉出上述實施形態以外的例子。圖25係例如將活化氣體噴射器32(以下各圖的說明中,在替換所述各活化氣體噴射器32、32b、32c、32d的情況亦相同)設置於較搬送口15更位於迴轉台2之迴轉方向上游側位置的例子,這樣的設置亦可獲得同樣的效果。
又,本發明為了在分離氣體噴嘴41(42)之兩側形成狹窄空間而必須設置低頂面(第1頂面)44,然而如圖26所示,反應氣體噴嘴31(活化氣體噴射器32)之兩側亦設置同樣的低頂面,並將該等頂面成為連續的結構,亦即在分離氣體噴嘴41(42)及反應氣體噴嘴31(活化氣體噴射器32)所設置的場所以外,在對向於迴轉台2之區域整面設置凸型部4之結構也能獲得同樣的效果。該結構 由其他的見解來看,則是分離氣體噴嘴41(42)兩側之第1頂面44擴展至反應氣體噴嘴31(活化氣體噴射器32)的例子。此時,分離氣體會擴散至分離氣體噴嘴41(42)兩側,反應氣體會擴散至反應氣體噴嘴31(活化氣體噴射器32)兩側,兩氣體應該會在凸型部4下側(狹窄空間)會合,但該等氣體卻會由位於反應氣體噴嘴31(活化氣體噴射器32)及分離氣體噴嘴42(41)之間的排氣口61(62)進行排氣。
以上的實施形態中,雖然迴轉台2之迴轉軸22係位於真空容器1的中心部,並以分離氣體沖洗迴轉台2中心部與真空容器1上面部之間的空間,但亦可以為本發明圖27所示的結構。圖27的成膜裝置中,真空容器1中央區域的底面部14形成有朝下方一側突出之驅動部的收容空間80,並於真空容器1中央區域的上面形成有凹部80a,在真空容器1中心部的收容空間80底部與真空容器1的該凹部80a上面之間設置有支柱81,以防止來自第1反應氣體噴嘴31之DCS氣體與來自活化氣體噴射器32之氨氣透過該中心部混合。
就將迴轉台2迴轉的機構而言,係設置有圍繞支柱81的迴轉套筒82,並沿著該迴轉套筒82設置環狀的迴轉台2。然後,該收容空間80設置有藉由馬達83驅動的驅動齒輪部84,藉由該驅動齒輪部84透過形成於迴轉套筒82下側外周圍之齒輪部85來迴轉該迴轉套筒82。符號86、87及88係軸承部。又,該收容空間80 底部連接有沖洗氣體供給管74,並於該凹部80a側面與迴轉套筒82上端部間的空間將用以供給沖洗氣體的沖洗氣體供給管75連接至真空容器1的上部。圖27雖係記載在該凹部80a側面及迴轉套筒82上端部間之空間將用以供給沖洗氣體之開口部設置於左右兩個位置,但為了DCS氣體與氨氣不會透過迴轉套筒82之附近區域進行混合,將開口部(沖洗氣體供給口)的設置數量進行設計較佳。
圖27的實施形態由迴轉台2側來看,該凹部80a側面與迴轉套筒82上端部間之空間係相當於分離氣體噴出口,然後藉由該分離氣體噴出口、迴轉套筒82及支柱81來構成位於真空容器1中心部的中心部區域。
然而,上述實施形態雖係將如圖2及圖3等所示之成膜裝置作為迴轉台式之成膜裝置,但其他實施形態亦可作為輸送帶式成膜裝置。這樣的成膜裝置之晶圓W並非載置在迴轉台2,而是載置在輸送帶上,並交互的通過沿著輸送帶設置的第1處理區域、含有低頂面及分離氣體噴嘴的分離區域、第2處理區域以及含有低頂面及分離氣體噴嘴的其他分離區域。又,本成膜裝置在其他實施形態中,亦可以作為枚葉式成膜裝置。此時,係將晶圓載置於被固定的晶座,而反應氣體噴嘴、分離氣體噴嘴、凸型部等係相對晶座上的晶圓移動。
圖28為使用上述成膜裝置之基板處理裝置。圖28中,符號101係收納例如25片晶圓之稱為晶圓盒 (FOUP;Front Opening Unified Pod)的密閉型搬送容器,符號102係設置有搬送臂103的大氣搬送室,符號104、105係可在大氣氣氛及真空氣氛間進行氣氛切換的裝載室(真空預備室),符號106係設置有2個搬送臂107的真空搬送室,符號108、109係本發明實施形態之成膜裝置(包含後述實施形態之成膜裝置)。搬送容器101由外界搬送至具有載置台(未圖示)之搬入搬出埠,並與大氣搬送室102連接後,由開閉機構(未圖示)將蓋體開啟,藉由搬送臂103將晶圓由該搬送容器101內取出。然後搬送至裝載室104(105)內,並將該室內氣氛由大氣氣氛切換至真空氣氛,之後再藉由搬送臂107取出晶圓而搬入至一方的成膜裝置108、109以進行所述的成膜處理。藉由複數個(例如具有2個)例如5片處理用之本發明成膜裝置,而可以高處理效率實現所謂ALD(MLD)。
本發明中,雖係分別使用例如BTBAS氣體及臭氧氣體作為第1反應氣體及第2反應氣體,並在所述活化氣體噴射器32(32b~32d)中讓該等反應氣體活化以成膜出SiO2 膜,但在成膜SiO2 膜時,也可以使用活化氣體噴射器用作進行該SiO2 膜的改質。具有SiO2 膜的改質用氣體噴射器之具體成膜裝置則參照圖29~圖35來進行說明。
另外,以下例子中,與所述例子相同結構之部位則賦予相同之符號並省略其說明。
如圖29及圖30所示,成膜裝置設有供給第2反應氣體之臭氧氣體的第2反應氣體噴嘴210來作為第2反應氣體供給機構,該噴嘴210係設置於迴轉台2之迴轉方向的所述搬送口15上游側。該噴嘴210係幾乎與第1反應氣體噴嘴31為相同的結構,並朝與迴轉台2之迴轉方向交叉的方向水平地延伸,其一端的氣體供給埠211則貫穿真空容器1側壁而氣密地組裝。又,該噴嘴210則透過氣體供給埠211連接至外部之臭氧氣體供給源(未圖示)。該噴嘴210與所述噴嘴31相同地,於下側朝正下方形成有用以噴出反應氣體之噴出口(未圖示),該噴出口之口徑例如為0.5mm,間隔例如為10mm,並沿著噴嘴210之長度方向設置。該噴嘴210之下方區域則相當於所述之第2處理區域P2。
又,如圖31所示,在迴轉台2之迴轉方向的搬送口15(第2反應氣體噴嘴210)與第1反應氣體噴嘴31上游側之分離區域D之間設置有與所述活化氣體噴射器32相同地用以活化處理氣體(離子化)之活化氣體噴射器(電漿氣體噴射器)220。
該活化氣體噴射器220係具備有罩體(氣流形成組件)221,圖32A係移去罩體221狀態的圖式,圖32B係設置有罩體221外觀的圖式。亦即,該活化氣體噴射器220如圖32A及圖32B所示,係具備有:於下側開口並沿著迴轉台2之半徑方向(本例係由真空容器1之容器本體12(圖30)側壁朝真空容器1中央延伸)水平延 伸之略箱型扁平框體之罩體221、在該罩體221內沿著長度方向收納之所述氣體導入噴嘴34及一對沖洗管35a、35b。
該罩體221係例如由石英所構成,又,如所述之圖31所示,係由真空容器1之頂板11藉由支撐組件223沿著長度方向於複數個位置垂掛。又,該罩體221如圖32B所示,於迴轉台2之迴轉方向(圓周方向)的兩側面下端係分別地朝左右外側呈直角彎曲而形成凸緣狀水平延伸的氣流限制面222,又,對向於中心部區域C之側面下端係朝中心部區域C呈略直角彎曲而形成凸緣狀水平延伸的氣流限制面222,以整體外觀而言係成為HAT(帽子)型的形狀。另外,支撐組件223於圖31以外則未加以圖示。
該氣流限制面222如圖33所示,該氣流限制面222下端面及迴轉台2上面間之間隙t係水平地且狹窄至例如2mm以下,而可抑制真空容器1內部之氣氛透過該間隙t進入至罩體221的內部區域。此時,愈由迴轉台2之半徑方向內側朝外側,則隨著迴轉台2之迴轉所流動的氣體之流速就愈快,而氣體侵入至罩體221內之虞便變大,故該氣體限制面222的寬度尺寸u由迴轉台2之半徑方向內側朝外側則變為寬廣。例舉該氣流限制面222之寬度尺寸u之一例,在晶圓W位於罩體221之下方位置時,對向於迴轉台2之迴轉中心側的晶圓W外緣部位的寬度尺寸u例如為80mm,對向於真空容器1 內壁之晶圓W外緣部位之寬度尺寸u為例如130mm。另一方面,收納有氣體導入噴嘴34及沖洗管35a、35b之部位的罩體221上端面及真空容器1的頂板11下面之間的尺寸係設定為較上述間隙t更大之20mm以上(例如30mm)。
上述之氣體導入噴嘴34及沖洗管35a、35b則是相對於橫向並列且相互間隔地水平設置的沖洗管35a、35b,由氣體導入噴嘴34之氣體孔341水平地噴出電漿產生用處理氣體(例如氬氣(Ar)),並如後述般,由真空容器1的側壁例如水平延伸而出地氣密的加以組裝。
沖洗管35a、35b在本例中係以石英所構成,並於其表面披覆具有優良耐蝕刻性之例如三氧化二釔(氧化釔,Y2 O3 )膜(例如100μm左右的膜厚)。又,該等沖洗管35a、35b係以間隔距離在10mm以下(例如4.0mm)來分別設置有插置其內部之電極36a、36b。該等電極36a、36b係由真空容器1外部的高頻電源透過整合器(均未圖示)供給例如13.56MHz、例如500W以下的高頻電力。本例中,氣體導入噴嘴34內側之空間係相當於氣體導入用流道,罩體221係相當於流道形成組件,又,設置有沖洗管35a、35b之活化處理氣體的區域係相當於氣體活化用流道。又,氣體導入噴嘴34之管壁係相當於區分該等氣體導入用流道及氣體活化用流道的分隔壁,氣體導入噴嘴34之氣體孔341係相當於連接該等氣體導入用流道及氣體活化用流道的連通孔。再者, 沖洗管35a、35b之下方區域係對應於對晶圓W噴出活化後氣體的噴出口。
其次,針對所述沖洗管35a、35b對真空容器1側壁之組裝方法進行說明。如圖34A所示,真空容器1側壁形成有用以組裝設置於沖洗管35a、35b端部之所述保護管37的貫穿孔230,該貫穿孔230內插設有概略圓筒狀之外筒231,該外筒231係由真空容器1外側延伸,其外徑較貫穿孔230之口徑要小,且前端(真空容器1內側)之內面係朝真空容器1內側呈錐狀口徑漸縮。該外筒231及真空容器1側壁係在形成於外筒231端面之凸緣部232透過O型環(未圖示)來加以氣密固定。該圖34A及圖34B中,符號233係用以供螺栓234貫穿來將外筒231及真空容器1側壁氣密固定的固定孔。如圖34B所示,固定孔233係上下方向細長而與螺栓234的螺紋部之間於上下產生間隙。因此,外筒231便可在貫穿孔230內進行上下方向位置的調整。具體而言,本實施形態係可將沖洗管35a、35b下端面與迴轉台2上的晶圓W表面之間的距離進行例如3.0mm~9.0mm之間的調整。外筒231及保護管37於上下移動進行位置調整,再於調整後之位置以螺栓234固定即可。
外筒231內由真空容器1內側包覆保護管37外側而依序地設置有O型環236、內筒237、O型環236及連接管235,並藉由以例如圖中未示之固定組件將連接 管235由真空容器1外側推壓,而透過O型環236、236來將外筒231及保護管37氣密地密接。該圖34中,符號238係由沖洗管35a、35b朝真空容器1外側延伸的供電線。
又,真空容器1內部設置有將保護管37由下側支撐之傾斜調整機構240。該傾斜調整機構240係例如沿著外筒231之內面延伸的板狀組件,可藉由例如螺栓等之調整螺絲241來調整上端面的高度位置以固定於真空容器1的內壁。因此,藉由調整該傾斜調整機構240上端面的高度位置,保護管37能將一端(外筒231內之端部)以O型環236氣密壓接之狀態下使得真空容器1側之端部上下移動,故保護管37(沖洗管35a、35b)能在迴轉台2之半徑方向傾斜。以傾斜沖洗管35a、35b為例進行說明,如圖35所示,將迴轉台2之迴轉中心側朝上方提起,亦即晶圓W係位於沖洗管35a、35b之下方時,例如沖洗管35a、35b之下端位置與接近迴轉台2之迴轉中心的晶圓W周緣以及接近真空容器1內壁之晶圓W周緣間的高度尺寸係分別調整為6.0mm、4.0mm。所述的氣體導入噴嘴34也是可與保護管37(沖洗管35a、35b)一同地上下及傾斜的結構。另外,圖35係將沖洗管35a、35b的傾斜狀態誇張地加以描繪。
如圖29及圖30所示,所述之第1反應氣體噴嘴31係由圖中未示之氣體供給源來供給第1反應氣體之BTBAS氣體,本例係以分離氣體來抑制BTBAS氣體被 稀釋,且由增加BTBAS氣體與晶圓W之接觸時間等的理由,係將與上述罩體221幾乎相同結構的氣流限制組件250包覆該噴嘴31。
其次,針對該實施形態的作用進行說明。與所述例子同樣地,在迴轉台2載置例如5片的晶圓W,將真空容器1內調整至既定的真空度(例如286.6Pa(2Torr)~1066.6Pa(8Torr)),同時將迴轉台2以例如240rpm進行迴轉。又,將晶圓W加熱至例如350℃,由各噴嘴31、220分別供給既定流量(例如100sccm、10000sccm)之BTBAS氣體及臭氧氣體,同時供給既定流量(20000sccm)之氮氣至各分離區域D,並供給既定流量之沖洗氣體至中心部區域C及迴轉台2之下方區域。再者,供給既定流量(例如5000sccm)之電漿產生用處理氣體(例如氬氣)至氣體導入噴嘴34,同時由圖中未示的高頻電源供給既定值的電力(例如500W)至電極36a、36b。
活化氣體噴射器220係將氣體導入噴嘴34朝沖洗管35a、35b所噴出的氬氣藉由供給至該等沖洗管35a、35b間的高頻來成為活化之氬離子或氬自由基,並將該等活性基(電漿)朝下方噴出。此時,因為設置有包覆沖洗管35a、35b及氣體導入噴嘴34之罩體221,故該罩體221及氣流限制面222與迴轉台2之間的間隙t便會變得狹窄,使得罩體221內的氣體及離子不易朝外部排出。因此,罩體221內部的氣氛就會成為較罩體221外 部略為加壓之狀態。
然後,藉由迴轉台2的迴轉,當晶圓W到達第1反應氣體噴嘴31之下方區域時,該晶圓W表面會吸附BTBAS氣體,然後當晶圓W到達第2反應氣體噴嘴210之下方區域時,臭氧氣體會與吸附於晶圓W表面之BTBAS氣體反應,而形成1層或複數層的反應生成物之SiO2 膜的分子層。形成於晶圓W表面之SiO2 膜,由於是在極短的時間成膜,有可能會形成氧氣係較理想的組成比(Si:O=1:2)更多的富氧(oxygen-rich)狀態。
其次,當該晶圓W到達活化氣體噴射器220之下方區域時,便會進行形成於晶圓W上之SiO2 膜的改質處理。具體而言,例如氬離子衝撞至晶圓W表面而由SiO2 膜放出氧元素,使得SiO2 膜可趨近於理想的組成比。又,藉由該離子的衝撞,該SiO2 膜內的元素會再排列,而讓SiO2膜的緻密化(高密度化)得以實現。因此,該SiO2 膜如後述實施例般,能夠提升對濕蝕刻的耐性。再者,在SiO2 膜中含有有機物等不純物時,藉由該氬離子的衝撞能使得不純物以例如氣化來從SiO2 膜排出。此時,由於晶圓W係藉由迴轉台2的迴轉而公轉,故由通過活化氣體噴射器220下方之晶圓W來看,外側的圓周速度會較迴轉中心側要快,因此有迴轉中心側會被強烈改質的情況。但是,如所述般地將沖洗管35a、35b於迴轉台2之半徑方向傾斜,使得迴轉中心側較外側高(如間隔般)來固定傾斜調整機構240,因 此晶圓W可以在活化氣體噴射器220之長度方向進行均勻的改質處理。
又,該真空容器1內於活化氣體噴射器220及第2反應器體噴嘴210之間因為未設置有分離區域D,故臭氧氣體或氮氣會被迴轉台2之迴轉拉引而由上游側朝活化氣體噴射器220流動。但是,因為設置有包覆所述電極36a、36b及氣體導入噴嘴34之罩體221,故罩體221上側區域會較罩體221下側區域(氣流限制面222與迴轉台2之間的間隙t)更廣,由上游側所流通的氣體便不易流入至罩體221的下側。又,所述罩體221的內部氣氛亦較該罩體221之外側氣氛為略加壓氣氛,這也使得上游側所流動的氣體不易進入至罩體221的內部。再者,因為朝活化氣體噴射器220流動的氣體係藉由迴轉台2的迴轉而由上游側拉引而來,雖然由迴轉台2之半徑方向內側朝外側的流速會變快,但由於迴轉台2外側之氣流限制面222的寬度尺寸u較內側為大,而可於活化氣體噴射器220的長度方向抑制氣體侵入至罩體221的內部。因此,由上游側朝活化氣體噴射器220流動的氣體如所述之圖33所示,會透過罩體221的上方區域流動至下游側之排氣口62。因此,該等臭氧氣體及氮氣便幾乎不會受到高頻活化的影響,而晶圓W也不會受到該等氣體的影響。另外,藉由氬離子的衝撞而由SiO2 膜所排出的氧元素則會與氬氣或氮氣一同地朝排氣口62排氣。
接著,將晶圓W通過活化氣體噴射器220下游側之分離區域D並通過第1反應氣體噴嘴31及第2反應氣體噴嘴210之下方區域,以進行同樣的富氧SiO2 膜成膜。此時,上層(N+1)側之SiO2 膜如圖36左側所示,先成膜之下層(N)側的SiO2 膜之間會有Si元素間沒有強烈鍵結(Si-O-Si)的情況。因此,當該晶圓W到達活化氣體噴射器220之下方區域時,同樣地藉由氬離子將SiO2 膜內的氧元素加以排出,再進行元素之間的再鍵結(再排列),而如圖36之右側所示,該再排列係在上下之SiO2 膜的層進行。亦即SiO2 膜內的Si-O-Si的鍵結係以3維方式形成。藉由將迴轉台2之迴轉進行既定次數(例如1000次),便能反覆進行SiO2 膜的成膜(BTBAS氣體的吸附及該BTBAS氣體與臭氧氣體的反應)及藉由氬離子來排出氧元素、Si元素的再排列及不純物的排出,而形成膜厚方向緻密地強烈鍵結且不純物濃度極少之薄膜(例如膜厚為100nm)。
依上述的實施形態,因為在基板表面附近(薄膜附近)設置有電漿源而可以在基板表面附近產生活性基,因此可以高濃度來供給壽命短之離子或自由基至薄膜。然後,將迴轉台2迴轉以在晶圓W上吸附BTBAS氣體,接著供給臭氧氣體至晶圓W表面以和吸附於晶圓W表面之BTBAS氣體進行反應來成膜出SiO2 膜,成膜出SiO2 膜後,藉由活化氣體噴射器220供給氬離子至晶圓W上的SiO2 膜。因此,SiO2 膜內的多餘氧元 素會被排出,又元素的再排列係以3維方式產生,故可以將SiO2 膜緻密化。又,藉由供給氬離子,可以將不純物由SiO2 膜排出,所以可獲得緻密且不純物濃度低的薄膜。該薄膜如後述實施例,與進行改質處理前的SiO2 膜加以比較,能提升例如浸漬於氫氟酸水溶液時的濕蝕刻耐性,故以形成有該SiO2 膜之晶圓W所製造的元件便能提升其可靠度。再者,使用活化氣體噴射器220時,與所謂的遠程電漿相比,可以有效率地進行氧化矽膜的改質。遠程電漿由於氧自由基係由臭氧氣體的熱分解所產生,故氧自由基在搬送期間容易喪失活性。
又,藉由活化氣體噴射器220來進行SiO2 膜的改質處理時,沖洗管35a、35b與迴轉台2上的晶圓W之間的距離係可以調整的,故能夠調整對SiO2 膜改質所進行的程度。再者,因為該沖洗管35a、35b可以傾斜,故在沖洗管35a、35b長度方向能夠調整與晶圓W之間的距離,因此能夠使得例如迴轉台2之半徑方向的改質程度一致。
再者,在真空容器1內部因為係在進行成膜循環時即進行改質處理,也就是在迴轉台2的圓周方向讓晶圓W通過各處理區域P1、P2之路徑途中進行不會干涉成膜處理的改質處理,因此較例如薄膜成膜結束後再進行改質處理更能在短時間地進行改質處理。再者,上述藉由氬離子之改質效果,雖已知只會在膜厚方向2nm左右發生,但如上述藉由成膜循環時即進行改質處理,能 夠在薄膜的膜厚方向獲得緻密且不純物濃度低的薄膜。又,由於活化氣體噴射器220設置有罩體221,故可以抑制上游側流動之氣體侵入至罩體221內部,因此能抑制該氣體的影響而在成膜循環途中進行改質處理。藉此,由於例如第2反應氣體噴嘴210與活化氣體噴射器220之間不需設置專用的分離區域D,故能抑制成膜裝置的成本來進行改質處理。
又,由於電極36a、36b的分開距離如上所述係設定為狹窄的,因此即便是在非最適合氣體離子化之高壓力範圍(成膜處理的壓力範圍),也能以低輸出來將改質處理所必要程度的氬氣活化(離子化)。另外,真空容器1內的真空度愈高,則氬氣的離子化則愈快速進行,另一方面,由於會使例如BTBAS氣體的吸附效率降低,故真空容器1內之真空度應考量成膜效率與改質效率來設定。又,供給至電極36a、36b的高頻電力值也應如上述般進行適當的設定以不會對成膜處理有不良影響,且能快速地進行改質處理。
在上述例子中,雖係在成膜處理進行期間進行改質處理,但也可以為在複數次(例如20次)成膜處理(循環)進行期間來進行改質處理。此情況在進行改質處理時,具體而言係停止供給BTBAS氣體、臭氧及氮氣,並由氣體導入噴嘴34供給氬氣至活化氣體噴射器220,同時供給高頻至電極36a、36b。然後,將迴轉台2迴轉例如200次,使5片的晶圓W依序地通過活化氣體噴射 器220的下方區域。進行這樣的改質處理後,再度開啟各氣體的供給以進行成膜處理,並依序地反覆進行改質處理與成膜處理。本例同樣可以與上述例子一樣獲得緻密且不純物濃度低的薄膜。此時,由於進行改質處理時係停止供給臭氧及氮氣,故如所述之圖32A所示,亦可不設置罩體221。
活化氣體噴射器220係為了將處理氣體活化並將反應生成物改質之活化機構,但該活化機構並不限於上述之活化氣體噴射器構造。活化機構亦可以為例如由陶瓷所構成,並在沿著其長度方向所形成有氣體噴出口之噴射器內設置供給微波之天線(例如棒狀天線),藉由該天線之微波來將噴射器內的氣體活化並供給至晶圓W。
在此,因上述活化後氬氣所導致之SiO2 膜所產生的現象(改質處理)雖省略詳細說明,但就氣體導入噴嘴34所供給氣體而言,經由變換氬氣與氧氣比率的實驗或將氬氣變換為氮氣的實驗結果可以確認,SiO2 膜中的元素置換並非因氧自由基,而是SiO2 膜中的氧元素脫離而產生Si-O的再鍵結所引起的現象。
上述活化氣體噴射器220雖然係設置於第1反應氣體噴嘴31上游側之分離區域D與搬送口15之間的區域,但亦可設置於迴轉台2之迴轉方向的第2反應氣體噴嘴210與第1反應氣體噴嘴31之間,例如亦可以設置於第1反應氣體噴嘴31上游側之分離區域D內。又,該活化氣體噴射器220的結構亦可為例如將氣體導入 噴嘴34設置於電極36a、36b上側等,如所述圖14~圖18般設置。又,該活化氣體噴射器220除設置電極36a、36b結構以外,亦可如圖19所示般設置加熱器30。此時,加熱器30的加熱溫度係調整為例如1000℃左右。
再者,亦可將該活化氣體噴射器220與活化氣體噴射器32(32b~32d)一同設置於所述圖2之成膜裝置。此時,活化氣體噴射器220係設置於活化氣體噴射器32(32b~32d)下游側,針對成膜於晶圓W上之氮化膜進行改質處理以例如降低膜中所含之不純物。又,使用所述圖2之成膜裝置來成膜出SiO2 膜時也可以設置該活化氣體噴射器220。再者,使用所述各氣體作為第1反應氣體及第2反應氣體時,亦可藉由使用活化氣體噴射器220來進行改質處理以同樣地進行膜中不純物濃度的降低。
由氣體導入噴嘴34所供給之活化用氣體除了氬氣以外,亦可使用至少1種以上的例如氦氣(He)、氨氣(NH3 )、氫氣(H2 )或含氮(N)或氧(O)之氣體。
上例中,已說明係藉由進行成膜循環期間(每次迴轉台2的迴轉)進行改質處理,而可以獲得矽氧化膜之膜厚方向緻密且不純物濃度低的良質薄膜,但在成膜該矽氧化膜時,相較於上例用作第1反應氣體之BTBAS氣體更好的反應氣體則於以下進行說明。
一開始,先就使用BTBAS氣體之上述ALD(MLD)製程再度進行說明。首先,如圖37A所示,例如在第1 處理區域P1的晶圓W上吸附BTBAS氣體,其次如圖37B所示,在第2處理區域P2藉由臭氧氣體將晶圓W上之BTBAS氣體氧化,如圖37C所示,在晶圓W上會生成含有氧及BTBAS氣體中之矽的反應生成物,同時BTBAS氣體之不純物(例如有機物)會成為副生成氣體而脫離。然後如圖37D所示,活化氣體噴射器220下方區域中,如所述般對生成於晶圓W上之反應生成物進行例如所謂多餘氧元素的釋出或元素的再排列之改質處理,藉由成膜循環期間之BTBAS氣體的吸附、氧化及改質的反覆操作,便會在膜厚方向層積緻密且不純物稀少的矽氧化膜。
然而,藉由進行上述改質處理雖可獲得緻密且不純物稀少的矽氧化膜,但由於BTBAS的蒸氣壓低,又如圖38A所示,氮(N)原子與t-丁基(-C(CH3 )3 )會在矽原子兩側鍵結成對稱的大分子結構,依元件所使用的地方及使用者側的要求等而言,以成膜速度、埋入特性以及矽氧化膜膜質的觀點或許不能稱為特別有利的氣體。在此,就上述觀點而較BTBAS更為有利於矽氧化膜之成膜用氣體則例舉二異丙基胺基矽烷氣體(DIPAS)。
DIPAS具有較BTBAS的蒸氣壓更高的蒸氣壓。使用BTBAS氣體時,較難得到高的成膜速度。這是因BTBAS的蒸氣壓較低,而在真空容器1之處理壓力較高時,便難以以充分高的流量來供給BTBAS氣體。另一方面,DIPAS的蒸氣壓例如在50℃時係較BTBAS的 蒸氣壓高上10倍,因為能增加氣體流量及處理壓力,故使用DIPAS氣體可以得到高的成膜速度。
再者,比較圖38A及圖38B,可知二異丙基胺基矽烷分子係較BTBAS分子要小。具體而言,因為BTBAS在Si原子的兩側具有t-丁基,故比較會有高程度產生立體障礙問題之虞。另一方面,DIPAS發生這樣立體障礙問題的程度較小。又,DIPAS中的Si原子與N原子的鍵結,由於臭氧分子不會受到有機基之妨礙而能到達Si原子,故比較容易可被臭氧切斷。因此,使用DIPAS時,便有成膜速度變高的可能性。再者,由於Si原子與N原子之間的鍵結比較容易被切斷,故副生成物的有機物或氮化物能較快速地由DIPAS分子脫離。因此,結果便能降低氧化矽膜中的不純物而可以獲得優異的電氣特性。
再者,由於DIPAS具有較小的分子結構,故能以高密度吸附於晶圓W上。因此,能夠獲得具有高密度的氧化矽膜。又,由於密度高,該氧化矽膜即使經過後續的退火處理也不太會收縮。故該氧化矽膜即便經由細微圖樣及蝕刻後,也不易產生圖樣崩塌(圖樣崩塌係指收縮大的膜因內部應力所產生之情況)。又,DIPAS具有小分子結構,故使用DIPAS氣體時,氣體流量及/或處理壓力得以提高,將微細圖樣內之間隙(gap)以氧化矽埋入時,能夠改善埋入特性。
又,DIPAS分子因為可快速地吸附於晶圓W,故能 降低氣體的消耗。再者,晶圓的面內均勻性一般可藉由調整反應氣體流量及/或處理容器內的處理壓力來調整,故就引起高蒸氣壓之流量及/或處理壓力而言之製程範圍擴張而使用DIPAS,則可以擴張面內均勻性的製程範圍。因此,如所述般使用BTBAS氣體對成膜後薄膜進行電漿處理(改質處理)雖可形成緻密且不純物稀少的薄膜,但藉由使用該DIPAS氣體進行成膜處理之後再進行電漿處理,相較於上述使用BTBAS氣體進行成膜處理及電漿處理的情況,更能快速地獲得良質的薄膜。
在使用這樣的反應氣體進行成膜處理時,例如迴轉台2的轉速、處理壓力、晶圓W的加熱溫度、反應氣體的流量、臭氧氣體的流量、改質用氣體(氬氣/氧氣)的流量及分離氣體噴嘴41、42之氮氣流量係分別設定為240rpm、2.13kPa(16Torr)、350~500℃、275sccm、4500/500sccm、10000sccm、10000sccm。
使用DIPAS氣體時之改質用氣體係與BTBAS的情況同樣地至少使用1種例如氬氣(Ar)、氦氣(He)、氨氣(NH3 )、氫氣(H2 )或含氮(N)或氧(O)之氣體。又,雖可於每次成膜循環時進行改質處理,但與使用BTBAS氣體的情況同樣地,在進行複數次(例如20次)成膜處理(循環)的期間進行改質處理亦可。
前述例子中,迴轉台係相對於氣體供應系統、分離區域中之凸型部等的迴轉台2上方組件或物品以鉛直 軸進行迴轉,但也可以是該等組件或物品相對於迴轉台2而言鉛直軸進行迴轉。亦即,只要該等組件或物品與迴轉台2能相對地迴轉即可。
為了將該組件或物品相對迴轉台2進行迴轉,可以採用例如以下的結構。首先,例如,在真空容器1的中央部設置能於真空容器1的中心部份以鉛直軸自由迴轉的套筒,於該套筒的外周組裝凸型部及活化氣體噴射器。接著,將各氣體供給管插入迴轉套筒內,並於迴轉套筒的內部朝上方彎曲。在此,各氣體供給管係對應於反應氣體噴嘴、分離氣體噴嘴及活化氣體噴射器之氣體導入埠(以下稱為氣體供給管等),並朝和插入端相反側的一端於既定的範圍設置複數個噴出口。此時,對應於分離氣體噴嘴之氣體供給管則收納於凸型部4的溝部43。
再者,將迴轉套筒內直立的各氣體供給管以不同的高度朝迴轉套筒內面方向幾乎水平地彎曲,將各氣體供給管前端氣密地插入設於迴轉套筒內面的孔而朝迴轉套筒外側的空間開口。這樣開口的各氣體供給管前端由後面的說明便可以理解係具有氣體出入口的功能。
接著,於迴轉套筒外側設置同心狀的固定套筒以包覆迴轉套筒之各氣體供給管前端的開口區域。在此,於固定套筒及迴轉套筒之間設置複數組軸承及磁性流體密封件,以將對應於各氣體供給管前端之複數個連通空間作上下方向的區分。
另一方面,將氣體配管的一端由固定套筒的外側插入至固定套筒內以連通至各連通空間。各氣體配管的另端則與既定之各氣體供給源連接。藉由如此結構,各氣體供給源的氣體透過氣體配管及連通空間朝各氣體供給管的前端(氣體出入口)供給。迴轉套筒藉由軸承相對固定套筒旋轉,而即使凸型部、活化氣體噴射器及氣體供給管等隨著迴轉套筒一同迴轉,由於氣體供給管的前端(氣體出入口)與連通空間仍維持連通,故氣體配管的氣體還是能導向真空容器1內。
(模擬)
針對第1實施形態的活化氣體噴射器32及不設置分隔壁324而將氣體導入.活化室327加以共通的活化氣體噴射器32c製作模擬模型,針對個別之噴射器本體321內的氣體流動方式進行模擬。
A.模擬條件
氣體的種類為氨氣,氣體流量為3000sccm,真空容器1內的壓力為1.33kPa(10Torr),氣體溫度為273K。
(實施例1)
針對第1實施形態的活化氣體噴射器32,模擬噴射器本體321內的氣體流動。
(比較例1)
除了不設置分隔壁324之要點以外,針對具備有與(實施例1)同樣結構的活化氣體噴射器32c,模擬噴射器本體321內的氣體流動。
B.模擬結果
(實施例1)的結果如圖39A所示,(比較例1)的結果如圖39B所示。該等圖式中,噴射器本體321內之氣體流速分布以等速線加以區分表示。各圖式中所表示的數值係表示該區域內的氣體流速(m/s)之流速範圍。
依(實施例1)的結果,可知沖洗管35a、35b之間的電漿產生部351形成有流速較快的區域,藉由供給氨氣至設置分隔壁324的氣體活化室323上部,而可以將氨氣有效率地在電漿產生部351處流動。
相對於此,依(比較例1)的結果,右側之沖洗管35b與噴射器本體321之間所形成的空間會形成流速較快的區域,氨氣在電漿產生部351會發生分流(bypass),並通過該空間而到達氣體噴出口33,故氨氣無法充分電漿化的可能性高。
由該等結果,可謂在兩根沖洗管35a、35b內設置電極36a、36b以產生電漿之第1實施形態的活化氣體噴射器32可藉由設置分隔壁324而不容易形成分流流徑,使得氨氣能有效率地電漿化。
(實驗)
供給處理氣體至迴轉台型成膜裝置(使用有利用加熱器30之第4實施形態的活化氣體噴射器32d),並以ALD法進行成膜實驗。處理氣體係使用BTBAS氣體及臭氧氣體來成膜出矽氧化膜,臭氧氣體的供給係使用具有加熱器30的活化氣體噴射器32d。製程壓力為1067Pa(8Torr),晶圓W的加熱溫度為100℃,迴轉台2的轉速為120rpm,BTBAS氣體的供給量為100sccm,臭氧氣體的供給量為10000sccm。又,迴轉台2載置有5片晶圓W,而成膜時間為31分鐘。
A.實驗條件 (實施例2-1)
調整加熱器30之輸出,使得溫度檢測端305之檢測溫度為300℃。
(實施例2-2)
調整加熱器30之輸出,使得溫度檢測端305之檢測溫度為400℃。
(實施例2-3)
調整加熱器30之輸出,使得溫度檢測端305之檢測溫度為500℃。
(實施例2-4)
調整加熱器30之輸出,使得溫度檢測端305之檢測溫度為600℃。
(比較例2)
不以加熱器加熱而進行成膜。
B.實驗結果
各實施例、比較例的結果如(表1)所示。(表1)中的面內均勻性(%)(晶圓W面內的膜厚均勻性)係量測各晶圓W面內的最大膜厚、最小膜厚(nm),且適用下列式(1),並記載5片晶圓W之面內均勻性的平均值。又面間均勻性(%)(晶圓W間之平均膜厚的均勻性)係求出5片晶圓W的平均膜厚,並將該等平均膜厚的最大膜厚、最小膜厚(nm)以式(1)計算而出。
((最大膜厚)-(最小膜厚))×100/((最大膜厚)+(最小膜厚))...式(1)
比較(表1)所示之(實施例2-1)~(實施例2-3)與(比較例2)之實驗結果,使用加熱器30的各實施例較比較例之每單位時間的成膜速度(nm/min)、每循環之成膜速度(nm/cycle)要大。又,各實施例之間進行比較,則加熱器30的溫度愈高(加熱器30的輸出愈大),成膜速度便愈大。這是隨著加熱器30的溫度升高,在活化氣體噴射器32d內的臭氧氣體所吸收的能量變大,結果讓活化氣體噴射器32d供給至晶圓W表面的氣體中之氧自由基等活性基的濃度提高,而使得成膜速度提升。
接著,針對成膜後之膜均勻性進行說明,(表1)所示之面內均勻性(within-wafer uniformity)、面間均勻性(wafer-to-wafer uniformity)在同表中所表示的數字愈小,表示晶圓W面內或晶圓W面間的膜厚均勻性愈高。關於此點,首先由面內均勻性的量測結果來考量,除了(實施例2-4)之外,(實施例2-1~2-3)的各實施例均較(比較例2)可進行均勻性高的成膜。又,針對各實施例而言,由相對於加熱器30溫度之面內均勻性的變化樣子來看,可知加熱器30的溫度愈高,則面內均勻性 便會下降。
而使用加熱器30能提升面內均勻性,但隨著其溫度的提高則一時提升的面內均勻性卻會逐漸下降的傾向之理由則於下述推論。亦即,沒有使用加熱器30的(比較例2),其BTBAS與臭氧氣體的反應僅係利用加熱晶圓W的能量來進行,故反應較慢,而成膜速度則由晶圓W的迴轉速度支配,在迴轉速度較慢的迴轉台2之中心側的膜較厚,而朝迴轉速度較快之迴轉台2外側則漸漸地膜會變薄。相對於此,使用加熱器30之(實施例2-1)由於係直接將活性基供給至晶圓W表面,故反應速度變大,使得相對於成膜速度的晶圓W迴轉速度之影響變小,而提升成膜後膜之面內均勻性。但是,即使這樣的情況,在迴轉速度快的迴轉台2最外周也存在有相對於成膜速度的晶圓W迴轉所支配的區域,因而即使對該最外周區域供給更高濃度的活性基,膜的成膜速度也幾乎是不會上升的狀態。
因此,如(實施例2-1~2-4)般提升加熱器30的溫度時,相對於成膜速度的活性基之供給所支配的區域,亦即迴轉台2的內側區域供給至晶圓W表面之活性基的量變多,結果使得成膜速度變大而膜變厚。相對於此,相對於成膜速度的晶圓W迴轉所支配的區域,亦即迴轉台2的最外周區域即使供給至晶圓W表面活性基的量變多,而成膜速度幾乎沒有變化,膜的厚度只會和例如(實施例2-1)相同左右。結果使得膜變厚的迴轉台2 內側區域與膜幾乎沒變化的最外周區域之間產生極大的膜厚差異而觀察到均勻性下降。但是,即使成膜後膜的面內均勻性下降,在(實施例2-4)所獲得的膜面內均勻性下降的程度亦在充分實用的範圍內,故可謂藉由使用加熱器30對成膜速度有大的提升效果。另外,(表1)中所示各實施例、比較例的面內均勻性之絕對值在30%以上附近,這比較大的值係因為使用在開發階段的成膜裝置來進行實驗之故。在裝置調整結束後,面內均勻性的絕對值能收斂至實用的值,但有使用及沒使用加熱器30的情況之面內均勻性的傾向會獲得(表1)所示的相同傾向。
另一方面,就面間均勻性則如(表1)所示,任一個實施例均能獲得較(比較例2)更好的數值。然後,將(實施例2-1~2-4)的結果加以比較,由(實施例2-1)至(實施例2-2)會有若干的面間均勻性下降,(實施例2-2~2-4)則隨著加熱器30的溫度升高而提升面間均勻性。
這點由加熱器30之溫度由300℃至400℃時面間均勻性下降的理由來看無法明確,但就該溫度上升至500℃、600℃時的面間均勻性提升而言,能夠推論出以下的理由。亦即,在迴轉較慢的迴轉台2內側區域因為能夠得到充分的反應時間,故吸附於晶圓W之BTBAS幾乎都成為矽氧化膜而變成無法再使膜厚變厚的飽和狀態,故該區域的膜厚便無法再進行變化。
另一方面,在膜厚未飽和狀態之區域,所成膜出膜 的膜厚會因為含供給至晶圓W表面上的活性基之氣體流動狀態,或吸附於晶圓W表面之BTBAS與活性基的接觸狀態等微妙的差異,而使得該區域所成膜出的膜厚會在晶圓W面之間產生差異。因此,將加熱器30的溫度提高而使得供給至晶圓W表面的活性基的濃度提高時,膜厚飽和區域的面積會變大,另一方面,膜厚容易有差異的不飽和狀態區域的面積之比例則下降,整體上不就能使得面之間的膜厚均勻性加以提升。
因上述理由,便可以確認活化處理氣體機構之具備加熱器30的活化氣體噴射器32d具有提升成膜後膜之晶圓W面內及面間均勻性,以及提升成膜速度的效果。
(實施例3-1)
其次,針對使用活化氣體噴射器220時,為了驗證真空容器1內之氣體流動所進行之模擬來進行說明。該模擬係藉由所述罩體221之有無來確認氣體導入噴嘴34所噴出之氬氣在真空容器1內是如何地流動。模擬條件係使用下述的條件。
(模擬條件)
壓力(Pa(Torr)):400(2)
迴轉台2的轉速(rpm):240
第1反應氣體:氧氣(10slm)
第2反應氣體:臭氧氣體(10slm)
活化(改質)用氣體:氬氣(2slm)
供給至中心部區域C之氣體:氮氣(15slm)
供給至各分離區域D之氣體:氮氣(3slm)
供給至迴轉台2下方之氣體:氮氣(10slm)
(模擬結果)
藉由該模擬所得之結果如圖40A至圖40D所示。該圖40A、圖40B係由迴轉台2上方至活化氣體噴射器220附近所見之氬氣(活化用氣體)的濃度分布平面圖,圖40C、圖40D係氣體導入噴嘴34附近之氮氣(分離氣體)的濃度分布立體圖。又,圖40A、圖40C係設置有罩體221的情況,圖40B、圖40D係未設置有罩體221的情況。由結果可知,設置有罩體221能限制氬氣的流動,使得氬氣在活化氣體噴射器220內部能夠保有高濃度。另一方面,在未設置有罩體221的情況,可知氬氣會朝活化氣體噴射器220之下游側寬廣地擴散。又,在氣體導入噴嘴34附近亦因設置有罩體221,使得氮氣的濃度變得十分的低,因此可以抑制由活化氣體噴射器220外部之氮氣流入,但在未設置有罩體221的情況,可知氮氣會進入至活化氣體噴射器220內。
(實施例3-2)
在上述實施例3-1之模擬條件中,將活化用氣體流量變更為5slm來進行相同的模擬。
結果如圖41所示,藉由設置罩體221,活化氣體噴射器220附近之氬氣濃度提高,又,可知抑制了氮氣的流入。由以上實施例3-1、3-2的結果,可知為了抑制氣體由外部流入活化氣體噴射器220內,活化用氣體的流量只要少量的2slm左右即十分充足。
(實施例4)
其次,就改質處理會讓SiO2 膜的膜質產生如何的改變,又,調整圖42所示沖洗管35a、35b與晶圓W之間的距離y會使得改質處理的程度產生怎樣的變化進行實驗。
實驗係準備於表面成膜有SiO2 膜之實驗用試片,將實驗用試片置於沖洗管35a、35b長度方向中央的下方位置,依以下的條件進行改質處理。之後,將該等試片浸入至氫氟酸水溶液以測定SiO2 膜的濕蝕刻率。
(實驗條件)
溫度:室溫
壓力(Pa(Torr)):240(1.8)
高頻輸出(W):200
活化用氣體:氬氣(300sccm)
處理時間:5分鐘
沖洗管35a、35b與實驗用試片表面之間的距離y(mm):6、9、12
(實驗結果)
結果表示於圖43。其結果與未進行改質處理的情況比較,藉由進行改質處理會降低蝕刻率,故可知SiO2 膜係緻密化的。又,實驗用試片與沖洗管35a、35b之間的距離y愈狹窄,則濕蝕刻率就更下降,可知改質處理能讓SiO2 膜更加地緻密化。又,由變化膜厚方向的蝕刻率,可知靠近試片表層則SiO2 膜會緻密化。因此,該改質處理係在SiO2 膜表層附近區域發生,故如所述藉由進行每次的成膜處理,可知在膜厚方向可獲得緻密的膜。另外,該圖43中,一併表示以950℃進行熱處理所獲得的熱氧化膜的蝕刻率,本發明將上述距離y變狹窄,可知能獲得蝕刻率接近該熱氧化膜特性的緻密膜。
(實施例5)
其次,針對使用DIPAS氣體作為成膜所述矽氧化膜的反應氣體之實驗進行說明。該實驗係使用圖29~圖35所示之成膜裝置,於以下表2所示之成膜條件中,在成膜循環進行期間(迴轉台2的每次迴轉)進行改質處理以成膜出矽氧化膜,並計算此時的成膜速度(沉積率)。另外,比較例則以不進行改質處理,並分別使用DIPAS氣體及所述BTBAS氣體之個別成膜例來表示。又,實驗係使用直徑為300mm的晶圓W。以下的各實施例亦相同。
該表2中,「高頻電力」係供給至電極36a、36b的改質用電力。又,任一實驗中,在使用DIPAS氣體時,該DIPAS氣體的流量為275sccm,氧氣的濃度及流量分別為300g/Nm3 及10slm,改質用氣體(氬氣/氧氣)的流量為5slm/0.1slm。另外,上述比較例5-1係以使用BTBAS 氣體時之最高成膜速度條件(BTBAS氣體流量:200sccm)進行實驗。
該實驗結果如圖44所示,使用DIPAS氣體相較於以同樣成膜條件而使用BTBAS氣體的情況,可知能提升成膜速度。又,使用DIPAS氣體時,氣體流量及處理壓力均可較BTBAS氣體的上限值增加,可知對應於增加量會使得成膜速度加快。再者,使用DIPAS氣體,在成膜速度及處理壓力分別為350℃及1.07kPa(8Torr)的情況,迴轉台2的轉速在240rpm時,認為並不會因改質用高頻電力的大小差異而導致成膜速度有大的變化,但在迴轉台2的轉速在30rpm時,改質用高頻電力愈大則成膜速度便愈慢,可確認最大(0W→400W)約減少25.6%。
因此,藉由迴轉台2的轉速變慢,使得晶圓W受到改質處理的時間(在活化氣體噴射器220下方區域所滯留的時間)變長,故可知矽氧化膜的改質效果會更加顯著。又,藉由改質處理,可知成膜速度會下降,亦即會產生矽氧化膜的收縮(緻密化)。此時,成膜溫度愈高,且處理壓力愈低,則矽氧化膜的收縮量便會變多。由以上的結果,可知在活化氣體噴射器220下方區域之晶圓W滯留時間長,且活化用氣體所產生的離子生成量或反應性高會加強改質的程度。
(實施例6)
其次,與實施例5相同地,求出以下表3之成膜條件所成膜之矽氧化膜浸入1wt%的稀氫氟酸水溶液之濕蝕刻率。
該實驗結果表示於圖45。另外,參考例6-1、6-2係分別以950℃之處理溫度所獲得之熱氧化膜及以780℃、使用二氯矽烷氣體及N2 O之CVD法所成膜之矽氧化膜的結果。又,該圖45係將參考例6-1之熱氧化膜所得到的數值作為1,並將各結果加以規格化之數值來表示。
結果可知,使用DIPAS氣體進行成膜處理,之後再進行改質處理能提升濕蝕刻率,並依成膜條件能獲得極近於具有理想特性之熱氧化膜的結果。又,由另外進行的實驗可知,使用所述之BTBAS氣體來成膜而沒有進行改質處理的矽氧化膜,即使經過800℃左右以上的熱處理,與熱氧化膜相比也只不過具有1/5左右的濕蝕刻耐性,考量該事實,可謂使用DIPAS氣體並於每次成膜循環時進行改質處理的做法是極為有效的。
此時,與所述實施例5就成膜速度(收縮量)所獲得的結果相同地,可知藉由調整處理條件,使得活化氣體噴射器220下方區域之晶圓W滯留時間變長,且活化用氣體所產生的離子生成量或反應性提高,能夠提升濕蝕刻率的耐性。
另一方面,在未進行改質處理的情況,藉由使用DIPAS氣體之濕蝕刻率僅較使用BTBAS氣體的結果大上一些,但這是因為使用BTBAS氣體所成膜之矽氧化膜含有不純物之氮而使得濕蝕刻耐性增加。亦即,可謂使用DIPAS氣體的情況較使用BTBAS氣體的情況,即使是改質處理前,膜中的氮濃度會減少。
(實施例7)
接著,進行與實施例5同樣地使用DIPAS氣體來形成矽氧化膜後,在氮氣氛中進行850℃的退火處理以確認矽氧化膜的膜厚會有怎樣程度的收縮(Shrink)之實 驗。就各實施例7-1~7-6及比較例7-1~7-7的成膜條件而言,係分別與實施例6-1~6-6與比較例6-1~6-7相同的條件來進行成膜。又,參考例7-1亦一併記載以所述CVD法所成膜之矽氧化膜的結果。
結果如圖46所示,進行使用DIPAS氣體的成膜處理及改質處理之矽氧化膜與未進行改質處理的矽氧化膜加以比較,因退火處理所導致的收縮率變小。此時,以處理壓力、迴轉台2的轉速及成膜溫度分別為0.5kPa(4Torr)、20rpm及350℃的條件所成膜之矽氧化膜即使經退火處理亦未收縮。因此,可藉由進行改質處理來形成緻密的薄膜,同時,即使例如將該矽氧化膜進行細微圖樣的蝕刻後,在退火處理中也不易因為產生大收縮的膜內應力而導致圖樣的崩塌。在該實施例7所獲得的收縮率,就成膜溫度、迴轉台2的轉速、處理壓力而言,可見到與上述實施例5、6的結果同樣的傾向。又,藉由另外進行的實驗,在使用BTBAS氣體進行成膜而未進行改質處理時,為了要將收縮率控制在5%以內則必須要在500℃以上的成膜溫度進行成膜,故可知使用DIPAS氣體,並進行改質處理能夠在低溫下得到緻密的薄膜。
(實施例8)
與實施例5同樣地,就進行使用DIPAS氣體之成膜或改質的矽氧化膜而言,進行確認成膜後之膜中所含 水分(Si-OH及OH基(H2 O))之實驗。成膜條件如下表4,處理壓力為1.07kPa(8Torr),成膜溫度為350℃。另外,矽氧化膜中的水分測定則使用FT-IR(傳立葉轉換紅外線光譜法)。
結果如圖47所示,藉由進行改質處理使得矽氧化膜中的水分減少,再者藉由迴轉台2的轉速變慢而可更低。
(實施例9)
其次,將迴轉台2的轉速固定於30rpm,同時以下表5調整高頻電力及處理壓力,在350℃的成膜溫度使用DIPAS氣體來成膜出矽氧化膜,並進行與上述實施例8同樣的實驗。
其結果如圖48所示,藉由改質處理會減少矽氧化膜中的水分,又處理壓力愈低則膜中水分便會減少。
(實施例10)
針對迴轉台2的轉速固定為30rpm,並以下表6的成膜條件使用DIPAS氣體來成膜的矽氧化膜而言,在成膜處理後進行退火處理(退火溫度:850℃,退火時間:10分鐘),進行與所述實施例8、9相同的膜中水分測定。
結果如圖49所示,藉由改質處理後進行退火處理,膜中的水分會在檢測下限以下。另一方面,即使未進行改質處理的情況,亦能藉由退火處理來降低膜中的水分,但其減少量相對於進行改質處理後的矽氧化膜亦 較進行退火處理的情況要小。因此可知藉由進行改質處理,之後再藉由退火處理能使得膜中的水分成為更容易脫出的狀態。
(實施例11)
其次,就使用DIPAS氣體並以與實施例9同樣的成膜條件進行成膜處理及改質處理之矽氧化膜而言,以RBS/HFS(拉塞福背向散射法/氫氣正向散射法)測定相對於矽氧化膜之比重及膜中之矽含量的氫及氧之分別含量比例。
如圖50所示,與上述實施例8~10同樣地,可知藉由改質處理能減少膜中之氫及氧的含量而增加比重。又,處理壓力變低,則改質處理的效果便變大。另外,就比較例11-1而言,因為確認了測定時氫有由矽氧化膜脫離,故實際上應係較測定結果含有更多的氫。
(實施例12)
就使用DIPAS氣體並以下表7之條件所成膜之矽氧化膜而言,使用SIMS(二次離子質譜儀法)測定膜厚方向之50nm深度的不純物濃度。另外成膜溫度為350℃,迴轉台2的轉速為30rpm。
結果如圖51所示,藉由進行改質處理能降低膜中的氫及氮之含量。
(實施例13)
就以下表8所示的成膜條件所成膜之矽氧化膜而言,進行與上述實施例12同樣的實驗。成膜溫度為350℃,處理壓力為0.5kPa(4Torr),迴轉台2的轉速為30rpm,退火處理為850℃、10分鐘。
該實驗結果如圖52所示,可知藉由進行改質處理及退火處理能降低矽氧化膜中的氫含量。
(實施例14)
其次,在晶圓W表面形成含極大縱橫比(=30)之凹部(開口部)的圖樣(開口深度:10μm,開口寬度:0.3μ m),並進行確認針對該晶圓W藉由DIPAS氣體之薄膜埋入特性的實驗,然後,就成膜於晶圓W上之薄膜厚度而言,計算相對於晶圓W表面之凹部以外部位的膜厚之凹部側壁面的膜厚比例(膜厚比:R=側壁面的膜厚÷凹部以外部位的膜厚),以作為對凹部埋入特性的評價指標使用。此時的實驗條件表示於下表9。另外,成膜溫度為350℃,處理壓力為0.5kPa(4Torr),DIPAS氣體的流量為275sccm,臭氧氣體的濃度及流量分別為300g/Nm3 、10000sccm。
使用SEM(Scanning Electron Microscope)觀察各晶圓剖面並表示於圖53。藉由進行該等的改質處理,再藉由將迴轉台2的轉速變慢而由凹部埋入薄膜,可知能得到自由選擇的埋入特性。
(實施例15)
接著,以下表10所示的條件中,藉由DIPAS氣體形成矽氧化膜,並進行確認漏洩電流會是何種程度的實 驗。實驗係使用水銀探針法,將負偏壓施加於矽氧化膜,以測定通過該矽氧化膜的電流密度。因此,可謂電流密度愈小,則漏洩電流便愈小。另外,以處理壓力為1.07kPa(8Torr),DIPAS氣體的流量為275sccm,臭氧氣體的濃度及流量分別為300g/Nm3 以及10000sccm,改質處理用氣體(Ar/O2 )的流量為5slm/0.1slm,迴轉台2的轉速為240rpm來進行成膜。
如圖54所示,藉由改質處理能使得漏洩電流變小,又成膜溫度高也會減少漏洩電流。
(實施例16)
就表11之條件所成膜之矽氧化膜而言,進行與上述實施例15相同的實驗。成膜溫度為350℃,迴轉台2的轉速為30rpm,其他以外的條件則與實施例15為同樣的條件來成膜。
其結果如圖55所示,改質處理用高頻電力提高則漏洩電流便減少。
(實施例17)
與上述實施例15、16同樣地,測定以下表12之條件所成膜之矽氧化膜的漏洩電流。除高頻電力設定為400W以外,均以實施例16同樣的條件來成膜。
結果如圖56所示,迴轉台2的轉速變慢則會減少漏洩電流。
(實施例18)
與上述各實施例15~17同樣地,測定以下表13之條件所成膜之矽氧化膜的漏洩電流。除了迴轉台2的轉速為30rpm以外,均係以實施例17同樣的條件來成膜。
結果如圖57所示,處理壓力愈低則會減少漏洩電流。另外,以1.07kPa(8Torr)所成膜之矽氧化膜的特性,係與使用所述BTBAS氣體以350℃的成膜溫度成膜,之後又進行850℃的退火處理之矽氧化膜有著同樣程度的數值。因此,可知藉由使用DIPAS氣體,並進行改質處理相較於使用BTBAS氣體的情況,可在低成膜溫度下得到良好的漏洩電流。
雖省略圖式或詳細說明,但藉由另外進行的實驗,藉由使用DIPAS氣體就循環速率(每次迴轉台2迴轉所成膜之矽氧化膜的膜厚)及晶圓W內之矽氧化膜的面內均勻性之任一者而言,可知均較使用BTBAS氣體還要提升。就循環速率而言,使用批次式反應爐的實驗結果,DIPAS氣體係BTBAS氣體的1.34倍。又,在DIPAS氣體的情況,可確認成膜速度由350℃至500℃的成膜溫度是沒有變化的。這是因為吸附於晶圓W的DIPAS氣體相較於被晶圓W的熱所熱分解,而是會被臭氧氣體所氧化分解,因此而表示出在ALD模式下來進行成膜。
1‧‧‧真空容器
10‧‧‧搬送臂
11‧‧‧頂板
12‧‧‧容器本體
13‧‧‧O型環
14‧‧‧底面部
15‧‧‧搬送口
2‧‧‧迴轉台
20‧‧‧箱體
21‧‧‧核心部
22‧‧‧迴轉軸
23‧‧‧驅動部
24‧‧‧凹部
321‧‧‧噴射器本體
32、32b、32c、32d‧‧‧活化氣體噴射器
41、42‧‧‧分離氣體噴嘴
40‧‧‧噴出口
31‧‧‧(第1反應氣體)噴嘴
31a、32a、41a、42a‧‧‧氣體供給埠
33‧‧‧氣體噴出口
C‧‧‧中心部區域
324‧‧‧分隔壁
P1‧‧‧第1處理區域
P2‧‧‧第2處理區域
D‧‧‧分離區域
4‧‧‧凸型部
43‧‧‧溝部
44、45‧‧‧頂面
46‧‧‧彎曲部
5‧‧‧突出部
50‧‧‧間隙
51‧‧‧分離氣體供給管
52‧‧‧空間
6‧‧‧排氣區域
61、62‧‧‧排氣口
63‧‧‧排氣管
64‧‧‧真空泵
65‧‧‧壓力調節機構
7‧‧‧加熱器單元
71‧‧‧罩體組件
72、73、74‧‧‧沖洗氣體供給管
322‧‧‧氣體導入室
323‧‧‧氣體活化室
34‧‧‧氣體導入噴嘴
341‧‧‧氣體孔
39‧‧‧氣體導入埠
38‧‧‧接頭部
325‧‧‧缺口部
36a、36b‧‧‧電極
37‧‧‧保護管
35a、35b‧‧‧套管(沖洗管)
351‧‧‧電漿產生部
327‧‧‧氣體導入.活化室
100‧‧‧控制部
326‧‧‧連通孔
30‧‧‧加熱器
352、354‧‧‧套體組件
303‧‧‧電阻發熱線
302‧‧‧套筒
353、355‧‧‧設置空間
301‧‧‧罩體
304‧‧‧供電線
307‧‧‧電源部
305‧‧‧溫度檢測端
306‧‧‧導線
308‧‧‧溫度檢測部
W‧‧‧晶圓
WO‧‧‧中心
L‧‧‧寬度尺寸
80‧‧‧收容空間
80a‧‧‧凹部
81‧‧‧支柱
82‧‧‧迴轉套筒
83‧‧‧馬達
84‧‧‧驅動齒輪部
85‧‧‧齒輪部
86、87、88‧‧‧軸承部
101‧‧‧搬送容器
102‧‧‧大氣搬送室
103‧‧‧搬送臂
104、105‧‧‧裝載室
106‧‧‧真空搬送室
107‧‧‧搬送臂
108、109‧‧‧成膜裝置
210‧‧‧(第2反應氣體)噴嘴
211‧‧‧氣體供給埠
220‧‧‧活化氣體噴射器
221‧‧‧罩體
223‧‧‧支撐組件
222‧‧‧氣流限制面
230‧‧‧貫穿孔
231‧‧‧外筒
232‧‧‧凸緣部
234‧‧‧螺栓
233‧‧‧固定孔
235‧‧‧連接管
236‧‧‧O型環
237‧‧‧內筒
238‧‧‧供電線
240‧‧‧傾斜調整裝置
241‧‧‧調整螺絲
250‧‧‧氣流限制組件
500‧‧‧處理容器
501‧‧‧載置台
502‧‧‧電漿產生室
503‧‧‧搬送路徑
504‧‧‧氣體供給部
505、506‧‧‧供給源
507‧‧‧供給路徑
508‧‧‧加熱器
509‧‧‧真空泵
圖1為習用成膜裝置之概略圖。
圖2為本發明實施形態之成膜裝置的縱向剖視圖,係圖4的I-I’線縱向剖視圖。
圖3為上述成膜裝置之內部概略結構立體圖。
圖4為上述成膜裝置之橫向剖視平面圖。
圖5A及圖5B為上述成膜裝置之處理區域及分離區域的縱向剖視圖。
圖6為上述成膜裝置之分離區域的縱向剖視圖。
圖7為分離氣體或沖洗氣體之流動方式說明圖。
圖8為具有電漿化反應氣體功能之活化氣體噴射器的立體圖。
圖9為活化氣體噴射器之內部結構立體圖。
圖10為活化氣體噴射器之縱向剖視圖。
圖11為該活化氣體噴射器的一端內部結構之橫向剖視圖。
圖12為該活化氣體噴射器之作用說明圖。
圖13為藉由分離氣體將第1反應氣體及第2反應氣體分離並排氣之方式說明圖。
圖14為第2實施形態之活化氣體噴射器的內部結構立體圖。
圖15為該第2實施形態之活化氣體噴射器的縱向剖視圖。
圖16為該第2實施形態之活化氣體噴射器的作用 說明圖。
圖17為該活化氣體噴射器之變形例的立體圖。
圖18為該變形例之活化氣體噴射器的縱向剖視圖。
圖19為第3實施形態之活化氣體噴射器之內部結構立體圖。
圖20為該第3實施形態之活化氣體噴射器的縱向剖視圖。
圖21為該第3實施形態之活化氣體噴射器內所設置的加熱器結構之部分剖視圖。
圖22為第4實施形態之活化氣體噴射器的內部結構立體圖。
圖23為第4實施形態之活化氣體噴射器的縱向剖視圖。
圖24A及圖24B為使用於分離區域之凸型部的尺寸例說明圖。
圖25為本發明其他實施形態之成膜裝置的橫向剖視平面圖。
圖26為本發明上述以外的實施形態之成膜裝置的橫向剖視平面圖。
圖27為本發明上述以外的實施形態之成膜裝置的縱向剖視圖。
圖28為使用本發明成膜裝置之基板處理系統例的概略平面圖。
圖29為本發明其他實施形態之成膜裝置的立體圖。
圖30為該其他例之成膜裝置的平面圖。
圖31為該其他例之成膜裝置的縱向剖視圖。
圖32A及圖32B為該其他例之成膜裝置的活化氣體噴射器之立體圖。
圖33為該活化氣體噴射器之縱向剖視圖。
圖34A及圖34B為該活化氣體噴射器之組裝方法概略示意圖。
圖35為該活化氣體噴射器之縱向剖視圖。
圖36為該其他例之成膜裝置的薄膜改質方式示意圖。
圖37A至圖37D為ALD法的薄膜成膜方式之示意圖。
圖38A及圖38B為BTBAS氣體及二異丙基胺基矽烷氣體之化學結構圖。
圖39A及圖39B為實施例說明示意圖。
圖40A至圖40D為該其他例之活化氣體噴射器所進行之模擬結果特性圖。
圖41A至圖41D為該其他例之活化氣體噴射器所進行之模擬結果特性圖。
圖42為該其他例之實驗條件說明圖。
圖43為該其他例之實驗結果特性圖。
圖44為本發明實施例所得之實驗結果圖表。
圖45為本發明實施例所得之實驗結果圖表。
圖46為本發明實施例所得之實驗結果圖表。
圖47為本發明實施例所得之實驗結果圖表。
圖48為本發明實施例所得之實驗結果圖表。
圖49為本發明實施例所得之實驗結果圖表。
圖50為本發明實施例所得之實驗結果圖表。
圖51為本發明實施例所得之實驗結果圖表。
圖52為本發明實施例所得之實驗結果圖表。
圖53為本發明實施例所得之實驗結果剖視圖。
圖54為本發明實施例所得之實驗結果圖表。
圖55為本發明實施例所得之實驗結果圖表。
圖56為本發明實施例所得之實驗結果圖表。
圖57為本發明實施例所得之實驗結果圖表。
100‧‧‧控制部
12‧‧‧容器本體
51‧‧‧分離氣體供給管
6‧‧‧排氣區域
21‧‧‧核心部
61、62‧‧‧排氣口
22‧‧‧迴轉軸
23‧‧‧驅動部
20‧‧‧箱體
C‧‧‧中心部區域
5‧‧‧突出部
72、73‧‧‧沖洗氣體供給管
45‧‧‧頂面
2‧‧‧迴轉台
11‧‧‧頂板
13‧‧‧O型環
71‧‧‧罩體組件
14‧‧‧底面部
65‧‧‧壓力調節機構
64‧‧‧真空泵

Claims (19)

  1. 一種活化氣體噴射器,其具備有:流道形成組件,係藉由分隔壁將氣體活化用流道及氣體導入用流道加以分隔;氣體導入埠,係用以將處理氣體導入至該氣體導入用流道;一對氣體活化用構件,係於該氣體活化用流道內沿著該分隔壁相互隔有間隔地併列延伸設置,以將處理氣體活化,該間隔係供氣體通過;連通孔,係沿著該氣體活化用構件的長度方向設置於該分隔壁,以將該氣體導入用流道內之處理氣體供給至該氣體活化用流道;以及氣體噴出口,係沿著該氣體活化用構件的長度方向設置於該氣體活化用流道,以將該氣體活化用流道所活化後的氣體噴出。
  2. 如申請專利範圍第1項之活化氣體噴射器,其中該一對氣體活化用構件係電極,該電極係分別以陶瓷加以覆蓋。
  3. 如申請專利範圍第1項之活化氣體噴射器,其具備有:氣體導入噴嘴,係於該氣體導入用流道內沿著該分隔壁設置,於長度方向穿設有氣體孔,並於一端形成有該氣體導入埠。
  4. 如申請專利範圍第1或3項之活化氣體噴射器,其 中該氣體活化用構件為電極或加熱器。
  5. 一種成膜裝置,係具備有:迴轉台,係設置於真空容器內;基板載置區域,係設置用以將基板載置於該迴轉台;以及如申請專利範圍第1項之活化氣體噴射器,係對向於該迴轉台之基板載置區域側且與該迴轉台之移動路徑交叉地設置,用以將活化後氣體供給至該基板載置區域所載置的基板以進行成膜。
  6. 一種成膜裝置,係具備有:迴轉台,係設置於真空容器內;基板載置區域,係設置用以將基板載置於該迴轉台;以及如申請專利範圍第1項之活化氣體噴射器,係對向於該迴轉台之基板載置區域側且與該迴轉台之移動路徑交叉地設置,用以將活化後氣體供給至該基板載置區域所載置的基板以進行該基板上之薄膜改質。
  7. 一種成膜裝置,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜,其具備有:迴轉台,係設置於該真空容器內;基板載置區域,係設置用以將基板載置於該迴 轉台;第1反應氣體供給機構及第2反應氣體供給機構,係於該迴轉台之迴轉方向相互遠離地設置,以分別將第1反應氣體及第2反應氣體供給至該迴轉台之基板的載置區域側一面,該第2反應氣體供給機構係由申請專利範圍第1項之活化氣體噴射器所構成;分離區域,係為了將供給有該第1反應氣體之第1處理區域及供給有第2反應氣體之第2處理區域的氣氛分離而設置於該迴轉方向的該等處理區域之間;以及排氣口,係用以將擴散至該分離區域兩側之分離氣體及該反應氣體加以排氣;其中,該分離區域係具備有:分離氣體供給機構,係用以供給分離氣體;以及頂面,係與迴轉台之間形成位於該分離氣體供給機構之該迴轉方向兩側且用以將分離氣體由該分離區域流動至處理區域側之狹窄空間。
  8. 一種成膜裝置,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜,其具備有:基板載置區域,係設置用以將基板載置於該真 空容器內的迴轉台上;第1反應氣體供給機構,係用以將第1反應氣體供給至該基板;第2反應氣體供給機構,相較於該第1反應氣體供給機構係設置於該迴轉台之迴轉方向之更下游側處,以將第2反應氣體供給至該基板;如申請專利範圍第1項之活化氣體噴射器,係設置在對向於該迴轉台之基板載置區域,且在該迴轉台的迴轉方向之該第2反應氣體供給機構及該第1反應氣體供給機構之間,供給活化後之處理氣體至該基板以進行該基板上之反應生成物的改質;分離區域,係為了將供給有該第1反應氣體之第1處理區域及供給有第2反應氣體之第2處理區域的氣氛分離而設置於該迴轉方向的該等處理區域之間;以及排氣口,係用以將擴散至該分離區域兩側之分離氣體及該反應氣體加以排氣;其中,該分離區域係具備有:分離氣體供給機構,係用以供給分離氣體;以及頂面,係與迴轉台之間形成位於該分離氣體供給機構之該迴轉方向兩側且用以將分離氣體由該分離區域流動至處理區域側之狹窄空間。
  9. 如申請專利範圍第5項之成膜裝置,其中該活化氣 體噴射器之氣體噴出口係設置在該基板載置區域所載置之基板表面起1mm以上、10mm以下之高度位置。
  10. 如申請專利範圍第6項之成膜裝置,其中該活化氣體噴射器之外面部係由覆蓋氣體活化用流道及氣體導入用流道之罩體所構成,該罩體之下端部係由與迴轉台平行且透過間隙朝外側延伸而出之氣流限制組件所構成,以抑制外界的氣體侵入該罩體內。
  11. 如申請專利範圍第6項之成膜裝置,其中該活化氣體噴射器係氣密地且可自由上下地組裝於該真空容器的側壁,以調整其與該迴轉台上的基板表面之間的距離。
  12. 如申請專利範圍第6項之成膜裝置,其中該活化氣體噴射器係氣密地且可自由傾斜地組裝於該真空容器的側壁,以使其可相對於該迴轉台上之表面朝向與該迴轉台的移動路徑交叉之方向傾斜。
  13. 一種成膜裝置,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜,其具備有:台座,係設置於該真空容器內以載置基板;第1反應氣體供給機構,係用以供給第1反應氣體至該台座上之基板; 第2反應氣體供給機構,係用以供給第2反應氣體至該台座上之基板;如申請專利範圍第1項之活化氣體噴射器,係供給活化後之處理氣體至該基板以進行該基板上之反應生成物的改質;以及迴轉機構,係用以將該第1反應氣體供給機構、第2反應氣體供給機構以及活化氣體噴射器與該台座相對地迴轉;其中,該第1反應氣體供給機構、第2反應氣體供給機構以及活化氣體噴射器藉由該相對地迴轉,使得基板沿著台座的圓周方向依第1反應氣體供給區域、第2反應氣體供給區域及活化後處理氣體之供給區域的順序設置。
  14. 如申請專利範圍第13項之成膜裝置,其具備有:分離區域,係為了將該第1反應氣體供給區域及第2反應氣體供給區域的氣氛分離而設置於該相對的迴轉方向的該等處理區域之間;以及排氣口,係用以將擴散至該分離區域兩側之分離氣體及該反應氣體加以排氣;其中,該分離區域係具備有:分離氣體供給機構,係用以供給分離氣體;以及頂面,係與迴轉台之間形成位於該分離氣體供給機構之該迴轉方向兩側且用以將分離氣體由該 分離區域流動至處理區域側之狹窄空間。
  15. 如申請專利範圍第13項之成膜裝置,其中該活化氣體噴射器,其係由該基板之台座中心側的內緣部延伸至台座外側之外緣部,並於其長度方向形成有氣體噴出口。
  16. 一種成膜方法,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜,其包含有下述步驟:將基板載置於真空容器內所設置之迴轉台的基板載置區域,並將該迴轉台以鉛直軸為中心進行迴轉之步驟;將該真空容器內進行真空排氣的步驟;由第1反應氣體供給機構供給第1反應氣體至該基板的表面之步驟;由相較於該第1反應氣體供給機構係設置於該迴轉台之迴轉方向之更下游側處之第2反應氣體供給機構來供給第2反應氣體至該基板表面,將該第2反應氣體與該基板表面上之第1反應氣體的成分加以反應以生成反應生成物之步驟;由設置在對向於該迴轉台之基板載置區域且在該迴轉台之迴轉方向的該第2反應氣體供給機構及該第1反應氣體供給機構之間的申請專利範圍第1項之活化氣體噴射器供給活化後處理氣體 至該基板表面,並進行該基板表面之反應生成物的改質之步驟;以及將分離氣體供給至分離區域之步驟,該分離區域係分別設置於該迴轉方向中供給有該第1反應氣體之第1處理區域及供給有第2反應氣體之第2處理區域之間,用以分離處理區域之氣氛。
  17. 一種成膜方法,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積複數的反應生成物層以形成薄膜,其包含有下述步驟:將基板載置於真空容器內所設置之迴轉台的基板載置區域,並將該迴轉台以鉛直軸為中心進行迴轉之步驟;將該真空容器內進行真空排氣的步驟;由第1反應氣體供給機構供給第1反應氣體至該基板的表面之步驟;由相較於該第1反應氣體供給機構係設置於該迴轉台之迴轉方向之更下游側處之第2反應氣體供給機構來供給第2反應氣體至該基板表面,將該第2反應氣體與該基板表面所吸附之第1反應氣體的成分加以反應以生成反應生成物之步驟;由設置在對向於該迴轉台之基板載置區域且在該迴轉台之迴轉方向的該第2反應氣體供給機構及該第1反應氣體供給機構之間的申請專利範 圍第1項之活化氣體噴射器供給電漿化後之處理氣體至該基板表面,並進行該基板表面之反應生成物的改質之步驟;以及將分離氣體供給至分離區域之步驟,該分離區域係分別設置於該迴轉方向中供給有該第1反應氣體之第1處理區域及供給有第2反應氣體之第2處理區域之間,用以分離處理區域之氣氛。
  18. 如申請專利範圍第16項之成膜方法,其中該進行改質的步驟係在該迴轉台之每次的迴轉中進行。
  19. 一種成膜方法,係將可相互反應的至少2種反應氣體於真空容器內依序地供給至基板表面,且藉由施行該供給循環來層積反應生成物層以形成薄膜,其包含有下述步驟:將基板幾乎水平地載置於真空容器內的台座之步驟;由第1反應氣體供給機構供給第1反應氣體至該台座上的基板之步驟;將該台座及第1反應氣體供給機構相對地迴轉以將基板置於第2反應氣體供給區域,藉由自第2反應氣體供給機構供給第2反應氣體至基板以在基板上生成反應生成物之步驟;將該台座、第1反應氣體供給機構以及第2反應氣體供給機構相對地迴轉以將基板置於活化區域,藉由自如申請專利範圍第1項之活化氣體噴射 器供給活化後處理氣體至該基板以進行該基板表面之反應生成物的改質之步驟;以及將分離氣體供給至分離區域之步驟,該分離區域係分別設置於該相對的迴轉方向中供給有該第1反應氣體之區域及供給有第2反應氣體之區域之間,用以分離該等區域之氣氛。
TW98128929A 2008-08-29 2009-08-28 活化氣體噴射器、成膜裝置及成膜方法 TWI433252B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008222740 2008-08-29
JP2009061605 2009-03-13
JP2009172948A JP5423205B2 (ja) 2008-08-29 2009-07-24 成膜装置

Publications (2)

Publication Number Publication Date
TW201029089A TW201029089A (en) 2010-08-01
TWI433252B true TWI433252B (zh) 2014-04-01

Family

ID=41725849

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98128929A TWI433252B (zh) 2008-08-29 2009-08-28 活化氣體噴射器、成膜裝置及成膜方法

Country Status (5)

Country Link
US (1) US9053909B2 (zh)
JP (1) JP5423205B2 (zh)
KR (1) KR101535682B1 (zh)
CN (2) CN101660138B (zh)
TW (1) TWI433252B (zh)

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5460375B2 (ja) * 2010-02-22 2014-04-02 株式会社東芝 磁気抵抗効果素子の製造方法
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
CN102237246B (zh) * 2010-04-26 2013-06-05 北京北方微电子基地设备工艺研究中心有限责任公司 一种排气板及等离子体处理设备
CN102939659B (zh) 2010-06-11 2016-08-17 株式会社半导体能源研究所 半导体器件及半导体器件的制造方法
JP5787284B2 (ja) * 2010-06-30 2015-09-30 国立大学法人名古屋大学 反応種供給装置および表面等処理装置
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
JP5635378B2 (ja) 2010-11-30 2014-12-03 日東電工株式会社 半導体ウエハ搬送方法および半導体ウエハ搬送装置
KR101246170B1 (ko) * 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
JP5839804B2 (ja) * 2011-01-25 2016-01-06 国立大学法人東北大学 半導体装置の製造方法、および半導体装置
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
JP5963193B2 (ja) 2011-07-29 2016-08-03 日東電工株式会社 積層体の製造方法
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
JPWO2013137115A1 (ja) * 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR102070400B1 (ko) 2012-06-29 2020-01-28 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5947138B2 (ja) * 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
US9831067B2 (en) * 2012-10-11 2017-11-28 Tokyo Electron Limited Film-forming apparatus
CN103824801A (zh) * 2012-11-16 2014-05-28 光达光电设备科技(嘉兴)有限公司 Led外延片反应腔
JP6010451B2 (ja) * 2012-12-21 2016-10-19 東京エレクトロン株式会社 成膜方法
JP5839606B2 (ja) * 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5692337B2 (ja) * 2013-11-25 2015-04-01 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6307316B2 (ja) * 2014-03-19 2018-04-04 株式会社日立国際電気 基板処理装置、及び半導体装置の製造方法
JP6183965B2 (ja) * 2014-03-27 2017-08-23 Sppテクノロジーズ株式会社 シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
JP6086254B2 (ja) * 2014-09-19 2017-03-01 日新イオン機器株式会社 基板処理装置
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
KR102337807B1 (ko) * 2014-11-14 2021-12-09 삼성디스플레이 주식회사 박막 증착 장치
JP6320903B2 (ja) * 2014-11-19 2018-05-09 東京エレクトロン株式会社 ノズル及びこれを用いた基板処理装置
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
JP5968996B2 (ja) * 2014-12-18 2016-08-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6345104B2 (ja) * 2014-12-24 2018-06-20 東京エレクトロン株式会社 成膜方法
JP6297509B2 (ja) * 2015-01-26 2018-03-20 東京エレクトロン株式会社 基板処理装置
JP6569520B2 (ja) 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
JP6569521B2 (ja) 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
JP6723135B2 (ja) * 2015-12-25 2020-07-15 東京エレクトロン株式会社 保護膜形成方法
JP6523185B2 (ja) * 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10640870B2 (en) * 2016-04-25 2020-05-05 Applied Materials, Inc. Gas feedthrough assembly
US10260149B2 (en) 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
JP6602261B2 (ja) 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
WO2018003002A1 (ja) * 2016-06-28 2018-01-04 東芝三菱電機産業システム株式会社 活性ガス生成装置及び成膜処理装置
JP6740799B2 (ja) 2016-08-17 2020-08-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6747220B2 (ja) * 2016-09-28 2020-08-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
JP6680190B2 (ja) * 2016-11-14 2020-04-15 東京エレクトロン株式会社 成膜装置
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6698509B2 (ja) * 2016-12-14 2020-05-27 株式会社神戸製鋼所 ターゲット用シャッタ機構およびそれを備えた成膜装置
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
KR101905822B1 (ko) 2017-03-21 2018-10-08 주식회사 유진테크 기판 처리장치
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
CN107523808B (zh) * 2017-08-23 2019-05-10 江苏菲沃泰纳米科技有限公司 一种有机硅纳米防护涂层的制备方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
JP6981356B2 (ja) * 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
US11114287B2 (en) * 2018-06-14 2021-09-07 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
CN112334599B (zh) * 2018-06-25 2023-09-29 东芝三菱电机产业系统株式会社 活性气体生成装置及成膜处理装置
JP7249744B2 (ja) 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
DE112019000174B4 (de) * 2019-02-13 2024-02-01 Toshiba Mitsubishi-Electric Industrial Systems Corporation Aktivgas-Erzeugungsvorrichtung
JP7175375B2 (ja) * 2019-02-27 2022-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム。
WO2021059486A1 (ja) * 2019-09-27 2021-04-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102358843B1 (ko) * 2019-12-19 2022-02-08 한국과학기술연구원 연속식 카본나노튜브의 제조장치
KR102312364B1 (ko) * 2019-12-24 2021-10-13 주식회사 테스 기판처리장치
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
RU199340U1 (ru) * 2020-02-03 2020-08-28 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Устройство поджига импульсных разрядников
US20210395883A1 (en) * 2020-06-22 2021-12-23 Tokyo Electron Limited System and Method for Thermally Cracking Ammonia
CN114351116A (zh) * 2020-10-13 2022-04-15 中国科学院微电子研究所 原子层沉积装置及原子层沉积方法
KR20220113468A (ko) * 2020-12-24 2022-08-12 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 활성 가스 생성 장치
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4879970A (en) * 1987-04-21 1989-11-14 M&T Chemicals Inc. Coating hood for applying coating compound on containers
JPH03144664A (ja) 1989-10-31 1991-06-20 Mita Ind Co Ltd 自動原稿送り装置
US5095300A (en) * 1990-03-28 1992-03-10 Nec Electronics Inc. Device for sensing side positioning of wafers
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JP2677913B2 (ja) * 1991-05-13 1997-11-17 三菱電機株式会社 半導体製造装置のシール機構および半導体装置の製造方法
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
JP4790914B2 (ja) * 1999-05-13 2011-10-12 ヴィーコ・プロセス・イクウィップメント・インコーポレーテッド 基板上に材料をエピタキシャル成長させるための方法と装置
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6235656B1 (en) * 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP2002170823A (ja) * 2000-09-19 2002-06-14 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法並びにそれに使用されるカバー部材
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR100452318B1 (ko) * 2002-01-17 2004-10-12 삼성전자주식회사 압력조절시스템 및 이를 이용하는 압력조절방법
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US20060124058A1 (en) * 2002-11-11 2006-06-15 Hitachi Kokusai Electric Inc. Substrate processing device
JP4226597B2 (ja) * 2003-03-04 2009-02-18 株式会社日立国際電気 基板処理装置およびデバイスの製造方法
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
DE102004056170A1 (de) 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
JP4480516B2 (ja) * 2004-08-23 2010-06-16 株式会社アルバック バリア膜の形成方法
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
KR100909750B1 (ko) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 디바이스의 제조 방법
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
SG136078A1 (en) * 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US8555808B2 (en) * 2006-05-01 2013-10-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP5310283B2 (ja) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP5195175B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5262452B2 (ja) * 2008-08-29 2013-08-14 東京エレクトロン株式会社 成膜装置及び基板処理装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5195676B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5173685B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラムおよびこれを記憶するコンピュータ可読記憶媒体
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5280964B2 (ja) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US7964858B2 (en) * 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010129666A (ja) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) * 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5068780B2 (ja) * 2009-03-04 2012-11-07 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5093162B2 (ja) * 2009-03-12 2012-12-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5524139B2 (ja) * 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法

Also Published As

Publication number Publication date
CN101660138A (zh) 2010-03-03
CN103088319A (zh) 2013-05-08
CN103088319B (zh) 2015-05-13
KR101535682B1 (ko) 2015-07-09
US20100055347A1 (en) 2010-03-04
US9053909B2 (en) 2015-06-09
JP2010239103A (ja) 2010-10-21
JP5423205B2 (ja) 2014-02-19
CN101660138B (zh) 2014-01-15
TW201029089A (en) 2010-08-01
KR20100027062A (ko) 2010-03-10

Similar Documents

Publication Publication Date Title
TWI433252B (zh) 活化氣體噴射器、成膜裝置及成膜方法
TWI476298B (zh) 成膜裝置、成膜方法以及電腦可讀取記憶媒體
JP5287592B2 (ja) 成膜装置
TWI577246B (zh) 電漿處理裝置及電漿處理方法
TWI494464B (zh) 成膜裝置
TWI441942B (zh) 成膜裝置、成膜方法及記憶媒體
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
TWI465602B (zh) 成膜裝置、成膜方法及記憶媒體
TWI418650B (zh) 成膜裝置
KR101913443B1 (ko) 컨포멀 막들의 플라즈마 활성화된 성막
KR101380985B1 (ko) 플라즈마 처리 장치
TWI609986B (zh) 成膜方法、記憶媒體及成膜裝置
KR20120112116A (ko) 성막 장치 및 성막 방법
KR101989657B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2017117941A (ja) 成膜装置
JP5549754B2 (ja) 成膜装置
JP2015056633A (ja) シリコン酸化膜の製造方法
TWI780369B (zh) 操作空間沉積工具的方法
KR20170091027A (ko) 성막 방법