JP2010239103A - 活性化ガスインジェクター、成膜装置及び成膜方法 - Google Patents

活性化ガスインジェクター、成膜装置及び成膜方法 Download PDF

Info

Publication number
JP2010239103A
JP2010239103A JP2009172948A JP2009172948A JP2010239103A JP 2010239103 A JP2010239103 A JP 2010239103A JP 2009172948 A JP2009172948 A JP 2009172948A JP 2009172948 A JP2009172948 A JP 2009172948A JP 2010239103 A JP2010239103 A JP 2010239103A
Authority
JP
Japan
Prior art keywords
gas
substrate
reaction
activated
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009172948A
Other languages
English (en)
Other versions
JP5423205B2 (ja
Inventor
Hisashi Kato
寿 加藤
Yasushi Takeuchi
靖 竹内
Shigehiro Ushikubo
繁博 牛窪
Hiroyuki Kikuchi
宏之 菊地
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009172948A priority Critical patent/JP5423205B2/ja
Priority to US12/547,648 priority patent/US9053909B2/en
Priority to TW98128929A priority patent/TWI433252B/zh
Priority to KR1020090080671A priority patent/KR101535682B1/ko
Priority to CN201310016980.XA priority patent/CN103088319B/zh
Priority to CN200910169417.XA priority patent/CN101660138B/zh
Publication of JP2010239103A publication Critical patent/JP2010239103A/ja
Application granted granted Critical
Publication of JP5423205B2 publication Critical patent/JP5423205B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5093Coaxial electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J1/00Details of electrodes, of magnetic control means, of screens, or of the mounting or spacing thereof, common to two or more basic types of discharge tubes or lamps

Abstract

【課題】均一に活性化された処理ガスを供給することの可能な活性化ガスインジェクター及びこのインジェクターを備えた成膜装置を提供する。
【解決手段】活性化ガスインジェクター32は、ガス活性化室323とガス導入室322とに区画され、これらの空間323、322が互いに連通された流路形成部材321と、前記ガス導入室321に処理ガスを導入するためのガス導入ポート39と、ガス活性化室323内に互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極36a、36bと、ガス活性化室323にて活性化されたガスを吐出するために電極36a、36bの長さ方向に沿って設けられたガス吐出口と、を備えている。
【選択図】図8

Description

本発明は、互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを多数回実行することにより反応生成物の層を多数積層して薄膜を形成する技術に関する。
半導体製造プロセスにおける成膜手法として、基板である半導体ウエハ(以下「ウエハ」という)等の表面に真空雰囲気下で第1の反応ガスを吸着させた後、供給するガスを第2の反応ガスに切り替えて、両ガスの反応により1層あるいは複数層の原子層や分子層を形成し、このサイクルを多数回行うことにより、これらの層を積層して、基板上への成膜を行うプロセスが知られている。このプロセスは、例えばALD(Atomic Layer Deposition)やMLD(Molecular Layer Deposition)などと呼ばれており(以下、ALD方式と呼ぶ)、サイクル数に応じて膜厚を高精度にコントロールすることができると共に、膜質の面内均一性も良好であり、半導体デバイスの薄膜化に対応できる有効な手法である。
このような成膜方法を実施する装置としては、真空容器の上部中央にガスシャワーヘッドを備えた枚葉の成膜装置を用いて、基板の中央部上方側から反応ガスを供給し、未反応の反応ガス及び反応副生成物を処理容器の底部から排気する方法が検討されている。ところで上記の成膜方法は、パージガスによるガス置換に長い時間がかかり、またサイクル数も例えば数百回にもなることから、処理時間が長いという問題があり、高スループットで処理できる装置、手法が要望されている。
こうした背景から特許文献1〜特許文献8には、複数枚の基板を真空容器内の回転テーブルに回転方向に配置して成膜処理を行う装置が既に知られているが、これらの各文献に記載の成膜装置は、ウエハへのパーティクルや反応生成物の付着の問題、またパージに長時間を要したり、不必要な領域で反応が引き起こされるといった問題を有している。そこで本件出願人においては、これらの諸問題を解決可能な回転テーブル型の成膜装置を検討している。
ところで、ALD方式の成膜方法が好適である例としては、例えばゲート酸化膜等に用いられる高誘電体膜、例えば窒化シリコン膜(SiN膜)やシリコン酸化膜(SiO膜)等の成膜が挙げられる。一例として、例えば窒化シリコン膜を成膜する場合には、第1の反応ガスとして、例えばジクロロシラン(以下「DCS」という)ガス等が用いられ、第2の反応ガスとして例えばアンモニアガス等が用いられる。こうした反応ガスを利用した成膜は、従来ウエハを例えば700℃〜800℃の温度に昇温することにより成膜に必要なエネルギーを供給していたが、半導体装置の更なる高集積化、微細化に伴って成膜時にウエハに加わる熱履歴の低減が必要となっている。
そこで例えば特許文献9には、例えば数十枚〜百数十枚のウエハを保持具に棚状に保持して円筒型の反応管内に搬入し、この反応管内に反応ガスを供給してウエハへの成膜を行う縦型の成膜装置において、例えば一方側の反応ガスであるアンモニアガスをプラズマ化した後に反応容器内に供給して成膜を行う技術が記載されている。プラズマ化で生成された反応性の高い反応ガスの活性種(例えばNHラジカル)を利用して成膜を行うことにより、ウエハに加える熱エネルギーを従来法に比べて低減することができる。
この特許文献9に記載の成膜装置に設けられたプラズマ発生部は、高周波電力が印加される平行平板型の電極を、反応管の壁部の高さ方向に沿って設けた構成となっており、平行平板間を通過してプラズマ化された後の活性化された反応ガスが反応管の壁部から当該反応管内に棚状に保持されたウエハへと供給されるようになっている。ところがプラズマ化により活性化した反応ガス(NHラジカル等の活性種を含む反応ガス)は非常に失活速度が大きいため、反応管の壁部から供給された直後に反応ガスが到達するウエハの周縁部と、この周縁部よりも遅れて反応ガスが到達するウエハの中央部とでは反応ガス中の活性種の濃度が大きく異なり、これらの部位の間で膜質の面内均一性が低下してしまうといった問題があった。
更に本件出願人が検討している回転テーブル型の成膜装置においては、処理容器の壁部から回転テーブルの中央部へ向けて径方向に伸びるように反応ガスノズルが設けられており、反応ガスはこのノズル内を通流して当該ノズルの側壁面に設けられた複数のガス供給孔を経てノズルの下方を通過するウエハに向けて吐出されるようになっている。このとき特許文献9に記載された技術のように、処理容器の壁部、即ちノズルの基端側にプラズマ発生部を設け、反応ガスをプラズマ化してからノズル内に供給する構成を採用すると、反応ガスの活性種は例えば固体表面と接触するだけで失活してしまうことから、狭いノズル内をノズルの壁面と接触しながら通流する際に反応ガスの活性は急激に失われてしまう。こうした理由から、特許文献9に記載の技術を本件出願人が開発中の回転テーブル型の成膜装置に適用すると、従来の縦型熱処理装置にも増して膜質の面内均一性が低下するといった問題があった。
またウエハにプラズマを照射する技術としては、図57に示すように処理容器500内の載置台501上に載置されたウエハWに対して、反応ガスA及び反応ガスBを反応させて成膜した後、プラズマ発生室502にて発生した酸素ラジカルを薄膜に供給して当該薄膜を改質する手法が知られている。503はラジカル搬送路、504はガス供給部、505は反応ガスAの供給源、506は反応ガスBの供給源、507はガス供給路、508はヒータ、509は真空ポンプである。薄膜としては例えばSiO2膜を挙げることができ、この場合酸素ラジカルはSiO2膜中のN、OH基、Hなどの不純物を除去する役割を果たす。しかしながらウエハWに供給されるプラズマはいわゆるリモートプラズマであるため、ラジカルが搬送途中で失活し、ウエハWに供給されるラジカルの濃度が低いために十分な改質を行うことができない。従ってSiO2膜からの前記不純物の排出が進まないので、SiとOとの比率が1:2に近づかないし、またSi−O−Siの3次元構造が形成されにくく、この結果、良質なSiO2膜が得られない。なおプラズマ中のオゾンの分解を促進するためにウエハの温度を高温にすることも考えられるが、その場合には低温プロセスに対応できなくなる。
米国特許公報7,153,542号:図6(a)、図6(b) 特開2001−254181号公報:図1、図2 特許3144664号公報:図1、図2、請求項1 特開平4−287912号公報: 米国特許公報6,634,314号 特開2007−247066号公報:段落0023〜0025、0058、図12及び図20 米国特許公開公報2007−218701号 米国特許公開公報2007−218702号 特開2004−343017号公報:第0021段落〜第0025段落、図1〜図4
本発明はこのような事情に鑑みてなされたものであり、その目的は均一に活性化された処理ガスを供給することの可能な活性化ガスインジェクター、このインジェクターを備えた成膜装置及び成膜方法を提供することにある。
本発明に係る活性化ガスインジェクターは、隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備えたことを特徴とする。
ここで前記一対の電極の各々はセラミックスにより覆われていることが好ましく、更に前記ガス導入用流路内にて前記隔壁に沿って設けられ、長さ方向にガス孔が穿設されると共に前記ガス導入ポートが基端側に形成されたガス導入ノズルを備えていてもよい。
次いで他の発明に係る活性化ガスインジェクターは、隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備えたことを特徴とする。
また他の発明にかかる成膜装置は、真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
この基板載置領域に載置された基板に活性化されたガスを供給して成膜を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた、既述のいずれかの活性化ガスインジェクターと、を備えたことを特徴とする。更に、他の本発明の成膜装置は、真空容器内に設けられた回転テーブルと、この回転テーブルに基板を載置するために設けられた基板載置領域と、この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた既述のいずれかの活性化ガスインジェクターと、を備えたことを特徴とする。
更に他の発明にかかる成膜装置は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
前記真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
前記回転テーブルの回転方向に互いに離れて設けられ、前記回転テーブルにおける基板の載置領域側の面に夫々第1の反応ガスを供給するための第1のガス供給手段及び、請求項1ないし4のいずれか一つに記載の活性化ガスインジェクターからなり、第2の反応ガスを供給するための第2の反応ガス供給手段と、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備えたことを特徴とする。
更にまた他の発明は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する請求項1ないし4のいずれか一つに記載の活性化ガスインジェクターと、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備えたことを特徴とする。
他の発明は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成する成膜装置において、
前記真空容器内に設けられ、基板を載置するためのテーブルと、
このテーブル上の基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記テーブル上の基板に第2の反応ガスを供給するための第2の反応ガス供給手段と、
前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化手段と、
前記第1の反応ガス供給手段、第2の反応ガス供給手段及び活性化手段と前記テーブルとを相対的に回転させるための回転機構と、を備え、
前記第1の反応ガス供給手段、第2の反応ガス供給手段及び活性化手段は、前記相対的な回転により基板が第1の反応ガス供給領域、第2の反応ガス供給領域及び活性化された処理ガスの供給領域の順番で位置するようにテーブルの周方向に沿って配置されていることを特徴とする。
上述の各成膜装置は、前記活性化ガスインジェクターのガス吐出口は、前記基板載置領域に載置された基板の表面から1mm以上、10mm以下の高さ位置に設けられる場合が好適である。また、前記活性化ガスインジェクターの外面部は、ガス活性化用流路とガス導入用流路とを覆うカバー体として構成され、このカバー体の下端部は、当該カバー体内への外部からのガスの侵入を抑えるために、回転テーブルと平行にかつ隙間を介して外方に伸び出した気流規制部材として構成されていることが好ましい。前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面との間の距離が調整できるように前記真空容器の側壁に上下自在に気密に取り付けられていても良いし、更に前記回転テーブル上の基板の表面に対して前記回転テーブルの移動路と交差する方向に傾斜できるように前記真空容器の側壁に傾斜自在に気密に取り付けられていても良い。
また、本発明の成膜方法は、
真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜方法において、
真空容器内に設けられた回転テーブルの基板載置領域に基板を載置して、この回転テーブルを鉛直軸回りに回転させる工程と、
前記真空容器内を真空排気する工程と、
次いで、第1の反応ガス供給手段から、前記基板の表面に第1の反応ガスを供給して、この第1の反応ガスを基板の表面に吸着させる工程と、
続いて、前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられた第2の反応ガス供給手段から、前記基板の表面に第2の反応ガスを供給して、この第2の反応ガスと前記基板の表面に吸着した第1の反応ガスとを反応させて反応生成物を生成させる工程と、
しかる後、前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられた既述のいずれか一つの活性化ガスインジェクターから、前記基板の表面に活性化された処理ガスを供給して、前記基板の表面の反応生成物の改質を行う工程と、
前記回転方向において前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との間に、処理領域の雰囲気同士を分離するために各々設けられた分離領域に分離ガスを各々供給する工程と、を含むことを特徴とする。
また、本発明の成膜方法は、
真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜方法において、
真空容器内に設けられた回転テーブルの基板載置領域に基板を載置して、この回転テーブルを鉛直軸回りに回転させる工程と、
前記真空容器内を真空排気する工程と、
次いで、第1の反応ガス供給手段から、前記基板の表面に第1の反応ガスを供給して、この第1の反応ガスを基板の表面に吸着させる工程と、
続いて、前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられた第2の反応ガス供給手段から、前記基板の表面に第2の反応ガスを供給して、この第2の反応ガスと前記基板の表面に吸着した第1の反応ガスとを反応させて反応生成物を生成させる工程と、
しかる後、前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられた上記に記載の活性化ガスインジェクターから、前記基板の表面にプラズマ化された処理ガスを供給して、前記基板の表面の反応生成物の改質を行う工程と、
前記回転方向において前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との間に、処理領域の雰囲気同士を分離するために各々設けられた分離領域に分離ガスを各々供給する工程と、を含むことを特徴とする。
前記改質を行う工程は、前記回転テーブルの毎回の回転の中で行う工程であることが好ましい。
更にまた本発明は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成する成膜方法において、
真空容器内のテーブルに基板をほぼ水平に載置する工程と、
前記テーブル上の基板に第1の反応ガス供給手段から第1の反応ガスを供給する工程と、
次いで前記テーブルと、第1の反応ガス供給手段と、を相対的に回転させて基板を第2の反応ガス供給領域に位置させ、第2の反応ガス供給手段から第2の反応ガスを基板に供給することにより、基板上に反応生成物を生成する工程と、
その後、前記テーブルと、第1の反応ガス供給手段及び第2の反応ガス供給手段と、を相対的に回転させて基板を活性化領域に位置させ、活性化手段から前記基板に活性化された処理ガスを供給することにより、前記基板の表面の反応生成物の改質を行う工程と、
前記相対的回転方向において前記第1の反応ガスが供給される領域と第2の反応ガスが供給される領域との間に、これら領域の雰囲気同士を分離するために各々設けられた分離領域に分離ガスを各々供給する工程と、を含むことを特徴とする。
また本発明は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置に用いられるコンピュータプログラムを格納した記憶媒体においても成立する。この場合、前記コンピュータプログラムは、本発明の成膜方法を実施するようにステップが組まれている。
本発明によれば、処理ガスを供給する活性化ガスインジェクター内に、処理ガスを活性化するための一対の電極を備えており、これらの電極は当該インジェクターの長さ方向に沿って、詳細にはインジェクターを構成する流路形成部材内を区画する隔壁の長さ方向に沿って互いに並行に伸びるように設けられている。このため、例えば活性化した処理ガスを供給する装置の側壁部に電極を設け、活性化された処理ガスを細長いノズルを介して装置内へと供給する場合と比較して、均一に活性化された処理ガスを供給することが可能となり、例えば基板表面に成膜を行う成膜装置に当該活性化ガスインジェクターを適用する場合には、基板面内にて膜質が均一な膜を成膜することができる。
本発明の実施の形態に係る成膜装置の縦断面を示す図3のI−I’線縦断面図である。 上記の成膜装置の内部の概略構成を示す斜視図である。 上記の成膜装置の横断平面図である。 上記の成膜装置における処理領域及び分離領域を示す縦断面図である。 上記の成膜装置における分離領域の縦断面図である。 分離ガスあるいはパージガスの流れる様子を示す説明図である。 反応ガスをプラズマ化する機能を備えた活性化ガスインジェクターを示す斜視図である。 前記活性化ガスインジェクターの内部構造を示す斜視図である。 前記活性化ガスインジェクターの縦断面図である。 前記活性化ガスインジェクターの基端部の内部構造を示す横断面図である。 前記活性化ガスインジェクターの作用を示す説明図である。 第1の反応ガス及び第2の反応ガスが分離ガスにより分離されて排気される様子を示す説明図である。 第2の実施の形態に係わる活性化ガスインジェクターの内部構造を示す斜視図である。 前記第2の実施の形態に係わる活性化ガスインジェクターの縦断面図である。 前記第2の実施の形態に係わる活性化ガスインジェクターの作用を示す説明図である。 前記活性化ガスインジェクターの変形例を示す斜視図である。 前記変形例に係る活性化ガスインジェクターの縦断側面図である。 第3の実施の形態に係る活性化ガスインジェクターの内部構造を示す斜視図である。 前記第3の実施の形態に係わる活性化ガスインジェクターの縦断面図である。 前記第3の実施の形態に係わる活性化ガスインジェクター内に設けられている加熱ヒータの構成を示す一部破断側面図である。 第4の実施の形態に係る活性化ガスインジェクターの内部構造を示す斜視図である。 前記第4の実施の形態に係わる活性化ガスインジェクターの縦断面図である。 分離領域に用いられる凸状部の寸法例を説明するための説明図である。 本発明の他の実施の形態に係る成膜装置を示す横断平面図である。 本発明の上記以外の実施の形態に係る成膜装置を示す横断平面図である。 本発明の上記以外の実施の形態に係る成膜装置を示す縦断面図である。 本発明の成膜装置を用いた基板処理システムの一例を示す概略平面図である。 本発明の他の実施の形態における成膜装置を示す斜視図である。 上記の他の例の成膜装置を示す平面図である。 上記の他の例の成膜装置を示す縦断面図である。 上記の他の例の成膜装置における活性化ガスインジェクターを示す斜視図である。 上記の活性化ガスインジェクターを示す縦断面図である。 上記の活性化ガスインジェクターの取り付け方法を示す概略図である。 上記の活性化ガスインジェクターを示す縦断面図である。 上記の他の例の成膜装置において薄膜が改質される様子を示す模式図である。 ALD法において薄膜が成膜される様子を示す模式図である。 BTBASガス及びジイソプロピルアミノシランガスの構造を示す模式図である。 実施例に係わる説明図である。 上記の他の例における活性化ガスインジェクターについて行ったシミュレーション結果を示す特性図である。 上記の他の例における活性化ガスインジェクターについて行ったシミュレーション結果を示す特性図である。 上記の他の例における実験条件を説明する説明図である。 上記の他の例における実験で得られた結果を示す特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 本発明の実施例で得られる特性図である。 従来の成膜装置を示す概略図である
本発明の実施の形態である成膜装置は、図1(図3のI−I’線に沿った断面図)に示すように平面形状が概ね円形である扁平な真空容器1と、この真空容器1内に設けられ、当該真空容器1の中心に回転中心を有する回転テーブル2と、を備えている。真空容器1は天板11が容器本体12から分離できるように構成されている。天板11は、内部の減圧状態により封止部材例えばOリング13を介して容器本体12側に押し付けられていて気密状態を維持しているが、天板11を容器本体12から分離するときには図示しない駆動機構により上方に持ち上げられる。
回転テーブル2は、中心部にて円筒形状のコア部21に固定され、このコア部21は、鉛直方向に伸びる回転軸22の上端に固定されている。回転軸22は真空容器1の底面部14を貫通し、その下端が当該回転軸22を鉛直軸回りにこの例では時計方向に回転させる駆動部23に取り付けられている。回転軸22及び駆動部23は、上面が開口した筒状のケース体20内に収納されている。このケース体20はその上面に設けられたフランジ部分が真空容器1の底面部14の下面に気密に取り付けられており、ケース体20の内部雰囲気と外部雰囲気との気密状態が維持されている。
回転テーブル2の表面部には、図2及び図3に示すように回転方向(周方向)に沿って複数枚例えば5枚の基板であるウエハを載置するための円形状の凹部24が設けられている。なお図3には便宜上1個の凹部24だけにウエハWを描いてある。ここで図4は、回転テーブル2を同心円に沿って切断しかつ横に展開して示す展開図であり、凹部24は、図4(a)に示すようにその直径がウエハWの直径よりも僅かに例えば4mm大きく、またその深さはウエハWの厚みと同等の大きさに設定されている。従ってウエハWを凹部24に落とし込むと、ウエハWの表面と回転テーブル2の表面(ウエハWが載置されない領域)とが揃うことになる。ウエハWの表面と回転テーブル2の表面との間の高さの差が大きいとその段差部分で圧力変動が生じることから、ウエハWの表面と回転テーブル2の表面との高さを揃えることが、膜厚の面内均一性を揃える観点から好ましい。ウエハWの表面と回転テーブル2の表面との高さを揃えるとは、同じ高さであるかあるいは両面の差が5mm以内であることをいうが、加工精度などに応じてできるだけ両面の高さの差をゼロに近づけることが好ましい。凹部24の底面には、ウエハWの裏面を支えて当該ウエハWを昇降させるための例えば後述する3本の昇降ピンが貫通する貫通孔(図示せず)が形成されている。
凹部24はウエハWを位置決めして回転テーブル2の回転に伴う遠心力により飛び出さないようにするためのものであり、本発明の基板載置領域に相当する部位であるが、基板載置領域(ウエハ載置領域)は、凹部に限らず例えば回転テーブル2の表面にウエハWの周縁をガイドするガイド部材をウエハWの周方向に沿って複数並べた構成であってもよく、あるいは回転テーブル2側に静電チャックなどのチャック機構を持たせてウエハWを吸着する場合には、その吸着によりウエハWが載置される領域が基板載置領域となる。
図2及び図3に示すように真空容器1には、回転テーブル2における凹部24の通過領域と各々対向する位置に第1の反応ガスノズル31及び活性化ガスインジェクター32と2本の分離ガスノズル41、42とが真空容器1の周方向(回転テーブル2の回転方向)に互いに間隔をおいて中心部から放射状に伸びている。この結果、活性化ガスインジェクター32は、回転テーブル2の回転方向、即ち移動路と交差する方向に伸びた状態で配置されていることになる。これら第1の反応ガスノズル31、活性化ガスインジェクター32及び分離ガスノズル41、42は、例えば真空容器1の側周壁に取り付けられており、その基端部であるガス供給ポート31a、32a、41a、42aは当該側壁を貫通している。
反応ガスノズル31、活性化インジェクター32、分離ガスノズル41、42は図示の例では、真空容器1の周壁部から真空容器1内に導入されているが、後述する環状の突出部5から導入してもよい。この場合、突出部5の外周面と天板11の外表面とに開口するL字型の導管を設け、真空容器1内でL字型の導管の一方の開口に反応ガスノズル31、(活性化インジェクター32、分離ガスノズル41、42)を接続し、真空容器1の外部でL字型の導管の他方の開口にガス導入ポート31a(32a、41a、42a)を接続する構成を採用することができる。
第1の反応ガスノズル31及び活性化ガスインジェクター32は、夫々第1の反応ガスであるDCS(ジクロロシラン)ガスのガス供給源及び第2の反応ガスであるNH(アンモニア)ガスのガス供給源(いずれも図示せず)に接続されており、分離ガスノズル41、42はいずれも分離ガスであるNガス(窒素ガス)のガス供給源(図示せず)に接続されている。この例では、活性化ガスインジェクター32、分離ガスノズル41、第1の反応ガスノズル31及び分離ガスノズル42がこの順に時計方向に配列されている。
第1の反応ガスノズル31には、下方側に反応ガスを吐出するためのガス吐出孔33がノズルの長さ方向に間隔をおいて配列されている。一方、活性化ガスインジェクター32は、当該インジェクター32内に導入されたNHガスを活性化して真空容器1内に供給する活性化ガスインジェクターとしての機能を備えているが、その詳細な構成については後述する。また分離ガスノズル41、42には、下方側に分離ガスを吐出するための吐出孔40が長さ方向に間隔をおいて穿設されている。第1の反応ガスノズル31、活性化ガスインジェクター32は夫々第1の反応ガス供給手段及び第2の反応ガス供給手段に相当し、その下方領域は夫々DCSガスをウエハWに吸着させるための第1の処理領域P1及び活性化されたNHガスをウエハWに吸着させるための第2の処理領域P2となる。
分離ガスノズル41、42は、前記第1の処理領域P1と第2の処理領域P2とを分離するための分離領域Dを形成するためのものであり、この分離領域Dにおける真空容器1の天板11には図2〜図4に示すように、回転テーブル2の回転中心を中心としかつ真空容器1の内周壁の近傍に沿って描かれる円を周方向に分割してなる、平面形状が扇型で下方に突出した凸状部4が設けられている。分離ガスノズル41、42は、この凸状部4における前記円の周方向中央にて当該円の半径方向に伸びるように形成された溝部43内に収められている。即ち分離ガスノズル41、42の中心軸から凸状部4である扇型の両縁(回転方向上流側の縁及び下流側の縁)までの距離は同じ長さに設定されている。
なお、溝部43は、本実施形態では凸状部4を二等分するように形成されているが、他の実施形態においては、例えば溝部43から見て凸状部4における回転テーブル2の回転方向上流側が前記回転方向下流側よりも広くなるように溝部43を形成してもよい。
従って分離ガスノズル41、42における前記周方向両側には、前記凸状部4の下面である例えば平坦な低い天井面44(第1の天井面)が存在し、この天井面44の前記周方向両側には、当該天井面44よりも高い天井面45(第2の天井面)が存在することになる。この凸状部4の役割は、回転テーブル2との間への第1の反応ガス及び第2の反応ガスの侵入を阻止してこれら反応ガスの混合を阻止するための狭隘な空間である分離空間を形成することにある。
即ち、分離ガスノズル41を例にとると、回転テーブル2の回転方向上流側からNHガスが侵入することを阻止し、また回転方向下流側からDCSガスが侵入することを阻止する。「ガスの侵入を阻止する」とは、分離ガスノズル41から吐出した分離ガスであるNガスが第1の天井面44と回転テーブル2の表面との間に拡散して、この例では当該第1の天井面44に隣接する第2の天井面45の下方側空間に吹き出し、これにより当該隣接空間からのガスが侵入できなくなることを意味する。そして「ガスが侵入できなくなる」とは、隣接空間から凸状部4の下方側空間に全く入り込むことができない場合のみを意味するのではなく、多少侵入はするが、両側から夫々侵入したNHガス及びDCSガスが凸状部4内で交じり合わない状態が確保される場合も意味し、このような作用が得られる限り、分離領域Dの役割である第1の処理領域P1の雰囲気と第2の処理領域P2の雰囲気との分離作用が発揮できる。従って狭隘な空間における狭隘の程度は、狭隘な空間(凸状部4の下方空間)と当該空間に隣接した領域(この例では第2の天井面45の下方空間)との圧力差が「ガスが侵入できなくなる」作用を確保できる程度の大きさになるように設定され、その具体的な寸法は凸状部4の面積などにより異なるといえる。またウエハWに吸着したガスについては当然に分離領域D内を通過することができ、ガスの侵入阻止は、気相中のガスを意味している。
一方天板11の下面には、図5、図6に示すように回転テーブル2におけるコア部21よりも外周側の部位と対向するようにかつ当該コア部21の外周に沿って突出部5が設けられている。この突出部5は図5に示すように凸状部4における前記回転中心側の部位と連続して形成されており、その下面が凸状部4の下面(天井面44)と同じ高さに形成されている。図2及び図3は、前記天井面45よりも低くかつ分離ガスノズル41、42よりも高い位置にて天板11を水平に切断して示している。なお突出部5と凸状部4とは、必ずしも一体であることに限られるものではなく、別体であってもよい。
凸状部4及び分離ガスノズル41(42)の組み合わせ構造の作り方については、凸状部4をなす1枚の扇型プレートの中央に溝部43を形成してこの溝部43内に分離ガスノズル41(42)を配置する構造に限らず、2枚の扇型プレートを用い、分離ガスノズル41(42)の両側位置にて天板本体の下面にボルト締めなどにより固定する構成などであってもよい。
この例では分離ガスノズル41(42)は、真下に向いた例えば口径が0.5mmの吐出孔がノズルの長さ方向に沿って例えば10mmの間隔をおいて配列されている。また第1の反応ガスノズル31についても、真下に向いた例えば口径が0.5mmの吐出孔がノズルの長さ方向に沿って例えば10mmの間隔をおいて配列されている。
この例では直径300mmのウエハWを被処理基板としており、この場合凸状部4は、回転中心から例えば140mm離れた後述の突出部5との境界部位においては、周方向の長さ(回転テーブル2と同心円の円弧の長さ)が例えば146mmであり、ウエハWの載置領域(凹部24)の最も外側部位においては、周方向の長さが例えば502mmである。なお図4(a)に示すように、当該外側部位において分離ガスノズル41(42)の両脇から夫々左右に位置する凸状部4の周方向の長さLでみれば、長さLは246mmである。
また図4(b)に示すように凸状部4の下面即ち天井面44における回転テーブル2の表面からの高さhは、例えば0.5mmから10mmであってもよく、約4mmであると好適である。この場合、回転テーブル2の回転数は例えば1rpm〜500rpmに設定されている。分離領域Dの分離機能を確保するためには、回転テーブル2の回転数の使用範囲などに応じて、凸状部4の大きさや凸状部4の下面(第1の天井面44)と回転テーブル2の表面との間の高さhを例えば実験などに基づいて設定することになる。なお分離ガスとしては、Nガスに限られずArガスなどの不活性ガスを用いることができるが、不活性ガスに限らず水素ガスなどであってもよく、成膜処理に影響を与えないガスであれば、ガスの種類に関しては特に限定されるものではない。
真空容器1の天板11の下面、つまり回転テーブル2のウエハ載置領域(凹部24)から見た天井面は既述のように第1の天井面44とこの天井面44よりも高い第2の天井面45とが周方向に存在するが、図1では、高い天井面45が設けられている領域についての縦断面を示しており、図5では、低い天井面44が設けられている領域についての縦断面を示している。扇型の凸状部4の周縁部(真空容器1の外縁側の部位)は図2及び図5に示されているように回転テーブル2の外端面に対向するようにL字型に屈曲して屈曲部46を形成している。扇型の凸状部4は天板11側に設けられていて、容器本体12から取り外せるようになっていることから、前記屈曲部46の外周面と容器本体12との間には僅かに隙間がある。この屈曲部46も凸状部4と同様に両側から反応ガスが侵入することを防止して、両反応ガスの混合を防止する目的で設けられており、屈曲部46の内周面と回転テーブル2の外端面との隙間、及び屈曲部46の外周面と容器本体12との隙間は、例えば回転テーブル2の表面に対する天井面44の高さhと同様の寸法に設定されている。この例においては、回転テーブル2の表面側領域からは、屈曲部46の内周面が真空容器1の内周壁を構成していると見ることができる。
容器本体12の内周壁は、分離領域Dにおいては図5に示すように前記屈曲部46の外周面と接近して垂直面に形成されているが、分離領域D以外の部位においては、図1に示すように例えば回転テーブル2の外端面と対向する部位から底面部14に亘って縦断面形状が矩形に切り欠かれて外方側に窪んだ構造になっている。この窪んだ部分を排気領域6と呼ぶことにすると、この排気領域6の底部には図1及び図3に示すように例えば2つの排気口61、62が設けられている。図1に示すようにこれら排気口61、62は各々排気管63を介して真空排気手段である例えば共通の真空ポンプ64に接続されている。なお図1中、65は圧力調整手段であり、排気口61、62ごとに設けてもよいし、共通化されていてもよい。
排気口61、62は、分離領域Dの分離作用が確実に働くように、図3に示すように平面で見たときに前記分離領域Dの前記回転方向両側に設けられ、各反応ガス(DCSガス及びNHガス)の排気を専用に行うようにしている。この例では一方の排気口61は第1の反応ガスノズル31とこの反応ガスノズル31に対して前記回転方向下流側に隣接する分離領域Dとの間に設けられ、また他方の排気口61は、活性化ガスインジェクター32とこのインジェクター32に対して前記回転方向下流側に隣接する分離領域Dとの間に設けられている。
排気口の設置数は2個に限られるものではなく、例えば分離ガスノズル42を含む分離領域Dと当該分離領域Dに対して前記回転方向下流側に隣接する活性化ガスインジェクター32との間に更に排気口を設置して3個としてもよいし、4個以上であってもよい。この例では排気口61、62は回転テーブル2よりも低い位置に設けることで真空容器1の内周壁と回転テーブル2の周縁との間の隙間から排気するようにしているが、真空容器1の底面部に設けることに限られず、真空容器1の側壁に設けてもよい。また排気口61、62は、真空容器1の側壁に設ける場合には、回転テーブル2よりも高い位置に設けるようにしてもよい。このように排気口61、62を設けることにより回転テーブル2上のガスは、回転テーブル2の外側に向けて流れるため、回転テーブル2に対向する天井面から排気する場合に比べてパーティクルの巻上げが抑えられるという観点において有利である。
前記回転テーブル2と真空容器1の底面部14との間の空間には、図1、図5及び図6に示すように加熱手段であるヒータユニット7が設けられ、回転テーブル2を介して回転テーブル2上のウエハWをプロセスレシピで決められた温度、例えば300℃に加熱するようになっている。前記回転テーブル2の周縁付近の下方側には、回転テーブル2の上方空間から排気領域6に至るまでの雰囲気とヒータユニット7が置かれている雰囲気とを区画するためにヒータユニット7を全周に亘って囲むようにカバー部材71が設けられている。このカバー部材71は上縁が外側に屈曲されてフランジ形状に形成され、その屈曲面と回転テーブル2の下面との間の隙間を小さくして、カバー部材71内に外方からガスが侵入することを抑えている。
ヒータユニット7が配置されている空間よりも回転中心寄りの部位における底面部14は、回転テーブル2の下面の中心部付近、コア部21に接近してその間は狭い空間になっており、また当該底面部14を貫通する回転軸22の貫通穴についてもその内周面と回転軸22との隙間が狭くなっていて、これら狭い空間は前記ケース体20内に連通している。そして前記ケース体20にはパージガスであるNガスを前記狭い空間内に供給してパージするためのパージガス供給管72が設けられている。また真空容器1の底面部14には、ヒータユニット7の下方側位置にて周方向の複数部位に、ヒータユニット7の配置空間をパージするためのパージガス供給管73が設けられている。
このようにパージガス供給管72、73を設けることにより図6にパージガスの流れを矢印で示すように、ケース体20内からヒータユニット7の配置空間に至るまでの空間がNガスでパージされ、このパージガスが回転テーブル2とカバー部材71との間の隙間から排気領域6を介して排気口61、62に排気される。これによって既述の第1の処理領域P1と第2の処理領域P2との一方から回転テーブル2の下方を介して他方側にDCSガスあるいはNHガスが回り込むことが防止されるため、このパージガスは分離ガスの役割も果たしている。
また真空容器1の天板11の中心部には分離ガス供給管51が接続されていて、天板11とコア部21との間の空間52に分離ガスであるNガスを供給するように構成されている。この空間52に供給された分離ガスは、図6に示すように前記突出部5と回転テーブル2との狭い隙間50を介して回転テーブル2のウエハ載置領域側の表面に沿って周縁に向けて吐出されることになる。この突出部5で囲まれる空間には分離ガスが満たされているので、第1の処理領域P1と第2の処理領域P2との間で回転テーブル2の中心部を介して反応ガス(DCSガスあるいはNHガス)が混合することを防止している。即ち、この成膜装置は、第1の処理領域P1と第2の処理領域P2との雰囲気を分離するために回転テーブル2の回転中心部と天板11とにより区画され、分離ガスがパージされると共に当該回転テーブル2の表面に分離ガスを吐出する吐出口が前記回転方向に沿って形成された中心部領域Cを備えているということができる。なおここでいう吐出口は前記突出部5と回転テーブル2との狭い隙間50に相当する。
更に真空容器1の側壁には図2、図3に示すように外部の搬送アーム10と回転テーブル2との間で基板であるウエハWの受け渡しを行うための搬送口15が形成されており、この搬送口15は図示しないゲートバルブにより開閉されるようになっている。また回転テーブル2におけるウエハ載置領域である凹部24はこの搬送口15に臨む位置にて搬送アーム10との間でウエハWの受け渡しが行われることから、回転テーブル2の下方側において当該受け渡し位置に対応する部位に、凹部24を貫通してウエハWを裏面から持ち上げるための受け渡し用の昇降ピン及びその昇降機構(いずれも図示せず)が設けられている。
以上に説明した成膜装置は、既述のように第2の反応ガスであるNHガスをプラズマ化して活性化し、このガスが活性を失わないうちに回転テーブル2のウエハ載置領域(凹部24)に載置されたウエハW表面に供給するための活性化ガスインジェクター32を備えている。以下、活性化ガスインジェクター32の詳細な構成について説明する。
活性化ガスインジェクター32は、図7に示すように例えばプラズマエッチング耐性に優れた石英製の扁平で細長い直方体形状の流路形成部材である筐体状のインジェクター本体321を備えており、図8、図9に示すように当該インジェクター本体321の内部は空洞となっている。この空洞には、隔壁324によって長さ方向に区画された幅の異なる2つの空間が形成されていて、一方側はNHガスをプラズマ化するためのガス活性化用流路であるガス活性化室323、他方側はこのガス活性化室へ均一にNHガスを供給するためのガス導入用流路であるガス導入室322となっている。図9に示すように、ガス活性化室323の幅に対するガス導入室322の幅の比は例えばおよそ2:3となっていて、ガス導入室322の容積の方が大きくなっている。
図8、図9に示すように、ガス導入室322内には、インジェクター本体321の側壁に沿って、即ち後述の隔壁324に沿って基端側から先端側へ向けて伸びだすように管状のガス導入ノズル34が配設されている。このガス導入ノズル34の隔壁324に対向する側周壁には、ガス孔341がノズル34の長さ方向に間隔をおいて穿設されており、ガス導入室322内へ向けてNHガスを吐出することができる。一方、ガス導入ノズル34の基端側は、インジェクター本体321の側壁部にてガス導入ポート39と接続され、このガス導入ポート39は更に図7に示すように例えば継手部38を介して既述のガス供給ポート31aに繋がっており、不図示のガス供給源からNHガスを受け入れるようになっている。
ガス導入ノズル34のガス孔341に対向する隔壁324の上部には、インジェクター本体321の天井面との接続部に相当する高さ位置に、長さ方向に細長い矩形状の連通孔である切欠部325が、ガス導入室322の長さ方向に沿って(後述する電極36a36bの長さ方向に沿って)間隔をおいて設けられており、ガス導入室322内に供給されたHNガスをガス活性化室323の上方部へと供給することができる。ここで例えばガス導入ノズル34のガス孔341から隔壁324までの距離「L」は、例えば隣り合うガス孔341から吐出されたガスが、ガス導入室322内を長さ方向に拡散・混合して、当該長さ方向に均一な濃度となって各切欠部325へと流れ込むことができる距離に設定されている。
ガス活性化室323内には、2本の誘電体からなる例えばセラミックス製のシース管35a、35bが当該空間323の基端側から先端側へ向けて隔壁324に沿って伸びだしており、これらのシース管35a、35bは間隔をおいて水平方向に互いに並行に配置されている。各シース管35a、35bの管内には、基端部から先端部へかけて例えば耐熱性に優れたニッケル合金製の例えば直径5mm程度の電極36a、36bが貫挿されている。これにより一対の電極36a、36bはシース管35a、35bの材料であるセラミックスにより覆われた状態にて、例えば2mm〜10mmの間の例えば4mmの間隔をおいて互いに並行に伸びるように配置された状態となっている。各電極36a、36bの基端側はインジェクター本体321の外部に引き出され、真空容器1の外部にて整合器を介して高周波電源(いずれも不図示)と接続されている。そしてこれらの電極36a、36bは、例えば13.56MHz、例えば10W〜200Wの範囲の例えば100Wの高周波電力を供給することにより、2本のシース管35a、35bの間のプラズマ発生部351を通流するNHガスを容量結合型プラズマ方式によりプラズマ化して活性化する役割を果たす。なお、図10の拡大平面図に示すように2本のシース管35a、35bはインジェクター本体321の基端部側の側壁を貫通して外部に伸びだしており、これらのシース管35a、35bはインジェクター本体321の側壁部に固定された、例えばセラミックス製の保護管37によって覆われている。
そしてこのプラズマ発生部351の下方側のインジェクター本体321底面には、当該プラズマ発生部351にてプラズマ化して後の活性化されたNHガスを下方側に吐出するためのガス吐出孔33がインジェクター本体321の長さ方向に、即ち電極36a、36bの長さ方向に間隔をおいて配列されている。また図9に示すようにシース管35bの頂部からガス活性化室351の天井面までの距離「h」と、シース管35bの側壁面から対向する隔壁324までの距離「w」との関係は、例えば「h≧w」となっていることにより、ガス導入室322より流入してきたNHガスがプラズマ発生部をバイパスして、シース管35bと隔壁324との間からガス吐出孔33へと流れる流れが形成されにくくなるようにしている。
以上に説明した構成を備えたインジェクター本体321は、図7に示すように例えば既述の継手部38や保護管37を容器本体12の側周壁に固定することにより基端側を片持ち支持され、その先端側を回転テーブル2の中心部へ向けて伸びだした状態となるように配設されている。またインジェクター本体321の底面は、ガス活性化室323のガス吐出孔33から回転テーブル2の凹部24に載置されるウエハW表面までの距離が例えば1mm〜10mmの範囲の例えば10mmとなる高さ位置に調節されている。ここでインジェクター本体321は容器本体12から着脱自在に構成されており、保護管37と容器本体12との接続部には例えば不図示のOリングを用いて真空容器1内の気密状態を維持している。
またこの実施の形態の成膜装置は、装置全体の動作のコントロールを行うためのコンピュータからなる制御部100が設けられ、この制御部100のメモリ内には装置を運転するためのプログラムが格納されている。このプログラムは後述の装置の動作を実行するようにステップ群が組まれており、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体から制御部100内にインストールされる。
次に上述実施の形態の作用について説明する。先ず図示しないゲートバルブを開き、外部から搬送アーム10により搬送口15を介してウエハWを回転テーブル2の凹部24内に受け渡す。この受け渡しは、凹部24が搬送口15に臨む位置に停止したときに凹部24の底面の貫通孔を介して真空容器の底部側から不図示の昇降ピンが昇降することにより行われる。このようなウエハWの受け渡しを回転テーブル2を間欠的に回転させて行い、回転テーブル2の5つの凹部24内に夫々ウエハWを載置する。続いてゲートバルブを閉じ、真空ポンプ64により真空容器1内を予め設定した圧力に真空引きすると共に、回転テーブル2を時計回りに回転させながらヒータユニット7によりウエハWを加熱する。詳しくは、回転テーブル2はヒータユニット7により予め例えば300℃に加熱されており、ウエハWがこの回転テーブル2に載置されることで加熱される。ウエハWの温度が図示しない温度センサにより設定温度になったことを確認した後、第1の反応ガスノズル31及び活性化ガスインジェクター32から夫々DCSガス及びNHガスを吐出させると共に、分離ガスノズル41、42から分離ガスであるNガスを吐出する。
このとき、活性化ガスインジェクター32においては、ガス供給ポート32aから導入されたNHガスがガス導入ノズル34に供給され、その側周壁に設けられた各ガス孔341からガス導入室内322に吐出される。NHガスはガス導入室322内で拡散してインジェクター本体321の長さ方向に均一な濃度となりながら、図11に示すように前記ガス孔341に対向する隔壁324へ向かって流れていく。隔壁324に到達し、流れを遮られたNHガスは、隔壁324に沿って上昇し、切欠部325を介してガス活性化室323の上方部へと流入する。
一方、真空容器1内は真空雰囲気となっているので、ガス活性化室323の上方部へ流入したNHガスは2本のシース管35a、35bの間に形成されたプラズマ発生部351を通ってガス吐出孔33へ向かって下方へと流れる。このとき各シース管35a、35b内の電極36a、36bには高周波電力が供給されており、プラズマ発生部351を通過するNHガスはプラズマ化して活性化された状態となってガス吐出孔33へ向けて流れていく。
活性化したNHガスは、プラズマ発生部351を通過した後、直ちに真空容器1内に供給されるので、活性をほとんど失うことなく、あるいは失ったとしても長いノズル内を通流してから供給される場合と比較して活性低下の少ない状態でウエハW表面へと到達する。また、プラズマ発生部351はインジェクター本体321の長さ方向に伸びる2本のシース管35a、35bの間に形成されており、当該プラズマ発生部351を下面側から見た領域の形状はガス吐出孔33が配列された領域と対応した形状となっていることから、その直下にある各ガス吐出孔33には活性の程度がほぼ均一なNHガスが供給される。かかる作用により、第2の反応ガスノズルからは、長さ方向に活性の程度がほぼ等しい状態のNHガスが供給される。
成膜装置全体の作用の説明に戻ると、ウエハWは回転テーブル2の回転により、第1の反応ガスノズル31が設けられる第1の処理領域P1と活性化ガスインジェクター32が設けられる第2の処理領域P2とを交互に通過するため、DCSガスが吸着し、次いで活性化したNHガスが吸着してこれらのガス分子が反応して窒化シリコンの分子層が1層あるいは複数層形成され、こうして窒化シリコンの分子層が順次積層されて所定の膜厚のシリコン酸化膜が成膜される。
このとき分離ガス供給管51からも分離ガスであるNガスを供給し、これにより中心部領域Cから即ち突出部5と回転テーブル2の中心部との間から回転テーブル2の表面に沿ってNガスが吐出する。この例では反応ガスノズル31及び活性化ガスインジェクター32が配置されている第2の天井面45の下方側の空間に沿った容器本体12の内周壁においては、既述のように内周壁が切り欠かれて広くなっており、この広い空間の下方に排気口61、62が位置しているので、第1の天井面44の下方側の狭隘な空間及び前記中心部領域Cの各圧力よりも第2の天井面45の下方側の空間の圧力の方が低くなる。ガスを各部位から吐出したときのガスの流れの状態を模式的に図12に示す。活性化ガスインジェクター32から下方側に吐出され、回転テーブル2の表面(ウエハWの表面及びウエハWの非載置領域の表面の両方)に当たってその表面に沿って回転方向上流側に向かうNHガスは、その上流側から流れてきたNガスに押し戻されながら回転テーブル2の周縁と真空容器1の内周壁との間の排気領域6に流れ込み、排気口62により排気される。
また活性化ガスインジェクター32から下方側に吐出され、回転テーブル2の表面に当たってその表面に沿って回転方向下流側に向かうNHガスは、中心部領域Cから吐出されるNガスの流れと排気口62の吸引作用により当該排気口62に向かおうとするが、一部は下流側に隣接する分離領域Dに向かい、扇型の凸状部4の下方側に流入しようとする。ところがこの凸状部4の天井面44の高さ及び周方向の長さは、各ガスの流量などを含む運転時のプロセスパラメータにおいて当該天井面44の下方側へのガスの侵入を防止できる寸法に設定されているため、図4(b)にも示してあるようにNHガスは扇型の凸状部4の下方側にほとんど流入できないかあるいは少し流入したとしても分離ガスノズル41付近までには到達できるものではなく、分離ガスノズル41から吐出したNガスにより回転方向上流側、つまり処理領域P2側に押し戻されてしまい、中心部領域Cから吐出されているNガスと共に、回転テーブル2の周縁と真空容器1の内周壁との隙間から排気領域6を介して排気口62に排気される。
また第1の反応ガスノズル31から下方側に吐出され、回転テーブル2の表面に沿って回転方向上流側及び下流側に夫々向かうDCSガスは、その回転方向上流側及び下流側に隣接する扇型の凸状部4の下方側に全く侵入できないかあるいは侵入したとしても第2の処理領域P1側に押し戻され、中心部領域Cから吐出されているNガスと共に、回転テーブル2の周縁と真空容器1の内周壁との隙間から排気領域6を介して排気口61に排気される。即ち、各分離領域Dにおいては、雰囲気中を流れる反応ガスであるDCSガスあるいはNHガスの侵入を阻止するが、ウエハWに吸着されているガス分子はそのまま分離領域つまり扇型の凸状部4による低い天井面44の下方を通過し、成膜に寄与することになる。
更にまた第1の処理領域P1のDCSガス(第2の処理領域P2のNHガス)は、中心部領域C内に侵入しようとするが、図6及び図12に示すように当該中心部領域Cからは分離ガスが回転テーブル2の周縁に向けて吐出されているので、この分離ガスにより侵入が阻止され、あるいは多少侵入したとしても押し戻され、この中心部領域Cを通って第2の処理領域P2(第1の処理領域P1)に流入することが阻止される。
そして分離領域Dにおいては、扇型の凸状部4の周縁部が下方に屈曲され、屈曲部46と回転テーブル2の外端面との間の隙間が既述のように狭くなっていてガスの通過を実質阻止しているので、第1の処理領域P1のDCSガス(第2の処理領域P2のNHガス)は、回転テーブル2の外側を介して第2の処理領域P2(第1の処理領域P1)に流入することも阻止される。従って2つの分離領域Dによって第1の処理領域P1の雰囲気と第2の処理領域P2の雰囲気とが完全に分離され、DCSガスは排気口61に、またNHガスは排気口62に夫々排気される。この結果、両反応ガスこの例ではDCSガス及びNHガスが雰囲気中においてもウエハW上においても交じり合うことがない。なおこの例では、回転テーブル2の下方側をNガスによりパージしているため、排気領域6に流入したガスが回転テーブル2の下方側を潜り抜けて、例えばガDCSガスがNHガスの供給領域に流れ込むといったおそれは全くない。こうして成膜処理が終了すると、各ウエハWは搬入動作と逆の動作により順次搬送アーム10により搬出される。
ここで処理パラメータの一例について記載しておくと、回転テーブル2の回転数は、300mm径のウエハWを被処理基板とする場合例えば1rpm〜500rpm、プロセス圧力は例えば1067Pa(8Torr)、ウエハWの加熱温度は例えば350℃、DCSガス及びNHガスの流量は例えば夫々100sccm及び10000sccm、分離ガスノズル41、42からのNガスの流量は例えば20000sccm、真空容器1の中心部の分離ガス供給管51からのNガスの流量は例えば5000sccmである。また1枚のウエハWに対する反応ガス供給のサイクル数、即ちウエハWが処理領域P1、P2の各々を通過する回数は目標膜厚に応じて変わるが、例えば600回である。
本実施の形態に係わる成膜装置によれば、以下の効果がある。回転テーブル2の回転方向に複数のウエハWを配置し、回転テーブル2を回転させて第1の処理領域P1と第2の処理領域P2とを順番に通過させていわゆるALD(あるいはMLD)を行う成膜装置において、NHガスを供給する活性化ガスインジェクター32内に、NHガスを活性化するための一対の電極36a、36bを備えており、これらの電極36a、36bはインジェクター32の長さ方向に沿って互いに並行に伸びるように設けられている。このため、例えば成膜装置の真空容器1の側壁部に電極を設け、活性化されたNHガスを細長いノズルを介して真空容器1内へと供給する場合と比較して、均一に活性化されたNHガスを供給することが可能となり、例えば活性化ガスインジェクター32の基端側と先端側とからNHガスを供給されるウエハW面内にて、膜質が均一なSiN膜を成膜することができる。
また、既述のように例えば真空容器1の側壁部に電極を設けてNHガスを供給する場合には、NHガスをできるだけ活性の高い状態でノズルの先端側まで供給する必要があるため、電極に強い高周波電力を印加して解離度の高いプラズマを形成する必要がある。これに対して本実施の形態に係わる活性化ガスインジェクター32は、回転テーブル2上に載置されたウエハWの直上の位置、例えば1mm〜10mmの範囲の例えば10mmの高さ位置に配置されたインジェクター本体321内に電極36a、36bが設けられているので、前記の例と比較してプラズマの解離度をそれほどあげなくても、成膜時の反応に必要な活性を得ることができる。この結果、プラズマ発生に必要なエネルギー消費量をそれ程増加させずに成膜を行うことができる。
更に本実施の形態に係わる成膜装置は、回転テーブル2の回転方向に複数のウエハWを配置し、回転テーブル2を回転させて第1の処理領域P1と第2の処理領域P2とを順番に通過させていわゆるALD(あるいはMLD)を行うようにしているため、高いスループットで成膜処理を行うことができる。そして前記回転方向において第1の処理領域P1と第2の処理領域P2との間に低い天井面を備えた分離領域Dを設けると共に回転テーブル2の回転中心部と真空容器1とにより区画した中心部領域Cから回転テーブル2の周縁に向けて分離ガスを吐出し、前記分離領域Dの両側に拡散する分離ガス及び前記中心部領域Cから吐出する分離ガスと共に前記反応ガスが回転テーブル2の周縁と真空容器の内周壁との隙間を介して排気されるため、両反応ガスの混合を防止することができ、この結果良好な成膜処理を行うことができるし、回転テーブル2上において反応生成物が生じることが全くないか極力抑えられ、パーティクルの発生が抑えられる。なお本発明は、回転テーブル2に1個のウエハWを載置する場合にも適用できる。
更に既述のように活性化ガスインジェクター32は、真空容器1の容器本体12から着脱自在に構成されているので、当該インジェクター32のメンテナンスや改造、また新たな反応ガスノズルへの交換が容易である。但し、活性化ガスインジェクター32は容器本体12の側周壁に固定される場合に限らず、例えば真空容器1の天板11の底面に固定する構成としてもよい。
次に図13〜図15を用いて第2の実施の形態に係わる活性化ガスインジェクター32aについて説明する。なお、これらの図において、既述の第1の実施の形態に係わる活性化ガスインジェクター32と同様の機能を有するものについては図8〜図11に示したものと同様の符号を付してある。
第2の実施の形態に係わる活性化ガスインジェクター32aは、プラズマエッチング耐性に優れ、且つ既述の第1の実施の形態に係わる活性化ガスインジェクター32の材料である石英と比較して加工し易い高純度アルミナ製となっている。本実施の形態に係わる活性化ガスインジェクター32aのインジェクター本体321においては、図13に示すようにガス導入室322の基端側の側壁部にガス導入ポート39を接続し、このガス導入ポート39の開口部からガス導入室322内にNHガスが導入される点がガス導入ノズル34を用いる第1の実施の形態と異なっている。また、隔壁324のほぼ中央の高さ位置には、ガス導入室322とガス活性化室323とを繋ぐ連通孔326が隔壁324の長さ方向に間隔をおいて配列されている点についても、隔壁324の上部に矩形状の切欠部325を設けた第1の実施の形態と異なる。
一方、ガス活性化室323については、図13、図14に示すように、誘電体製のシース部材352、354によって各電極36a、36bの配置空間353、355を形成し、これらの配置空間353、355内に各電極36a、36bを貫挿して配置した点が、シース管35a、35bを用いる第1の実施の形態と異なる。本例では2本の電極が上下方向に互いに平行に配置され、上方側のシース部材352の下面と、下方側のシース部材354の上面との間にプラズマ発生部351に相当する隙間が形成されるように2つのシース部材352、354が配置されている。これらのシース部材352、354は、例えばインジェクター本体と同じ高純度アルミナ製であって、例えば別々に加工したシース部材352、354をセラミックス接着剤などで接合することなどによりインジェクター本体内に取り付けられている。なお加工が可能である場合には、本実施の形態に係わる活性化ガスインジェクター32aについても石英製としてもよいことは勿論である。
この結果、第1の実施の形態にて説明した場合と同様に、電極36a、36bは例えば2mm〜10mmの間の例えば4mmの間隔をおいて配置され、外部の高周波電源から高周波電力を印加することによりプラズマ発生部351を通流するNHガスをプラズマ化できる。
また図14に示すように下方側のシース部材354は、インジェクター本体321の側壁部から離れた位置に配置されており、プラズマ発生部351を通過したNHガスは当該側壁部とシース部材354との間に形成された空間を通った後、ガス吐出孔33を経て真空容器1内に供給される構造となっている。
以下、第2の実施の形態に係わる活性化ガスインジェクター32aの作用について説明すると、ガス導入ポート39から導入されたNHガスは、図15に示すように基端側から先端側へとガス導入室322内を流れながら、各連通孔326を介してガス活性化室323内へと流入する。ガス活性化室323に流入したNHガスは、上下のシース部材352、354の間に形成されたプラズマ発生部351を通流してプラズマ化し、活性化された状態となってガス吐出孔33へ向けて流れていく。本例においても活性化したNHガスはプラズマ発生部351を通過した直後に真空容器1内に供給されるので、活性の高い状態でウエハW表面にNHガスを供給できる。また、本実施の形態に係わる活性化ガスインジェクター32aでは、隔壁324の連通孔326からガス吐出孔33へと向かう流路が1つしかないので、全てのNHガスがプラズマ発生部351を通流し、効率的にNHガスをプラズマ化することができる。
ここで流路形成部材をなすインジェクター本体321の形状は、第1、第2の実施の形態に示した筐体状のものに限定されない。例えば図16及び図17に示すように、円管状のインジェクター本体321を用いて活性化ガスインジェクター32bを構成してもよい。当該例に係る活性化ガスインジェクター32bは、例えば円管状のインジェクター本体321内にガス導入ノズル34を挿入して二重円管構造を形成し、このガス導入ノズル34の下方側に当該ガス導入ノズル34の長さ方向に沿って伸びるように一対の電極36a、36bを配置した構造となっている。ガス導入ノズル34は、ガス孔341を例えば上方側へ向けてインジェクター本体321内に配置され、当該ガス導入ノズル34の下方側であって、ガス導入ノズル34の外壁面とインジェクター本体321の内壁面との間の空間には各々シース管35a、35b内に貫挿された電極36a、36bが横方向に並ぶように配置されている。この結果、インジェクター本体321に設けられたガス吐出孔33の上方には、一対の電極36a、36bに挟まれたプラズマ発生部351が形成される。
そして、ガス導入ノズル34からインジェクター本体321内に供給されたNHガスは、図17に当該ガスの流れを矢印で示すように、ガス導入ノズル34の外壁面とインジェクター本体321の内壁面との間の空間を通ってプラズマ発生部351に到達し、当該部351にてプラズマ化し、活性化された後、ガス吐出孔33を介してウエハW表面に供給される。本例において、ガス導入ノズル34の内側の空間はガス導入用流路322に相当し、当該ガス導入ノズル34とインジェクター本体321とに挟まれた空間はガス活性化用流路323に相当する。またガス導入ノズル34の管壁は、これらガス導入用流路322とガス活性化用流路323とを区画する隔壁に相当し、当該管壁に設けられたガス孔341はこれら2つの流路322、323を繋ぐ連通孔に相当する。
次いで、反応ガスを活性化させる手段として加熱ヒータを利用する第3の実施の形態に係る活性化ガスインジェクター32cについて説明する。図18及び図19は、それぞれ第3の実施の形態に係る活性化ガスインジェクター32cの内部構造を示す斜視図及び縦断面図であり、既述の第1の実施の形態に係わる活性化ガスインジェクター32と同様の機能を有するものについては図8〜図11に示したものと同様の符号を付してある。
第3の実施の形態に係る活性化ガスインジェクター32cは、例えば流路形成部材をなす筐体状の高純度アルミナ製のインジェクター本体321内部に、ガス導入用流路であるガス導入室322とガス活性化用流路であるガス活性化室323とが隔壁324で仕切られて形成されている。ガス導入室322の基端側の側壁部にはガス導入ポート39が接続される一方、ガス活性化室323には後述の加熱ヒータ30が設けられており、これら2つの空間322、323は、隔壁324の長さ方向に間隔をおいて配列された連通孔326を介して連通している。
ガス活性化室323内の加熱ヒータ30は、図20の斜視図に示すように例えば抵抗発熱線303を巻きつけた筒状体302と、この筒状体302を覆う円筒状のカバー体301とからなる二重管構造となっている。筒状体302は例えばアルミナなどのセラミック製の円筒であって、当該筒状体302の内側には基端側から先端側に向けて抵抗発熱線303が貫通している。筒状体302の先端から取り出された抵抗発熱線303は、筒状体302の外周面に沿って基端側へ向けて巻き付けられており、後述の電源部307から供給される電力により発熱して、ガス活性化室323内に供給されたNHガスを加熱し、活性化する役割を果たす。
カバー体301は、既述の筒状体302を覆う細長い円筒状の部材であって、例えば石英などの透明な部材によって構成されており、抵抗発熱線303からの放射熱をガス活性化室323内へと透過させることができる。図18に示すように、カバー体301の先端側は閉じられている一方、その基端側はインジェクター本体321の側壁部に固定されていて、カバー体301の内部はガス活性化室323とは隔絶された雰囲気となっている。また筒状体302は、インジェクター本体321の側壁部を貫通した状体で固定され、既述の抵抗発熱線303は当該筒状体302の基端側から引き出されて図18に示す保護管37内を貫通し、給電線304となって電源部307に接続されている。
また例えば図20に示すようにカバー体301と筒状体302との間の空間には、例えば熱電対などからなる温度検出端305が挿入されており、当該温度検出端305から引き出された導線30は、図18に示すようにインジェクター本体321外部の保護管37を通って温度検出部308に接続されている。温度検出部308は、例えば熱電対である温度検出端305の起電力に基づいて計測された温度データを既述の制御部100へと出力する役割を果たし、制御部100は計測された温度データに基づいて電源部307の出力を増減することにより抵抗発熱線303の出力を調節することができる。
以下、第3の実施の形態に係る活性化ガスインジェクター32cの作用について説明すると、ガス導入ポート39から導入されたNHガスは、ガス導入室322内を基端側から先端側へと流れながら、各連通孔326を介してガス活性化室323内へと流入する。ガス活性化室323に流入したNHガスは、加熱ヒータ30から放射される放射熱を受けて加熱され、活性化された状態となってガス吐出孔33へ向けて流れていく。本例においても活性化したNHガスはガス活性化室323内で活性化された直後に真空容器1内に供給されるので、活性の高い状態でウエハW表面にNHガスを供給できる。また、加熱ヒータ30からの熱放射を利用していることから、ガス活性化室323内を流れるNHガスを満遍なく加熱することが可能であり、例えば既述のプラズマを用いた活性化方式のように、NHガスがプラズマの形成されている領域を流れるようにするといった流路調節をする必要がなく、活性化インジェクター32cの構造を簡略化できる。
また加熱ヒータ30を用いる場合には、例えば図21、図22に示した第4の実施の形態に係る活性化ガスインジェクター32dのように、隔壁324を設けずにインジェクター本体321の筐体内を全てガス活性化室323としてもよい。この例では、例えばガス導入ポート39からガス活性化室323内に加熱ヒータ30と平行にガス導入ノズル34を挿入した構成となっており、NHガスは、このガス導入ノズル34の側壁面に設けたガス孔341よりガス活性化室323内に導入されて加熱され、活性化した状態でガス吐出孔33を介してウエハWへと供給される。即ち図21、図22に示した活性化ガスインジェクター32dにおいては、ガス導入ノズル34内の空間はガス導入用流路に相当し、当該ガス導入ノズル34の管壁はガス導入用流路とガス活性化室323との隔壁に相当している。この場合は、ノズル34に設けられたガス孔341はこのガス導入用流路とガス活性化室(ガス活性化用流路)323との間の連通孔に相当することとなる。
また本例においてもインジェクター本体321は筐体状のものに限定されない。例えば図16、17に示した本体321の形状が円筒状の活性化ガスインジェクター32bにおいて、ガス導入ノズル34の下方に、電極36a、36bに替えて既述の第3、第4の実施の形態中に示した加熱ヒータ30を配置した活性化ガスインジェクターも本発明の範囲に含まれる。
本発明で適用される処理ガスとしては、上述の例の他に、SiN膜を構成するSiの原料となる反応ガスとして例えばモノシラン、HCD[ヘキサジクロロシラン]等を用いてもよいし、Nの原料となる反応ガスとしてN、NO等を用いてもよい。これらの原料ガスのうち、上述の各実施の形態に記載したように、Nの原料となる反応ガスをプラズマ化して活性化させてもよいし、Siの原料となる反応ガスをプラズマ化してもよい。
本実施の形態に係わる成膜装置はSiN膜を成膜するプロセスに限定されず、例えばSiO膜を成膜するプロセスに適用してもよい。この場合には、例えば原料ガスとなる第1の反応ガスとしてBTBAS[ビスターシャルブチルアミノシラン]、DCS[ジクロロシラン]、HCD[ヘキサクロロジシラン]、TMA[トリメチルアルミニウム]、3DMAS[トリスジメチルアミノシラン]、TEMAZ[テトラキスエチルメチルアミノジルコニウム]、TEMHF[テトラキスエチルメチルアミノハフニウム]、Sr(THD)[ストロンチウムビステトラメチルヘプタンジオナト]、Ti(MPD)(THD)[チタニウムメチルペンタンジオナトビステトラメチルヘプタンジオナト]、モノアミノシランなどを採用し、これらの原料ガスを酸化する酸化ガスである第2の反応ガスとしてOガス[オゾンガス]や水蒸気などを採用し、これらの反応ガスのうち、例えば酸化ガスを供給する反応ガスノズルに、既述の第1、第2の実施の形態に記載の活性化ガスインジェクター32、32a〜32dを適用してもよい。なお、原料ガスの供給においても活性化ガスインジェクター32、32a〜32dを適用してもよいことは勿論である。
また、第1、第2の実施の形態に示した各活性化ガスインジェクター32、32aの構成についても、各実施の形態中に例示した構成例に限定されるものではなく、例えば真空容器1内にNHガスを吐出するガス吐出孔33をスリット状に構成してもよい。また第2の実施の形態においてシース部材352、354をインジェクター本体321の底面に間隔をおいて左右に並べて配置し、このシース部材352、354間に形成されるプラズマ発生部351の下方にガス吐出孔33を配置する構成としてもよい。更にまた、第2の実施の形態においてインジェクター本体321内を隔壁324で2つの空間322、323に区画する替わりに、隔壁324を設けず一体化されたガス活性化室323内に第1の実施の形態に示したガス導入ノズル34を挿入し、このガス導入ノズル34からプラズマ発生部351へ向けてNHガスを吐出する構成としてもよい。
そして前記分離ガス供給ノズル41(42)の両側に各々位置する狭隘な空間を形成する前記第1の天井面44は、図23(a)、図23(b)に前記分離ガス供給ノズル41を代表して示すように例えば300mm径のウエハWを被処理基板とする場合、ウエハWの中心WOが通過する部位において回転テーブル2の回転方向に沿った幅寸法Lが50mm以上であることが好ましい。凸状部4の両側から当該凸状部4の下方(狭隘な空間)に反応ガスが侵入することを有効に阻止するためには、前記幅寸法Lが短い場合にはそれに応じて第1の天井面44と回転テーブル2との間の距離も小さくする必要がある。更に第1の天井面44と回転テーブル2との間の距離をある寸法に設定したとすると、回転テーブル2の回転中心から離れる程、回転テーブル2の速度が速くなってくるので、反応ガスの侵入阻止効果を得るために要求される幅寸法Lは回転中心から離れる程長くなってくる。このような観点から考察すると、ウエハWの中心WOが通過する部位における前記幅寸法Lが50mmよりも小さいと、第1の天井面44と回転テーブル2との距離をかなり小さくする必要があるため、回転テーブル2を回転したときに回転テーブル2あるいはウエハWと天井面44との衝突を防止するために、回転テーブル2の振れを極力抑える工夫が要求される。更にまた回転テーブル2の回転数が高い程、凸状部4の上流側から当該凸状部4の下方側に反応ガスが侵入しやすくなるので、前記幅寸法Lを50mmよりも小さくすると、回転テーブル2の回転数を低くしなければならず、スループットの点で得策ではない。従って幅寸法Lが50mm以上であることが好ましいが、50mm以下であっても本発明の効果が得られないというものではない。即ち、前記幅寸法LがウエハWの直径の1/10〜1/1であることが好ましく、約1/6以上であることがより好ましい。なお、図23(a)においては図示の便宜上、凹部24の記載を省略してある。
ここで処理領域P1、P2及び分離領域Dの各レイアウトについて上記の実施の形態以外の他の例を挙げておく。図24は例えば活性化ガスインジェクター32(以下の各図における説明において、既述の各活性化ガスインジェクター32a、32b、32c、32dに置き替えた場合も同様である)を搬送口15よりも回転テーブル2の回転方向上流側に位置させた例であり、このようなレイアウトであっても同様の効果が得られる。
また本発明は、分離ガスノズル41(42)の両側に狭隘な空間を形成するために低い天井面(第1の天井面)44を設けることが必要であるが、図25に示すように反応ガスノズル31(活性化ガスインジェクター32)の両側にも同様の低い天井面を設け、これら天井面を連続させる構成、つまり分離ガスノズル41(42)及び反応ガスノズル31(活性化ガスインジェクター32)が設けられる箇所以外は、回転テーブル2に対向する領域全面に凸状部4を設ける構成としても同様の効果が得られる。この構成は別の見方をすれば、分離ガスノズル41(42)の両側の第1の天井面44が反応ガスノズル31(活性化ガスインジェクター32)にまで広がった例である。この場合には、分離ガスノズル41(42)の両側に分離ガスが拡散し、反応ガスノズル31(活性化ガスインジェクター32)の両側に反応ガスが拡散し、両ガスが凸状部4の下方側(狭隘な空間)にて合流するが、これらのガスは反応ガスノズル31(活性化ガスインジェクター32)と分離ガスノズル42(41)との間に位置する排気口61(62)から排気されることになる。
以上の実施の形態では、回転テーブル2の回転軸22が真空容器1の中心部に位置し、回転テーブル2の中心部と真空容器1の上面部との間の空間に分離ガスをパージしているが、本発明は図26に示すように構成してもよい。図26の成膜装置においては、真空容器1の中央領域の底面部14が下方側に突出していて駆動部の収容空間80を形成していると共に、真空容器1の中央領域の上面に凹部80aが形成され、真空容器1の中心部において収容空間80の底部と真空容器1の前記凹部80aの上面との間に支柱81を介在させて、第1の反応ガスノズル31からのDCSガスと活性化ガスインジェクター32からのNHガスとが前記中心部を介して混ざり合うことを防止している。
回転テーブル2を回転させる機構については、支柱81を囲むように回転スリーブ82を設けてこの回転スリーブ81に沿ってリング状の回転テーブル2を設けている。そして前記収容空間80にモーター83により駆動される駆動ギヤ部84を設け、この駆動ギヤ部84により、回転スリーブ82の下部の外周に形成されたギヤ部85を介して当該回転スリーブ82を回転させるようにしている。86、87及び88は軸受け部である。また前記収容空間80の底部にパージガス供給管74を接続すると共に、前記凹部80aの側面と回転スリーブ82の上端部との間の空間にパージガスを供給するためのパージガス供給管75を真空容器1の上部に接続している。図26では、前記凹部80aの側面と回転スリーブ82の上端部との間の空間にパージガスを供給するための開口部は左右2箇所に記載してあるが、回転スリーブ82の近傍領域を介してDCSガスとNHガスとが混じり合わないようにするために、開口部(パージガス供給口)の配列数を設計することが好ましい。
図26の実施の形態では、回転テーブル2側から見ると、前記凹部80aの側面と回転スリーブ82の上端部との間の空間は分離ガス吐出孔に相当し、そしてこの分離ガス吐出孔、回転スリーブ82及び支柱81により、真空容器1の中心部に位置する中心部領域が構成される。
更にまた、実施の形態に係わる各種の反応ガスノズルを適用可能な成膜装置は、図1、図2等に示した回転テーブル型の成膜装置に限定されるものではない。例えば回転テーブル2に替えてベルトコンベア上にウエハWを載置し、互いに区画された処理室内にウエハWを搬送して成膜処理を行うタイプの成膜装置に本発明の各反応ガスノズルを適用してもよいし、また固定された載置台上にウエハWを1枚ずつ載置して成膜を行う枚葉式の成膜装置に適用してもよい。
以上述べた成膜装置を用いた基板処理装置について図27に示しておく。図27中、101は例えば25枚のウエハを収納するフープと呼ばれる密閉型の搬送容器、102は搬送アーム103が配置された大気搬送室、104、105は大気雰囲気と真空雰囲気との間で雰囲気が切り替え可能なロードロック室(予備真空室)、106は、2基の搬送アーム107が配置された真空搬送室、108、109は本発明の成膜装置である。搬送容器101は図示しない載置台を備えた搬入搬出ポートに外部から搬送され、大気搬送室102に接続された後、図示しない開閉機構により蓋が開けられて搬送アーム103により当該搬送容器101内からウエハが取り出される。次いでロードロック室104(105)内に搬入され当該室内を大気雰囲気から真空雰囲気に切り替え、その後搬送アーム107によりウエハが取り出されて成膜装置108、109の一方に搬入され、既述の成膜処理がされる。このように例えば5枚処理用の本発明の成膜装置を複数個例えば2個備えることにより、いわゆるALD(MLD)を高いスループットで実施することができる。
本発明において、上記の第1の反応ガス及び第2の反応ガスとして夫々例えばBTBASガス及びO3ガスを用いると共に、既述の活性化ガスインジェクター32(32a〜32d)においてこれらの反応ガスを活性化してSiO2膜を成膜しても良いことは既に述べたが、このSiO2膜を成膜する場合には、当該SiO2膜の改質を行うために活性化ガスインジェクターを用いても良い。このようにSiO2膜を改質するための活性化ガスインジェクターを備えた具体的な成膜装置について、図28〜図34を参照して説明する。尚、以下の例において、既述の例と同じ構成の部位については同じ符号を付して説明を省略する。
図28及び図29に示すように、この成膜装置には第2の反応ガスであるO3ガスを供給するための第2の反応ガスノズル210が第2の反応ガス供給手段として設けられており、このノズル210は、回転テーブル2の回転方向において、既述の搬送口15の上流側に配置されている。このノズル210は、第1の反応ガスノズル31とほぼ同様に構成され、回転テーブル2の回転方向と交差する方向に水平に伸びるように、基端部であるガス供給ポート211が真空容器1の側周壁を貫通して気密に取り付けられている。また、このノズル210には、ガス供給ポート211を介して真空容器1の外部において図示しないO3ガスの供給源が接続されている。ノズル210には、既述のノズル31と同様に、下方側に反応ガスを吐出するための図示しない吐出口が真下を向くように形成されており、この吐出口は口径が例えば0.5mm、間隔が例えば10mmとなるようにノズル210の長さ方向に配列されている。このノズル210の下方領域は既述の第2の処理領域P2に相当する。
また、図30に示すように、回転テーブル2の回転方向において、搬送口15(第2の反応ガスノズル210)と第1の反応ガスノズル31の上流側の分離領域Dとの間には、既述の活性化ガスインジェクター32と同様に処理ガスを活性化(イオン化)するための活性化ガスインジェクター(プラズマガスインジェクター)220が設けられている。
この活性化ガスインジェクター220は、カバー体(気流形成部材)221を備えており、図31(a)はカバー体221を取った状態の図、図31(b)はカバー体221を配置した外観を示している。即ちこの活性化ガスインジェクター220は、図31及び図32に示すように、下面側が開口すると共に回転テーブル2の半径方向に沿って、この例ではウエハWにおける回転テーブル2の中心側の内縁部から回転テーブル2外方側の外縁部に渡って伸び、水平に伸びる概略箱型の偏平な筐体であるカバー体221と、このカバー体221内に長さ方向に沿って収納された既述のガス導入ノズル34及び一対のシース管35a、35bと、を備えている。
このカバー体221は、例えば石英から構成されており、また既述の図30に示すように、真空容器1の天板11から支持部材223によって長さ方向に沿って複数箇所において吊り下げられている。また、このカバー体221は、図31(b)に示すように、回転テーブル2の回転方向(周方向)における両側面の下端部を夫々左右外側に略直角に屈曲させてフランジ状に水平に伸び出すように気流規制面222が形成され、また中心部領域Cに対向する側端面の下端部を中心部領域C側に向けて略直角に屈曲させてフランジ状に水平に伸び出すように気流規制面222(便宜上前記気流規制面222と同符号を付す)が形成され、全体の外観としてはいわばハット(帽子)型の形状となっている。尚、支持部材223については、図30以外では図示を省略している。
この気流規制面222は、図32に示すように、当該気流規制面222の下端面と回転テーブル2の上面との間の隙間tを水平にかつ例えば2mm以下に狭めることによって、真空容器1の内部の雰囲気が当該隙間tを介してカバー体221の内部領域へ入り込むのを抑えるためのものである。この時、回転テーブル2の半径方向内周側から外周側に向かう程、回転テーブル2の回転に引き連れられて流れるガスの流速が速くなり、カバー体221内へガスの侵入するおそれが大きくなることから、この気流規制面222は、回転テーブル2の半径方向内周側から外周側に向かうにつれて幅寸法uが広くなるように形成されている。この気流規制面222の幅寸法uについて一例を挙げると、カバー体221の下方位置にウェハWが位置した時に、回転テーブル2の回転中心側のウェハWの外縁に対向する部位の幅寸法uは例えば80mm、真空容器1の内周壁側のウェハWの外縁に対向する部位の幅寸法uは例えば130mmとなっている。一方、ガス導入ノズル34及びシース管35a、35bが収納された部位におけるカバー体221の上端面と、真空容器1の天板11の下面と、の間の寸法は上記の隙間tよりも大きくなるように20mm以上例えば30mmに設定されている。
上記のガス導入ノズル34及びシース管35a、35bは、横並びに互いに間隔をおいて水平に配置されたシース管35a、35bに対して、ガス導入ノズル34のガス孔341からプラズマ発生用の処理ガス例えばAr(アルゴン)ガスが水平に吐出されるように構成されると共に、後述するように、真空容器1の側周壁から例えば水平に伸び出すように気密に取り付けられている。
シース管35a、35bは、この例では高純度石英から構成されると共に、プラズマエッチング耐性に優れた例えばイットリア(酸化イットリウム、Y2O3)膜が例えば100μm程度の膜厚となるようにその表面にコーティングされている。また、これらのシース管35a、35bは、夫々の内部に貫挿された電極36a、36b間の離間距離が10mm以下例えば4.0mmとなるように配置されている。これらの電極36a、36bは、例えば13.56MHz、例えば500W以下の高周波電力が真空容器1の外部の高周波電源から整合器(いずれも図示せず)を介して供給されるように構成されている。この例では、ガス導入ノズル34の内側の空間がガス導入用流路に相当し、カバー体221が流路形成部材に相当し、またシース管35a、35bが配置されていて処理ガスが活性化される領域がガス活性化用流路に相当する。またガス導入ノズル34の管壁は、これらガス導入用流路とガス活性化用流路とを区画する隔壁に相当し、ガス導入ノズル34のガス孔341はこれらガス導入用流路とガス活性化用流路とを繋ぐ連通孔に相当する。更に、シース管35a、35bの下方領域は、ウェハWに対して活性化されたガスを吐出する吐出口に対応する。
次に、上記のシース管35a、35bの真空容器1の側周壁への取り付け方法について説明する。図33(a)に示すように、真空容器1の側周壁には、シース管35a、35bの端部に設けられた既述の保護管37を取り付けるための貫通孔230が形成されており、この貫通孔230内には、真空容器1の外側から伸びるように、外径が貫通孔230の開口径よりも小さく形成されると共に、先端部(真空容器1の内部側)における内周面が真空容器1の内部側に向かってテーパー状に縮径するように形成された概略円筒状の外スリーブ231が挿入されている。この外スリーブ231と真空容器1の側壁とは、外スリーブ231の端面に形成されたフランジ部232において図示しないO−リングを介して気密に固定されている。この図33中233は、ボルト234がフランジ部232を貫通して外スリーブ231と真空容器1の側壁とを気密に固定するための固定孔であり、同図(b)に示すように、ボルト234のネジ部との間において上下に隙間が介在するように形成されている。そのため、外スリーブ231は、貫通孔230内において上方に持ち上げたり下方に下げたりした状態でボルト234により固定することによって、当該外スリーブ231と共に保護管37を平行に上下させて、シース管35a、35bの下端面と回転テーブル2上のウェハWの表面との間の距離を例えば3.0mm〜9.0mmの間で調整可能となっている。
外スリーブ231内には、真空容器1の内部側から順にO−リング236、内スリーブ237、O−リング236及び接続管235が保護管37の外周側を覆うように設けられており、例えば図示しない固定部材により接続管235が真空容器1の外側から押しつけられることにより、O−リング236、236を介して外スリーブ231と保護管37とが気密に密着することになる。この図33中、238はシース管35a、35bから真空容器1の外側に伸びる給電線である。
また、真空容器1の内部には、保護管37を下方側から支持するように傾き調整機構240が設けられている。この傾き調整機構240は、例えば真空容器1の内周壁に沿うように形成された板状の部材であり、例えばボルトなどの調整ネジ241により上端面の高さ位置を調整して真空容器1の内周壁に固定できるように構成されている。従って、この傾き調整機構240の上端面の高さ位置を調整することにより、保護管37は基端側(外スリーブ231内の端部)がO−リング236により気密に圧着されたまま真空容器1側の端部が上下することになるので、回転テーブル2の半径方向において保護管37(シース管35a、35b)が傾斜することになる。このようにシース管35a、35bを傾斜させる例について説明すると、例えば図34に示すように、回転テーブル2の回転中心側が上方に持ち上げられるように、つまりシース管35a、35bの下方にウェハWが位置した時に、例えばシース管35a、35bの下端位置と、回転テーブル2の回転中心に近接するウェハWの周縁及び真空容器1の内周壁に近接するウェハWの周縁と、の間の高さ寸法が夫々6.0mm、4.0mmとなるように調整される。既述のガス導入ノズル34についても、保護管37(シース管35a、35b)と共に上下及び傾斜できるように構成されている。尚、この図34では、シース管35a、35bの傾き具合を誇張して描画してある。
図28及び図29に示すように、既述の第1の反応ガスノズル31は、図示しないガス供給源から第1の反応ガスであるBTBASガスが供給されるように構成されており、この例では、BTBASガスが分離ガスにより希釈されるのを抑え、かつBTBASガスとウェハWとの接触時間を稼ぐなどの理由から、上記のカバー体221とほぼ同じ構成の気流規制部材250がこのノズル31を覆うように設けられている。
次に、この実施の形態における作用について説明する。既述の例と同様に、回転テーブル2に例えば5枚のウェハWを載置して、真空容器1内を所定の真空度例えば286.6Pa(2Torr)〜1066.6Pa(8Torr)に調整すると共に、回転テーブル2を例えば240rpmで回転させる。また、ウェハWを例えば350℃に加熱して、各ノズル31、200から夫々BTBASガス及びO3ガスを夫々所定の流量例えば100sccm、10000sccmで供給すると共に、各分離領域DにN2ガスを各々所定の流量例えば20000sccmで供給し、また中心部領域C及び回転テーブル2の下方領域にもパージガスを所定の流量で供給する。更に、ガス導入ノズル34に対してプラズマ生成用の処理ガス例えばArガスを所定の流量例えば5000sccmで供給すると共に、図示しない高周波電源から電極36a、36bに対して所定の値の電力例えば500Wの高周波を供給する。
活性化ガスインジェクター220では、ガス導入ノズル34からシース管35a、35bに向かって吐出されたArガスは、これらのシース管35a、35b間に供給される高周波によって活性化されてArイオンやArラジカルとなり、これら活性種(プラズマ)が下方に向かって吐出される。この時、シース管35a、35及びガス導入ノズル34を覆うようにカバー体221が設けられているので、当該カバー体221と回転テーブル2との間の隙間tが狭くなっており、カバー体221内のガスやイオンは、外部へと排出されにくくなっている。そのため、カバー体221の内部の雰囲気は、カバー体221の外部よりも僅かに加圧状態となる。
そして、回転テーブル2の回転によりウェハWが第1の反応ガスノズル31の下方領域に到達すると、このウェハWの表面にはBTBASガスが吸着し、次いでウェハWが第2の反応ガスノズル210の下方領域に到達すると、O3ガスとウェハWの表面に吸着したBTBASガスとが反応して、反応生成物であるSiO2膜の分子層が1層あるいは複数層形成される。このウェハWの表面に形成されたSiO2膜は、極めて短時間で成膜されるため、理想的な組成比(Si:O=1:2)よりも酸素リッチの状態となっている場合がある。
次に、このウェハWが活性化ガスインジェクター220の下方領域に到達すると、ウェハW上に形成されたSiO2膜の改質処理が行われる。具体的には、例えばArイオンがウェハWの表面に衝突し、SiO2膜から酸素元素が放出されて、SiO2膜が理想的な組成比に近づくことになる。また、このイオンの衝撃により当該SiO2膜内の元素が再配列され、SiO2膜の緻密化(高密度化)が図られることになる。従って、このSiO2膜は、後述の実施例に示すように、ウェットエッチングに対する耐性が向上することになる。更に、例えばSiO2膜中に有機物などの不純物が含まれている場合には、このArイオンの衝撃によって不純物が例えば気化して当該SiO2膜から排出されていく。この時、ウェハWが回転テーブル2の回転により公転しているので、活性化ガスインジェクター220の下方を通過するウェハWから見ると、回転中心側よりも外周側の周速が速いので、回転中心側が強く改質される場合がある。しかし、既述のように、シース管35a、35bを回転テーブル2の半径方向において傾斜させて外周側よりも回転中心側が高くなるように(離間するように)傾き調整機構240を固定していることから、ウェハWは活性化ガスインジェクター220の長さ方向に亘って均一に改質処理が行われることになる。
また、この真空容器1内には、活性化ガスインジェクター220と第2の反応ガスノズル210との間に分離領域Dを設けていないので、回転テーブル2の回転に引き連れられて、活性化ガスインジェクター220に向かって上流側からO3ガスやN2ガスが通流してくる。しかし、既述のように電極36a、36bとガス導入ノズル34とを覆うようにカバー体221を設けているので、カバー体221の下方側(気流規制面222と回転テーブル2との間の隙間t)よりもカバー体221の上方側の領域が広くなっており、上流側から通流してくるガスは、カバー体221の下方側に入り込みにくくなっている。また、既述のようにカバー体221の内部雰囲気が当該カバー体221の外側の雰囲気よりも僅かに加圧雰囲気となっていることからも、上流側から流れてくるガスはこのカバー体221の内部に入りにくくなっている。更に、活性化ガスインジェクター220に向かって通流するガスは、回転テーブル2の回転によって上流側から引き連れられて来るので、回転テーブル2の半径方向内周側から外周側に向かうほど流速が速くなるが、回転テーブル2の内周側よりも外周側の気流規制面222の幅寸法uを大きく取っていることから、活性化ガスインジェクター220の長さ方向に亘ってカバー体221の内部へのガスの侵入が抑えられる。従って、活性化ガスインジェクター220に向かって上流側から流れてくるガスは、既述の図32に示すように、カバー体221の上方領域を介して下流側の排気口62に通流していく。そのため、これらのO3ガスやN2ガスは、高周波によって活性化などの影響をほとんど受けず、またウェハWもこれらのガスの影響をほとんど受けない。尚、Arイオンの衝撃によりSiO2膜から排出された酸素元素は、ArガスやN2ガスなどと共に排気口62に向かって排気されていく。
続いて、ウェハWが活性化ガスインジェクター220の下流側の分離領域Dを通過して第1の反応ガスノズル31及び第2の反応ガスノズル210の下方領域をウェハWが通過すると、同様に酸素リッチなSiO2膜が成膜される。この時、上層(N+1)側のSiO2膜は、図35の左側に示すように、先に成膜された下層(N)側のSiO2膜との間ではSi元素同士の結合(Si−O−Si)が強く形成されていない場合がある。そのため、このウェハWが活性化ガスインジェクター220の下方領域に到達すると、同様にArイオンによりSiO2膜内から酸素元素が排出され、また元素同士の再結合(再配列)が行われることになるが、図35の右側に示すように、この再配列は上下のSiO2膜の層に亘って進行することになる。つまり、SiO2膜内のSi−O−Siの結合が3次元的に形成されていく。こうして回転テーブル2の回転を所定の回数例えば1000回行うことにより、SiO2膜の成膜(BTBASガスの吸着及びこのBTBASガスとO3ガスとの反応)と、Arイオンによる酸素元素の排出、Si元素の再配列及び不純物の排出と、が繰り返して行われ、膜厚方向において緻密で結合が強く、また不純物濃度の少ない例えば膜厚が100nm程度の薄膜が形成されることになる。
上述の実施の形態によれば、基板の表面近傍(薄膜の近傍)にプラズマ源が置かれるので活性種を基板の表面近傍に発生させることができ、このため寿命の短いイオンやラジカルを高い濃度で薄膜に供給することができる。そして回転テーブル2を回転させてウェハW上にBTBASガスを吸着させ、次いでウェハWの表面にO3ガスを供給してウェハWの表面に吸着したBTBASガスを反応させてSiO2膜を成膜するにあたって、SiO2膜を成膜した後、活性化ガスインジェクター220によりウェハW上のSiO2膜に対してArイオンを供給している。そのため、SiO2膜内の余分な酸素元素が排出され、また元素の再配列が3次元的に起こるので、SiO2膜を緻密化することができる。また、Arイオンを供給することにより、SiO2膜中から不純物が排出されるため、緻密で不純物濃度の低い薄膜を得ることができる。この薄膜は、後述の実施例に示すように、改質処理を行う前のSiO2膜に比べて、例えば沸酸水溶液に浸漬した時のウェットエッチング耐性が向上するので、このSiO2膜の形成されたウェハWにより製造されたデバイスでは、信頼性を向上させることができる。更にまた活性化ガスインジェクター220を用いることにより、リモートプラズマのようにオゾンを搬送して熱分解にてOラジカルを発生させる手段に比べ、炉温によるオゾン搬送中の失活の懸念がないため、ウェハWの設定温度の自由度が大きく、低い温度であっても改質処理を良好に行うことができ、こういった点においてリモートプラズマよりも優れている。
また、活性化ガスインジェクター220によりSiO2膜の改質処理を行うにあたって、シース管35a、35bと回転テーブル2上のウェハWとの間の距離を調整可能に構成しているので、SiO2膜に対して改質を行う度合いを調整できる。更に、このシース管35a、35bを傾斜できるようにしているので、シース管35a、35bの長さ方向においてウェハWとの間の距離を調整でき、従って、例えば回転テーブル2の半径方向において改質の度合いを揃えることができる。
更にまた、真空容器1の内部において成膜サイクルを行う度に改質処理を行っているので、いわば回転テーブル2の周方向においてウェハWが各処理領域P1、P2を通過する経路の途中において成膜処理に干渉しないように改質処理を行っているので、例えば薄膜の成膜が完了した後で改質処理を行うよりも短時間で改質処理を行うことができる。更にまた、上記のArイオンによる改質効果は、例えば膜厚方向において2nm程度までしか起こらないことが知られているが、上記のように成膜サイクルを行う度に改質処理を行うことにより、薄膜の膜厚方向に亘って緻密で不純物濃度の低い薄膜を得ることができる。また、活性化ガスインジェクター220にカバー体221を設けているので、上流側から通流してくるガスのカバー体221の内部への侵入を抑えることができ、このガスの影響を抑えて成膜サイクルの途中で改質処理を行うことができる。そのため、例えば第2の反応ガスノズル210と活性化ガスインジェクター220との間に専用の分離領域Dを設けなくても良いので、成膜装置のコストを抑えて改質処理を行うことができる。
また、電極36a、36bの離間距離を上記のように狭く設定していることから、ガスのイオン化に最適ではない高い圧力範囲(成膜処理の圧力範囲)であっても、低出力で改質処理に必要な程度にArガスを活性化(イオン化)することができる。尚、真空容器1内の真空度を高くする程、Arガスのイオン化が速やかに進行する一方、例えばBTBASガスの吸着効率が低下するため、真空容器1内の真空度は、成膜効率と改質の効率とを勘案して設定される。また、電極36a、36bに供給する高周波の電力値についても、成膜処理に悪影響を及ぼさないように、また改質処理が速やかに進行するように上記のように適宜設定される。
上記の例においては、成膜処理を行う度に改質処理を行ったが、複数回例えば20回の成膜処理(サイクル)を行う度に改質処理を行っても良い。この場合において改質処理を行う時には、具体的にはBTBASガス、O3ガス及びN2ガスの供給を停止して、ガス導入ノズル34から活性化ガスインジェクター220にArガスを供給すると共に、電極36a、36bに高周波を供給する。そして、5枚のウェハWが活性化ガスインジェクター220の下方領域を順番に通過するように回転テーブル2を例えば200回回転させる。こうして改質処理を行った後、再度各ガスの供給を再開して成膜処理を行い、改質処理と成膜処理とを順番に繰り返す。この例においても、上記の例と同様に緻密で不純物濃度の低い薄膜が得られる。この場合には、改質処理を行うときにはO3ガスやN2ガスの供給を停止しているので、既述の図31(a)に示すように、カバー体221を設けなくても良い。
活性化ガスインジェクター220は、処理ガスを活性化して反応生成物の改質を行うための活性化手段をなすものであるが、この活性化手段としては上述の活性化ガスインジェクターの構造のものに限られない。活性化手段は、例えばセラミックスからなり、その長さ方向に沿ってガス吐出口が形成されたインジェクター内に、マイクロ波を供給するアンテナ例えば棒状のアンテナを配置し、このアンテナからのマイクロ波によりインジェクター内のガスを活性化してウエハW上に供給しても良い。
ここで、上記のように活性化されたArガスによってSiO2膜に起こる現象(改質処理)は、詳細な説明を省略するが、ガス導入ノズル34から供給するガスについて、ArガスとO2ガスとの比率を変えて行った実験や、ArガスからN2ガスに変更して行った実験の結果から、酸素ラジカルによってSiO2膜中の元素が置換されているのではなく、SiO2膜中の酸素元素が脱離してSi−Oの再結合が起こっている現象だということを確認済みである。
上記の活性化ガスインジェクター220は、第1の反応ガスノズル31の上流側の分離領域Dと搬送口15との間の領域に設けたが、回転テーブル2の回転方向において第2の反応ガスノズル210と第1の反応ガスノズル31との間に設ければ良く、例えば第1の反応ガスノズル31の上流側の分離領域D内に設けても良い。また、この活性化ガスインジェクター220の構成としては、例えば電極36a、36bの上方側にガス導入ノズル34を設ける等、既述の図13〜図17のように配置しても良い。また、この活性化ガスインジェクター220としては、電極36a、36bを設ける構成以外にも、既述の図18に示すように加熱ヒータ30を設けても良い。この場合には、加熱ヒータ30の加熱温度は例えば1000℃程度に調整される。
更に、この活性化ガスインジェクター220を既述の図1の成膜装置に活性化ガスインジェクター32(32a〜32d)と共に設けても良い。この場合には、活性化ガスインジェクター220は活性化ガスインジェクター32(32a〜32d)の下流側に設けられて、ウェハW上に成膜されたシリコン窒化膜に対して例えば膜中に含まれる不純物が低減されるように改質処理が行われる。また、既述の図1の成膜装置を用いてSiO2膜を成膜する場合にもこの活性化ガスインジェクター220を設けても良い。更に、第1の反応ガス及び第2の反応ガスとして既述の各ガスを用いた場合においても、活性化ガスインジェクター220を用いて改質処理を行うことにより、同様に膜中の不純物濃度の低減が行われる。
ガス導入ノズル34から供給する活性化用のガスとしては、Arガス以外にも、例えばHe(ヘリウム)ガス、NH3(アンモニア)ガス、H2(水素)ガスあるいはN(窒素)とO(酸素)とを含むガスのうち、少なくとも1種以上を用いても良い。
上記の例において、成膜サイクルを行う度(回転テーブル2の回転毎)に改質処理を行うことによって、シリコン酸化膜の膜厚方向に亘って緻密で不純物濃度の低い良好な膜質の薄膜を得ることができることは既に述べたが、このシリコン酸化膜を成膜するにあたって、上記の例で第1の反応ガスとして用いたBTBASガスなどよりも好適な反応ガスについて以下に説明する。
始めに、BTBASガスを用いた上記のALD(MLD)プロセスについて再度述べておく。先ず、図36(a)に示すように、例えば第1の処理領域P1においてウェハW上にBTBASガスが吸着し、次いで同図(b)に示すように、第2の処理領域P2においてOガスによりウェハW上のBTBASガスが酸化され、同図(c)に示すように酸素とBTBASガス中のシリコンとを含む反応生成物がウェハW上に生成すると共に、BTBASガスから不純物例えば有機物が副生成ガスとして脱離していく。そして、同図(d)に示すように、活性化ガスインジェクター220の下方領域において、既述のようにウェハW上に生成した反応生成物に対して例えば余分な酸素元素の放出や元素の再配列といった改質処理が行われ、こうして成膜サイクルの度にBTBASガスの吸着、酸化及び改質が繰り返されることによって、膜厚方向に亘って緻密で不純物の少ないシリコン酸化膜が積層されていくことになる。
ところで、上記の改質処理を行うことにより緻密で不純物の少ないシリコン酸化膜が得られるが、BTBASは蒸気圧が低く、また図37(a)に示すように、シリコン原子を対称として窒素(N)原子とt−ブチル基(−C(CH)とが両側に結合した大きな分子構造であることから、デバイスに使用する箇所やユーザ側の要求などによっては、成膜速度、埋め込み特性及びシリコン酸化膜の膜質の点で、特に有利なガスであるとは言えないかもしれない。そこで、上述の点においてBTBASよりも有利なシリコン酸化膜の成膜用の反応ガスとして、ジイソプロピルアミノシランガスを挙げることができる。
ジイソプロピルアミノシランは、BTBASよりも蒸気圧が高く、また図37(b)から分かるようにBTBASよりも分子が小さい。BTBASは蒸気圧が低いことから、処理圧力を高くした状態でガス流量を多くできないので、速い成膜速度が得られにくい。これに対してジイソプロピルアミノシランは、例えば50℃における蒸気圧がBTBASの約10倍程度であるため、ガス流量を多くしたり処理圧力を高くしたりできるので、成膜速度が速い。
更に図37(a)と図37(b)とを比較して分かるように、BTBASはSi−Hの両側にt−ブチル基が結合しているので、ウェハW上にガスが吸着する時にこのt−ブチル基が立体障害になりやすい。これに対してジイソプロピルアミノシランの場合には、こうした立体障害の度合いが小さい。そのためOガスのアタックに対して、ジイソプロピルアミノシランの方がBTBASに比べてシリコンと窒素との間の結合が切れやすい。このような点からも、ジイソプロピルアミノシランを用いる方が速い成膜速度が得られる。また、反応ガス中から有機物や窒化物が速やかに脱離し、膜中の不純物が少なくなるので、良好な電気的特性が得られる。
また、ジイソプロピルアミノシランは、BTBASよりも分子が小さいためウェハW上に互いに近接して配列され、このため改質処理前であってもシリコン酸化膜が緻密になる。従って、シリコン酸化膜を積層した後(薄膜の成膜後)に行われるアニール処理において収縮(シュリンク)が小さくなるので、アニール処理によるパターン倒れを抑えることができる。そして、ジイソプロピルアミノシランは分子が小さいことから、またガス流量を増やしたり処理圧力を高めたりすることができることから、ウェハWの凹部内への埋め込み特性が良好である。
更に、ジイソプロピルアミノシランガスではウェハWへの反応ガスの吸着が速やかに起こることから、反応ガスの使用量が抑えられる。更にまた、反応ガスの流量や処理圧力を調整することによりウェハWの面内均一性を調整できることから、ジイソプロピルアミノシランガスを用いることで反応ガスの流量や処理圧力の調整幅が広がるので面内均一性の調整幅も広くなる。従って、既述のように、BTBASガスを用いて成膜した薄膜に対してプラズマ処理(改質処理)を行うことにより緻密で不純物の少ない薄膜が形成されるが、このジイソプロピルアミノシランガスを用いて成膜処理を行い、その後プラズマ処理を行うことにより、上記のBTBASガスを用いて成膜処理及びプラズマ処理を行う場合よりも更に良好な膜質の薄膜を速やかに得ることができる。
この反応ガスを用いて成膜処理を行う場合には、例えば回転テーブル2の回転数、処理圧力、ウェハWの加熱温度、反応ガスの流量、Oガスの流量、改質用のガス(Arガス/Oガス)の流量及び分離ガスノズル41、42からのNガスの流量は夫々例えば240rpm、2.13kPa(16Torr)、350〜500℃、275sccm、4500/500sccm、10000sccm、10000sccmに設定される。
ジイソプロピルアミノシランガスを用いる場合においても、改質用のガスとしてはBTBASガスの場合と同様に例えばArガス、He(ヘリウム)ガス、NH3(アンモニア)ガス、H2(水素)ガスあるいはN(窒素)とO(酸素)とを含むガスのうち、少なくとも1種以上を用いても良い。また、成膜サイクル毎に改質処理を行うことが好ましいが、BTBASガスを用いた場合と同様に複数回例えば20回の成膜処理(サイクル)を行う度に改質処理を行っても良い。
既述の例では、ガス供給系、分離領域における天井部などの回転テーブル2の上方部分を鉛直軸回りに回転させる構成としたが、これら上方部分が回転テーブル2に対して鉛直軸回りに回転する構成としても良い。つまりガス供給系と回転テーブル2とが相対的に回転する構成であれば良い。このような具体的な装置構成とする場合には、例えば真空容器1の中心部分に鉛直軸周りに回転自在なスリーブを上方側から挿入し、この回転スリーブに天井部、ガス供給管、活性化インジェクターを取り付ける。そして回転スリーブ内に各ガス供給管を挿入して上方側に立ち上げ、各ガス供給管の基端側(上端側)の高さ位置をガス供給管の間で異なるように構成し、各基端側のガス取り入れ口を回転スリーブの側周面に開口する。更にこの回転スリーブの外側に同心となうように固定スリーブを配置し、固定スリーブと回転スリーブとの間に軸受けと磁気シールとの組を設ける。また各ガス取り入れ口の高さ位置に対応する高さ位置において固定スリーブの外側から各ガスの供給路を接続する。従って各ガスの供給路は、回転スリーブ側の対応するガス取り入れ口と連通する。そしてこの連通空間を全周に亘って形成すると共に上下に並ぶ各ガスごとの連通空間同士を例えば既述の軸受けと磁気シールとの組により分離することで、回転しているスリー部内のガス供給管に外側からガスを供給することができる。
(シミュレーション)
第1の実施の形態に係る活性化ガスインジェクター32と、隔壁324が設けられておらず、ガス導入・活性化室327が共通となっている活性化ガスインジェクター32cと、についてのシミュレーションモデルを作成し、各々のインジェクター本体321内のガスの流れ方についてシミュレーションした。ガスの種類はNHガス、ガス流量は3,000sccm、真空容器1内の圧力は1.33kPa(10torr)、ガス温度は273Kとした。
A.シミュレーション条件
(実施例1)
第1の実施の形態に係る活性化ガスインジェクター32について、インジェクター本体321内のガスの流れをシミュレーションした。
(比較例1)
隔壁324を設けていない点以外は、(実施例1)と同様の構成を備える活性化ガスインジェクター32cについてインジェクター本体321内のガスの流れをシミュレーションした。
B.シミュレーション結果
(実施例1)の結果を図38(a)に示し、(比較例1)の結果を図38(b)に示す。これらの図には、インジェクター本体321内におけるガスの流速の分布を等速線にて区画表示してある。各図に示した数値は当該区画内のガス流速[m/s]の流速範囲を示している。
(実施例1)の結果によれば、シース管35a、35bの間のプラズマ発生部351に流速の速い領域が形成されており、隔壁324を設けてガス活性化室323の上部にNHガスを供給することにより、NHガスを効率的にプラズマ発生部351に通流させることができることがわかる。
これに対して(比較例1)の結果によれば、右側のシース管35bとインジェクター本体321との間に形成される空間に流速の速い領域が形成されており、NHガスはプラズマ発生部351をバイパスし、当該空間を通ってガス吐出孔33へと抜けてしまっており、殆どNHガスをプラズマ化することができないおそれが高い。
これらの結果から、2本のシース管35a、35b内に電極36a、36bを配置してプラズマを発生させる第1の実施の形態に示したタイプの活性化ガスインジェクター32においては、隔壁324を設けてバイパス流路が形成されにくくなるようにすることにより、NHガスを効率的にプラズマ化することができるといえる。
(実験)
加熱ヒータ30を利用した第4の実施の形態に係る活性化ガスインジェクター32dを用いて回転テーブル型の成膜装置に処理ガスを供給し、ALD法による成膜実験を行った。処理ガスにはBTBASガスとOガスとを用いてシリコン酸化膜を成膜し、Oガスの供給にあたって加熱ヒータ30を備えた活性化ガスインジェクター32dを用いた。プロセス圧力は1067Pa(8Torr)、ウエハWの加熱温度は100℃、回転テーブル2の回転数は120rpm、BTBASガスの供給量は100sccm、Oガスの供給量は10000sccmとした。また回転テーブル2には5枚のウエハWを載置し、成膜時間は31分間とした。
A.実験条件
(実施例2-1)
温度検出端305の検出温度が300℃となるように加熱ヒータ30の出力を調節した。
(実施例2-2)
温度検出端305の検出温度が400℃となるように加熱ヒータ30の出力を調節した。
(実施例2-3)
温度検出端305の検出温度が500℃となるように加熱ヒータ30の出力を調節した。
(実施例2-4)
温度検出端305の検出温度が600℃となるように加熱ヒータ30の出力を調節した。
(比較例2)
加熱ヒータによる加熱を行わずに成膜を行った。
B.実験結果
各実施例、比較例の結果を(表1)に示す。ここで(表1)における面内均一性[%](ウエハW面内の膜厚の均一性)は、各ウエハW面内の最大膜厚、最小膜厚[nm]計測して以下の(1)式を適用し、5枚のウエハWの面内均一性の平均値を記載した。また面間均一性[%](ウエハW間の平均膜厚の均一性)は、5枚のウエハWの平均膜厚を求め、それら平均膜厚の最大膜厚、最小膜厚[nm]に(1)式を適用して算出した。

±((最大膜厚)−(最小膜厚))×100
/((最大膜厚)+(最小膜厚)) …(1)

(表1)
Figure 2010239103
(表1)に示した(実施例2-1)〜(実施例2-3)と(比較例2)との実験結果を比べると、加熱ヒータ30を用いた各実施例の方が比較例よりも単位時間当たりの成膜速度[nm/min]、1サイクルあたりの成膜速度[nm/cycle]が大きくなった。また、各実施例間で比較しても加熱ヒータ30の温度を高くするほど(加熱ヒータ30の出力を大きくするほど)、成膜速度は大きくなっている。これは、加熱ヒータ30の温度を高くするにつれて活性化ガスインジェクター32d内にてOガスが吸収するエネルギー量も大きくなり、その結果、活性化ガスインジェクター32dよりウエハW表面に供給されるガス中における酸素ラジカル等の活性種の濃度が高くなることにより成膜速度を向上させることができたものと考えられる。
次に、成膜された膜の均一性について説明すると、(表1)に示した面内均一性、面間均一性は、同表中に示した数字が小さいほどウエハW面内、またはウエハW面間の膜厚の均一性が高いことを示している。この点、まず面内均一性の計測結果について考察すると、(実施例2-4)を除いた(実施例2-1〜2-3)の各実施例において(比較例2)よりも均一性の高い成膜を行うことができた。また、各実施例について加熱ヒータ30温度に対する面内均一性の変化の様子を見てみると、加熱ヒータ30の温度を高くするほど面内均一性は低下していることが分かる。
このように、加熱ヒータ30を用いると面内均一性が向上し、その温度を高くしていくと一旦向上した面内均一性が徐々に低下する傾向が見られる理由を以下に推察する。即ち、加熱ヒータ30を用いていない(比較例2)では、BTBASとOガスとの反応は、ウエハWを加熱するエネルギーのみによって進行するため反応速度が比較的遅く、成膜速度はウエハWの回転速度に支配され、回転の遅い回転テーブル2の中心側で膜が厚くなり、回転の速い回転テーブル2の外周側へ向けて徐々に膜が薄くなる傾向が見られる。これに対して加熱ヒータ30を用いた(実施例2-1)においては、ウエハW表面に活性種が直接供給されるため反応速度が大きくなって、成膜速度に対するウエハWの回転速度の影響が小さくなり、成膜された膜の面内均一性が向上する。しかしながら、この場合においても回転の速い回転テーブル2の最外周には、成膜速度に対するウエハWの回転が支配的な領域が存在しており、この最外周の領域に更に高濃度の活性種を供給しても膜の成膜速度は殆ど上昇しない状態となっているものと考えられる。
このため、(実施例2-2〜2-4)のように加熱ヒータ30の温度を上げていくと、成膜速度に対して活性種の供給が支配的となっている領域、即ち回転テーブル2の内側の領域では、ウエハW表面に供給される活性種の量が多くなり、この結果、成膜速度が大きくなって膜が厚くなる。これに対して、成膜速度に対してウエハWの回転が支配的な領域、即ち回転テーブル2の最外周の領域では、ウエハW表面に供給される活性種の量が多くなっても成膜速度は殆ど変化せず、膜の厚さは例えば(実施例2-1)と同程度のままとなる。この結果、膜が厚くなる回転テーブル2の内側の領域と、膜の厚さが殆ど変化しない最外周領域との間での膜厚の差が大きくなり、均一性の低下が観察されるものと考えられる。但し、成膜された膜の面内均一性が低下するといっても、(実施例2-4)にて得られた面内均一性の低下の程度は十分に実用的な範囲内にあり、加熱ヒータ30を用いることにより成膜速度が向上する効果の方が大きいといえる。なお、(表1)に示した各実施例、比較例の面内均一性の絶対値が30%台と比較的大きな値となっているのは、開発段階にある成膜装置を用いて実験を行ったためである。装置の調整を終えれば面内均一性の絶対値は実用的な値に収斂するが、加熱ヒータ30を用いた場合と用いなかった場合との面内均一性の傾向は、(表1)に示した結果と同様の傾向が得られる。
一方、面間均一性については(表1)に示すように、いずれの実施例においても(比較例2)よりも良好な値が得られた。そして、(実施例2-1〜2-4)の結果を比較すると、(実施例2-1)から(実施例2-2)にかけて、若干面間均一性が低下したのち、(実施例2-2〜2-4)にかけて加熱ヒータ30の温度を高くするほど面間均一性は向上した。
この点、加熱ヒータ30の温度を300℃から400℃にした際に面間均一性が低下する理由については明らかでないが、当該温度を500℃、600℃と上げていくことによる面間均一性の向上については、以下の理由を推察できる。即ち、回転の遅い回転テーブル2の内側の領域では、十分な反応時間を得られるためウエハWに吸着したBTBASの殆どがシリコン酸化膜となってこれ以上膜が厚くならない飽和した状態となり、その領域における膜厚はそれ以上変化しなくなるものと考えられる。
一方、膜厚が飽和した状態となっていない領域では、成膜される膜の厚さは、ウエハW表面上へ供給される活性種を含むガスの流れの状態やウエハW表面に吸着したBTBASと活性種との接触状態などの微妙な違いによって当該領域に成膜される膜の厚さはウエハW面間でばらつきを生ずるものと考えられる。このため、加熱ヒータ30の温度を高くして、ウエハW表面に供給される活性種の濃度を高くすると、膜厚が飽和した領域の面積の割合が大きくなる一方、膜厚がばらつきやすい飽和状態となっていない領域の面積の割合が低下して、全体としてウエハW面間の膜厚の均一性を向上させることができるのではないかと考えられる。
以上のことから、処理ガスを活性化する手段として加熱ヒータ30を備えた活性化ガスインジェクター32dは、成膜された膜のウエハW面内及び、面間の均一性の向上に寄与すると共に、成膜速度を向上させる効果もあることを確認できた。
(実施例3−1)
次に、活性化ガスインジェクター220を用いた場合の真空容器1内におけるガス流れを検証するために行ったシミュレーションについて説明する。このシミュレーションでは、既述のカバー体221の有無によって、ガス導入ノズル34から吐出されたArガスが真空容器1内をどのように通流するか確認した。シミュレーション条件としては、以下の条件を用いた。
(シミュレーション条件)
圧力(Pa(Torr)):400(2)
回転テーブル2の回転数(rpm):240
第1の反応ガス:O2ガス(10slm)
第2の反応ガス:O3ガス(10slm)
活性化(改質)用ガス:Arガス(2slm)
中心部領域Cに供給するガス:N2ガス(15slm)
各分離領域Dに供給するガス:N2ガス(3slm)
回転テーブル2の下方に供給するガス:N2ガス(10slm)
(シミュレーション結果)
このシミュレーションにより得られた結果を図39に示す。この図39(a)、(b)は回転テーブル2の上方側から活性化ガスインジェクター220付近におけるArガス(活性化用ガス)の濃度分布を見た平面図を示しており、同図(c)、(d)はガス導入ノズル34近傍におけるN2ガス(分離ガス)の濃度分布を示した斜視図である。また、同図(a)、(c)はカバー体221を設けた場合、(b)、(d)はカバー体221を設けなかった場合を示している。この結果から、カバー体221を設けることによりArガスの流れが規制され、Arガスが活性化ガスインジェクター220内部において高い濃度を保っていることが分かる。一方、カバー体221を設けていない場合には、Arガスは活性化ガスインジェクター220の下流側に向かって広く拡散してしまっていることが分かる。また、ガス導入ノズル34の近傍においても、カバー体221を設けることによりN2ガスの濃度が極めて低くなっており、従って活性化ガスインジェクター220の外部からのN2ガスの流入が抑えられているが、カバー体221を設けない場合には、活性化ガスインジェクター220内にN2ガスが入り込んでしまっていることが分かる。
(実施例3−2)
上記の実施例3−1のシミュレーション条件において活性化用ガスの流量を5slmに変更して同様にシミュレーションを行った。
その結果、図40に示すように、カバー体221を設けることによって、活性化ガスインジェクター220付近におけるArガスの濃度が高くなり、またN2ガスの流入が抑えられることが分かった。以上の実施例3−1、3−2の結果から、活性化ガスインジェクター220内への外部からのガスの流入をおさえるためには、活性化用ガスの流量は2slm程度の少量で十分だということが分かった。
(実施例4)
次に、改質処理によりSiO2膜の膜質がどのように変わるか、また図41に示すシース管35a、35bとウェハWとの間の距離yを調整することにより改質処理の度合いがどの程度変化するかを確かめるための実験を行った。
実験には、表面にSiO2膜を成膜した実験用ピースを用意して、シース管35a、35bの長さ方向中央における下方位置に実験用ピースを設置して、以下の条件で改質処理を行った。その後、これらのピースを沸酸水溶液に浸析し、SiO2膜のウェットエッチングレートを測定した。
(実験条件)
温度:室温
圧力(Pa(Torr)):240(1.8)
高周波の出力(W):200
活性化用のガス:Ar(300sccm)
処理時間:5分
シース管35a、35bと実験用ピースの表面との間の距離y(mm):6、9、12
(実験結果)
この結果を図42に示す。その結果、改質処理を行わない場合に比べて、改質処理を行うことによりエッチングレートが低下しており、従ってSiO2膜が緻密化していることが分かった。また、実験用ピースとシース管35a、35bとの間の距離yが狭くなっていく程、エッチングレートが更に低下していき、改質処理がより一層進行してSiO2膜が緻密化していることが分かった。また、膜厚方向のエッチングレートの変化から、ピースの表層に近い程SiO2膜の緻密化が進行していることが分かった。従って、この改質処理は、SiO2膜の表層に近い領域にて起こるため、既述のように成膜処理毎に行うことによって、膜厚方向に亘って緻密な膜が得られることが分かった。尚、この図42には、950℃にて熱処理を行って得られた熱酸化膜のエッチングレートについても併せて示しており、本発明では上記の距離yが狭くなっていく程、エッチングレートがこの熱酸化膜の特性に近づいて緻密な膜が得られることが分かった。
(実施例5)
次に、既述のシリコン酸化膜を成膜するための反応ガスとして、ジイソプロピルアミノシランガスを用いて行った実験について説明する。この実験では、図28〜図34に示した成膜装置を用いて、以下の表2に示す成膜条件において、成膜サイクルを行う度(回転テーブル2の回転毎)に改質処理を行ってシリコン酸化膜を成膜し、その時の成膜速度(デポレート)を計算した。尚、比較例として、改質処理を行わずにジイソプロピルアミノシランガス及び既述のBTBASガスを用いて夫々成膜した例について示す。また、実験には、直径が300mmのウェハWを用いた。以下の各実施例についても同様である。
(表2)
Figure 2010239103
この表2において、「高周波電力」として、電極36a、36bに供給される改質用の電力を示している。また、いずれの実験においても、ジイソプロピルアミノシランガスを用いる場合には、このジイソプロピルアミノシランガスの流量は275sccm、Oガスの濃度及び流量は夫々300g/Nm及び10slm、改質用ガス(Arガス/Oガス)の流量は5slm/0.1slmとした。尚、上記の比較例5−1は、BTBASガスを用いた場合に最も成膜速度が高くなる条件(BTBASガスの流量:200sccm)で行った実験である。
この実験の結果、図43に示すように、ジイソプロピルアミノシランガスを用いることによって、同じ成膜条件ではBTBASガスを用いる場合よりも成膜速度が向上していることが分かった。また、ジイソプロピルアミノシランガスを用いると、ガス流量及び処理圧力のいずれについてもBTBASガスのほぼ上限値よりも増やすことができ、その増加分に応じて成膜速度が速くなることが分かった。更に、ジイソプロピルアミノシランガスを用いて、成膜温度及び処理圧力を夫々350℃及び1.07kPa(8Torr)とした場合において、回転テーブル2の回転数が240rpmの時には、改質用の高周波電力の大きさの違いによって成膜速度に大きな変化が認められなかったが、回転テーブル2の回転数が30rpmの時には、改質用の高周波電力を大きくする程成膜速度が遅くなっており、最大(0W→400W)で約25.6%もの減少が確認された。
このことから、回転テーブル2の回転数を遅くすることによって、ウェハWが改質処理を受ける時間(活性化ガスインジェクター220の下方領域における滞留時間)が長くなるので、シリコン酸化膜の改質の効果が顕在化することが分かった。また、改質処理により、成膜速度の低下つまりシリコン酸化膜の収縮(緻密化)が起こることが分かった。この時、成膜温度を高くする程、また処理圧力を低くする程、シリコン酸化膜の収縮量が多くなっていた。以上の結果から、活性化ガスインジェクター220の下方領域におけるウェハWの滞留時間を長く、また活性化用ガスから生じるイオンの生成量や反応性を高めることによって、改質の度合いが強まることが分かった。
(実施例6)
次に、実施例5と同様に、以下の表3の成膜条件において成膜したシリコン酸化膜について、1重量%の希沸酸水溶液に浸析してウェットエッチングレートを求めた。
(表3)
Figure 2010239103
この実験結果を図44に示す。尚、参考例6−1、6−2として、夫々950℃の処理温度にて得られた熱酸化膜及び780℃にてジクロロシランガスとHigh Temp. Oxide(NO)とを用いたCVD法により成膜したシリコン酸化膜についての結果を示す。また、この図44では、参考例6−1の熱酸化膜について得られた値を1として各々の結果を規格化した値を示している。
その結果、ジイソプロピルアミノシランガスを用いて成膜処理を行い、その後改質処理を行うことによってウェットエッチングレートの耐性が向上し、成膜条件によっては理想的な特性を持つ熱酸化膜に極めて近い結果が得られることが分かった。また、別途行った実験により、既述のBTBASガスを用いてシリコン酸化膜を成膜して改質処理を行わなかった場合には、熱酸化膜に対して5倍以内のウェットエッチングレートの耐性を持たせるためには800℃程度以上の熱処理に相当するエネルギーが必要だということが分かっており、そのためジイソプロピルアミノシランガスを用いて成膜サイクル毎に改質処理を行う手法が極めて有効なものと言える。
この時、既述の実施例5において成膜速度(収縮量)について得られた結果と同様に、活性化ガスインジェクター220の下方領域におけるウェハWの滞留時間を長く、また活性化用ガスから生じるイオンの生成量や反応性を高めるように処理条件を調整することによって、ウェットエッチングレートの耐性が向上することが分かった。
一方、改質処理を行わない場合において、ジイソプロピルアミノシランガスを用いることによって、ウェットエッチングレートがBTBASガスを用いた結果よりも僅かに大きくなっているが、これはBTBASガスを用いて成膜したシリコン酸化膜には不純物として窒素が含まれているため、理想的な組成のシリコン酸化膜よりもウェットエッチング耐性が増しているためだと考えられる。つまり、ジイソプロピルアミノシランガスを用いた場合には、BTBASガスを用いる場合よりも、改質処理前であっても膜中の窒素濃度が減少すると言える。
(実施例7)
続いて、実施例5と同様にジイソプロピルアミノシランガスを用いてシリコン酸化膜を形成した後、窒素雰囲気中において850℃のアニール処理を行ってシリコン酸化膜の膜厚がどの程度収縮(シュリンク)するか確認する実験を行った。各実施例7−1〜7−6及び比較例7−1〜7−7の成膜条件については、実施例6−1〜6−6及び比較例6−1〜6−7と夫々同じ条件で成膜を行った。また、参考例7−1として、既述のCVD法により成膜したシリコン酸化膜についても結果を併記する。
その結果、図45に示すように、ジイソプロピルアミノシランガスを用いて成膜処理及び改質処理を行ったシリコン酸化膜については、改質処理を行わないシリコン酸化膜と比較して、アニール処理による収縮率が小さくなっていた。この時、処理圧力、回転テーブル2の回転数及び成膜温度が夫々0.5kPa(4Torr)、20rpm及び350℃の条件で成膜したシリコン酸化膜については、アニール処理によっても収縮しなかった。そのため、改質処理を行うことによって緻密な薄膜を形成することができると共に、アニール処理を行う時におけるパターン倒れを抑制できることが分かった。この実施例7で得られた収縮率においても、成膜温度、回転テーブル2の回転数、処理圧力について、上記の実施例5、6の結果と同様の傾向が見られた。また、別途行った実験により、BTBASガスを用いて成膜して改質処理を行わなかった場合には、収縮率を5%以内にするためには500℃以上の成膜温度において成膜する必要があったため、ジイソプロピルアミノシランガスを用いると共に改質処理を行うことによって、緻密な薄膜が低温で得られることが分かった。
(実施例8)
実施例5と同様に、ジイソプロピルアミノシランガスを用いて成膜や改質を行ったシリコン酸化膜について、成膜後の膜中に含まれる水分(Si−OH及びOH基(HO))を確認する実験を行った。成膜条件は以下の表4の通りであり、処理圧力は1.07kPa(8Torr)、成膜温度は350℃とした。尚、シリコン酸化膜中の水分の測定には、FT−IR(フーリエ変換赤外分光法)を用いた。
(表4)
Figure 2010239103
この結果、図46に示すように、改質処理を行うことによってシリコン酸化膜中の水分が減少し、更に回転テーブル2の回転数を遅くすることによって一層低くなっていた。
(実施例9)
次に、回転テーブル2の回転数を30rpmに固定すると共に、以下の表5のように高周波電力及び処理圧力を調整して、350℃の成膜温度においてジイソプロピルアミノシランガスを用いてシリコン酸化膜を成膜し、上記の実施例8と同様の実験を行った。
(表5)
Figure 2010239103
その結果、図47に示すように、改質処理によりシリコン酸化膜中の水分が減少しており、また処理圧力が低くなる程膜中の水分が減少していた。
(実施例10)
回転テーブル2の回転数を30rpmに固定すると共に、以下の表6の成膜条件においてジイソプロピルアミノシランガスを用いて成膜したシリコン酸化膜について、成膜処理後にアニール処理(アニール温度:850℃、アニール時間:10分)を行い、既述の実施例8、9と同様に膜中の水分の測定を行った。
(表6)
Figure 2010239103
その結果、図48に示すように、改質処理の後にアニール処理を行うことにより、膜中の水分は検出下限以下となっていた。一方、改質処理を行わない場合であってもアニール処理により膜中の水分が減少していたが、その減少量は改質処理を行ったシリコン酸化膜に対してアニール処理を行う場合よりも小さかった。従って、改質処理を行うことにより、その後のアニール処理により膜中の水分が抜け出て行きやすい状態となっていることが分かった。
(実施例11)
次に、ジイソプロピルアミノシランガスを用いて実施例9と同じ成膜条件において成膜処理及び改質処理を行ったシリコン酸化膜について、シリコン酸化膜の比重と膜中のシリコンの量に対する水素及び酸素の夫々の量の割合とをRBS/HFS(ラザフォード後方散乱法/水素前方散乱法)により測定した。
図49に示すように、上記の実施例8〜10と同様に、改質処理により膜中の水素や酸素の量が減少し、比重が増加することが分かった。また、処理圧力が低くなると、改質処理の効果が大きくなっていた。尚、比較例1−1については、測定中にシリコン酸化膜からの水素の脱離が確認されたため、実際には測定結果よりも多く水素が含まれていたと考えられる。
(実施例12)
ジイソプロピルアミノシランガスを用いて以下の表7の条件において成膜したシリコン酸化膜について、SIMS(二次イオン質量分析法)を用いて膜厚方向において50nmの深さに亘って不純物の濃度を測定した。尚、成膜温度は350℃、回転テーブル2の回転数は30rpmとした。
(表7)
Figure 2010239103
その結果、図50に示すように、改質処理を行うことにより膜中の水素及び窒素の含有量が低下していた。
(実施例13)
上記の実施例12と同様の実験を以下の表8に示す成膜条件で成膜したシリコン酸化膜について行った。成膜温度は350℃、処理圧力は0.5kPa(4Torr)、回転テーブル2の回転数は30rpm、アニール処理は850℃、10分とした。
(表8)
Figure 2010239103
この実験の結果、図51に示すように、改質処理とアニール処理とを行うことによって、シリコン酸化膜中の水素の量が減少することが分かった。
(実施例14)
次に、ウェハWの表面にアスペクト比(=30)の極めて大きな凹部(開口部)を含むパターン(開口深さ:10μm、開口幅:0.3μm)を形成し、このウェハWに対してジイソプロピルアミノシランガスにより薄膜の埋め込み特性を確認する実験を行った。そして、ウェハW上に成膜された薄膜の膜厚について、ウェハWの表面における凹部以外の部位の膜厚に対する凹部の側壁面における膜厚の割合(膜厚比:R=側壁面の膜厚÷凹部以外の部位の膜厚)を計算して、凹部への埋め込み特性の評価の指標として用いた。この時の実験条件を以下の表9に示す。尚、成膜温度は350℃、処理圧力は0.5kPa(4Torr)、ジイソプロピルアミノシランガスの流量は275sccm、Oガスの濃度及び流量は夫々300g/Nm、10000sccmとした。
(表9)
Figure 2010239103
これらの結果について、得られたSEM(Scanning Electron Microscope)画像を読み取って模式的に図52に示すと、改質処理を行うことにより、更に回転テーブル2の回転数を遅くすることにより、凹部から薄膜が埋め込まれていき、自己選択的な埋め込み特性が得られることが分かった。
(実施例15)
続いて、以下の表10に示す条件においてジイソプロピルアミノシランガスによりシリコン酸化膜を成膜し、リーク電流がどの程度となるか確認する実験を行った。実験には、水銀プローブ法を用いてネガティブバイアス電圧をシリコン酸化膜に印加して、当該シリコン酸化膜を通過した電流密度を測定した。そのため、電流密度が小さい程、リーク電流が小さいと言える。尚、処理圧力を1.07kPa(8Torr)、ジイソプロピルアミノシランガスの流量を275sccm、Oガスの濃度及び流量を夫々300g/Nm及び10000sccm、改質処理用のガス(Ar/O)の流量を5slm/0.1slcm、回転テーブル2の回転数を240rpmとして成膜を行った。
(表10)
Figure 2010239103
図53に示すように、改質処理によりリーク電流が小さくなり、また成膜温度が高い程リーク電流が減少していた。
(実施例16)
表11の条件で成膜したシリコン酸化膜について、上記の実施例15と同様の実験を行った。成膜温度は350℃、回転テーブル2の回転数は30rpmとして、それ以外の条件については実施例15と同じ条件で成膜した。
(表11)
Figure 2010239103
その結果、図54に示すように、改質処理用の高周波電力を高くする程リーク電流が減少していた。
(実施例17)
上記の実施例15、16と同様に、以下の表12の条件で成膜したシリコン酸化膜についてリーク電流を測定した。高周波電力を400Wとした以外は実施例16と同じ条件で成膜した。
(表12)
Figure 2010239103
その結果、図55に示すように、回転テーブル2の回転数が遅くなる程、リーク電流が減少していた。
(実施例18)
上記の各実施例15〜17と同様に、以下の表13の条件で成膜したシリコン酸化膜についてリーク電流を測定した。回転テーブル2の回転数を30rpmとした以外は実施例17と同じ条件で成膜した。
(表13)
Figure 2010239103
その結果、図56に示すように、処理圧力が低いほどリーク電流が減少していた。尚、1.07kPa(8Torr)で成膜したシリコン酸化膜について得られた特性は、既述のBTBASガスを用いて350℃の成膜温度で成膜し、その後850℃のアニール処理を行ったシリコン酸化膜と同程度の値を示していた。従って、ジイソプロピルアミノシランガスを用いると共に改質処理を行うことにより、BTBASガスを用いた場合よりも良好なリーク電流が低い成膜温度で得られることが分かった。
図示や詳細の説明については省略するが、別途行った実験により、ジイソプロピルアミノシランガスを用いることによって、サイクルレート(回転テーブル2の回転毎に成膜されるシリコン酸化膜の膜厚)及びウェハW内のシリコン酸化膜の面内均一性のいずれについても、BTBASガスを用いるよりも向上することが分かった。サイクルレートについては、バッチ式の反応炉を用いた実験の結果、ジイソプロピルアミノシランガスではBTBASガスの1.34倍となっていた。また、ジイソプロピルアミノシランガスでは、成膜温度を350℃〜500℃の間で変化させても成膜速度がほとんど変わらないことが確認されたため、ジイソプロピルアミノシランガスはこの温度範囲では安定で熱分解が抑えられて、ALD法による良好な成膜が行われることが分かった。従って、例えばOガスにより酸化される前におけるジイソプロピルアミノシランガスの熱分解が抑えられることが分かった。
W ウエハ
1 真空容器
2 回転テーブル
4 凸状部
30 加熱ヒータ
31 第1の反応ガスノズル
32、32a〜32d
活性化ガスインジェクター
322 ガス導入室、ガス導入用流路
323 ガス活性化室、ガス活性化用流路
33 ガス吐出孔
34 ガス導入ノズル
35a、35b
シース管
36a、36b
電極
41、42 分離ガスノズル

Claims (20)

  1. 隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
    前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
    前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
    前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
    前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備えたことを特徴とする活性化ガスインジェクター。
  2. 前記一対の電極の各々はセラミックスにより覆われていることを特徴とする請求項1に記載の活性化ガスインジェクター。
  3. 前記ガス導入用流路内にて前記隔壁に沿って設けられ、長さ方向にガス孔が穿設されると共に前記ガス導入ポートが基端側に形成されたガス導入ノズルを備えたことを特徴とする請求項1または2に記載の活性化ガスインジェクター。
  4. 隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
    前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
    前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
    前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
    前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備えたことを特徴とする活性化ガスインジェクター。
  5. 真空容器内に設けられた回転テーブルと、
    この回転テーブルに基板を載置するために設けられた基板載置領域と、
    この基板載置領域に載置された基板に活性化されたガスを供給して成膜を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた請求項1ないし4のいずれか一つに記載された活性化ガスインジェクターと、を備えたことを特徴とする成膜装置。
  6. 真空容器内に設けられた回転テーブルと、
    この回転テーブルに基板を載置するために設けられた基板載置領域と、
    この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた請求項1ないし4のいずれか一つに記載された活性化ガスインジェクターと、を備えたことを特徴とする成膜装置。
  7. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
    前記真空容器内に設けられた回転テーブルと、
    この回転テーブルに基板を載置するために設けられた基板載置領域と、
    前記回転テーブルの回転方向に互いに離れて設けられ、前記回転テーブルにおける基板の載置領域側の面に夫々第1の反応ガスを供給するための第1のガス供給手段及び、請求項1ないし4のいずれか一つに記載の活性化ガスインジェクターからなり、第2の反応ガスを供給するための第2の反応ガス供給手段と、
    前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
    前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
    前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備えたことを特徴とする成膜装置。
  8. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
    前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
    前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
    前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
    前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する請求項1ないし4のいずれか一つに記載の活性化ガスインジェクターと、
    前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
    前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
    前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備えたことを特徴とする成膜装置。
  9. 前記活性化ガスインジェクターのガス吐出口は、前記基板載置領域に載置された基板の表面から1mm以上、10mm以下の高さ位置に設けられていることを特徴とする請求項5ないし8のいずれか一つに記載の成膜装置。
  10. 前記活性化ガスインジェクターの外面部は、ガス活性化用流路とガス導入用流路とを覆うカバー体として構成され、このカバー体の下端部は、当該カバー体内への外部からのガスの侵入を抑えるために、回転テーブルと平行にかつ隙間を介して外方に伸び出した気流規制部材として構成されていることを特徴とする請求項6または8に記載の成膜装置。
  11. 前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面との間の距離が調整できるように前記真空容器の側壁に上下自在に気密に取り付けられていることを特徴とする請求項6、8及び10のいずれか一つに記載の成膜装置。
  12. 前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面に対して前記回転テーブルの移動路と交差する方向に傾斜できるように前記真空容器の側壁に傾斜自在に気密に取り付けられていることを特徴とする請求項6、8、10及び11のいずれか一つに記載の成膜装置。
  13. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成する成膜装置において、
    前記真空容器内に設けられ、基板を載置するためのテーブルと、
    このテーブル上の基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
    前記テーブル上の基板に第2の反応ガスを供給するための第2の反応ガス供給手段と、
    前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化手段と、
    前記第1の反応ガス供給手段、第2の反応ガス供給手段及び活性化手段と前記テーブルとを相対的に回転させるための回転機構と、を備え、
    前記第1の反応ガス供給手段、第2の反応ガス供給手段及び活性化手段は、前記相対的な回転により基板が第1の反応ガス供給領域、第2の反応ガス供給領域及び活性化された処理ガスの供給領域の順番で位置するようにテーブルの周方向に沿って配置されていることを特徴とする成膜装置。
  14. 前記第1の反応ガス供給領域と第2の反応ガス供給領域との雰囲気を分離するために前記相対的な回転方向においてこれら領域の間に位置する分離領域と、
    前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
    前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間をテーブルとの間に形成するための天井面と、を備えたことを特徴とする請求項13記載の成膜装置。
  15. 前記活性化手段は、前記基板におけるテーブルの中心側の内縁部からテーブル外方側の外縁部に渡って伸び、その長さ方向にガス吐出口が形成された活性化ガスインジェクターを備えていることを特徴とする請求項13または14記載の成膜装置。
  16. 請求項1ないし4のいずれか一つに記載の活性化ガスインジェクターにより構成されていることを特徴とする請求項13ないし15のいずれか一項に記載の成膜装置。
  17. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜方法において、
    真空容器内に設けられた回転テーブルの基板載置領域に基板を載置して、この回転テーブルを鉛直軸回りに回転させる工程と、
    前記真空容器内を真空排気する工程と、
    次いで、第1の反応ガス供給手段から、前記基板の表面に第1の反応ガスを供給する工程と、
    続いて、前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられた第2の反応ガス供給手段から、前記基板の表面に第2の反応ガスを供給して、この第2の反応ガスと前記基板の表面上の第1の反応ガスの成分とを反応させて反応生成物を生成させる工程と、
    しかる後、前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられた請求項1ないし4のいずれか一つに記載の活性化ガスインジェクターから、前記基板の表面に活性化された処理ガスを供給して、前記基板の表面の反応生成物の改質を行う工程と、
    前記回転方向において前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との間に、処理領域の雰囲気同士を分離するために各々設けられた分離領域に分離ガスを各々供給する工程と、を含むことを特徴とする成膜方法。
  18. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜方法において、
    真空容器内に設けられた回転テーブルの基板載置領域に基板を載置して、この回転テーブルを鉛直軸回りに回転させる工程と、
    前記真空容器内を真空排気する工程と、
    次いで、第1の反応ガス供給手段から、前記基板の表面に第1の反応ガスを供給する工程と、
    続いて、前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられた第2の反応ガス供給手段から、前記基板の表面に第2の反応ガスを供給して、この第2の反応ガスと前記基板の表面に吸着した第1の反応ガスの成分とを反応させて反応生成物を生成させる工程と、
    しかる後、前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられた請求項1ないし3のいずれか一つに記載の活性化ガスインジェクターから、前記基板の表面にプラズマ化された処理ガスを供給して、前記基板の表面の反応生成物の改質を行う工程と、
    前記回転方向において前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との間に、処理領域の雰囲気同士を分離するために各々設けられた分離領域に分離ガスを各々供給する工程と、を含むことを特徴とする成膜方法。
  19. 前記改質を行う工程は、前記回転テーブルの毎回の回転の中で行う工程であることを特徴とする請求項17または18に記載の成膜方法。
  20. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成する成膜方法において、
    真空容器内のテーブルに基板をほぼ水平に載置する工程と、
    前記テーブル上の基板に第1の反応ガス供給手段から第1の反応ガスを供給する工程と、
    次いで前記テーブルと、第1の反応ガス供給手段と、を相対的に回転させて基板を第2の反応ガス供給領域に位置させ、第2の反応ガス供給手段から第2の反応ガスを基板に供給することにより、基板上に反応生成物を生成する工程と、
    その後、前記テーブルと、第1の反応ガス供給手段及び第2の反応ガス供給手段と、を相対的に回転させて基板を活性化領域に位置させ、活性化手段から前記基板に活性化された処理ガスを供給することにより、前記基板の表面の反応生成物の改質を行う工程と、
    前記相対的回転方向において前記第1の反応ガスが供給される領域と第2の反応ガスが供給される領域との間に、これら領域の雰囲気同士を分離するために各々設けられた分離領域に分離ガスを各々供給する工程と、を含むことを特徴とする成膜方法。
JP2009172948A 2008-08-29 2009-07-24 成膜装置 Active JP5423205B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2009172948A JP5423205B2 (ja) 2008-08-29 2009-07-24 成膜装置
US12/547,648 US9053909B2 (en) 2008-08-29 2009-08-26 Activated gas injector, film deposition apparatus, and film deposition method
TW98128929A TWI433252B (zh) 2008-08-29 2009-08-28 活化氣體噴射器、成膜裝置及成膜方法
KR1020090080671A KR101535682B1 (ko) 2008-08-29 2009-08-28 활성화 가스 인젝터, 성막 장치 및 성막 방법
CN201310016980.XA CN103088319B (zh) 2008-08-29 2009-08-31 成膜装置和成膜方法
CN200910169417.XA CN101660138B (zh) 2008-08-29 2009-08-31 活化气体注入装置、成膜装置和成膜方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2008222740 2008-08-29
JP2008222740 2008-08-29
JP2009061605 2009-03-13
JP2009061605 2009-03-13
JP2009172948A JP5423205B2 (ja) 2008-08-29 2009-07-24 成膜装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013046951A Division JP5549754B2 (ja) 2008-08-29 2013-03-08 成膜装置

Publications (2)

Publication Number Publication Date
JP2010239103A true JP2010239103A (ja) 2010-10-21
JP5423205B2 JP5423205B2 (ja) 2014-02-19

Family

ID=41725849

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009172948A Active JP5423205B2 (ja) 2008-08-29 2009-07-24 成膜装置

Country Status (5)

Country Link
US (1) US9053909B2 (ja)
JP (1) JP5423205B2 (ja)
KR (1) KR101535682B1 (ja)
CN (2) CN101660138B (ja)
TW (1) TWI433252B (ja)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013055243A (ja) * 2011-09-05 2013-03-21 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
WO2013122043A1 (ja) * 2012-02-14 2013-08-22 東京エレクトロン株式会社 成膜装置
JP2013165116A (ja) * 2012-02-09 2013-08-22 Tokyo Electron Ltd 成膜装置
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2014011357A (ja) * 2012-06-29 2014-01-20 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
WO2014017132A1 (ja) * 2012-07-25 2014-01-30 東京エレクトロン株式会社 成膜装置
JP2014123676A (ja) * 2012-12-21 2014-07-03 Tokyo Electron Ltd 成膜方法
JP2014135464A (ja) * 2012-06-15 2014-07-24 Tokyo Electron Ltd 成膜装置、基板処理装置及び成膜方法
JP2014165402A (ja) * 2013-02-26 2014-09-08 Tokyo Electron Ltd 窒化膜を形成する方法
JP2016028425A (ja) * 2011-01-13 2016-02-25 クックジェ エレクトリック コリア カンパニー リミテッド 半導体製造に使用される噴射部材、それを有するプラズマ処理装置、および半導体装置の製造方法
JP5883154B2 (ja) * 2012-10-11 2016-03-09 東京エレクトロン株式会社 成膜装置
KR20160035991A (ko) * 2014-09-24 2016-04-01 램 리써치 코포레이션 Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
JP2016086152A (ja) * 2014-03-27 2016-05-19 Sppテクノロジーズ株式会社 シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置
KR20170077047A (ko) * 2015-12-25 2017-07-05 도쿄엘렉트론가부시키가이샤 보호막 형성 방법
JP2017135313A (ja) * 2016-01-29 2017-08-03 東京エレクトロン株式会社 成膜方法
KR20180020093A (ko) 2016-08-17 2018-02-27 도쿄엘렉트론가부시키가이샤 성막 장치, 성막 방법 및 기억 매체
JP2018056300A (ja) * 2016-09-28 2018-04-05 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2018080399A (ja) * 2012-06-29 2018-05-24 ジュスン エンジニアリング カンパニー リミテッド 基板処理装置及び基板処理方法
JP2018081964A (ja) * 2016-11-14 2018-05-24 東京エレクトロン株式会社 成膜装置
JP2018186178A (ja) * 2017-04-25 2018-11-22 東京エレクトロン株式会社 成膜方法
JP2019192763A (ja) * 2018-04-24 2019-10-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US10519550B2 (en) 2015-12-24 2019-12-31 Tokyo Electron Limited Film formation apparatus
US10550467B2 (en) 2015-12-24 2020-02-04 Tokyo Electron Limited Film formation apparatus
JP2020021888A (ja) * 2018-08-02 2020-02-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2020175427A1 (ja) * 2019-02-27 2020-09-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10796902B2 (en) 2016-05-23 2020-10-06 Tokyo Electron Limited Film deposition method
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
WO2021059486A1 (ja) * 2019-09-27 2021-04-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5460375B2 (ja) * 2010-02-22 2014-04-02 株式会社東芝 磁気抵抗効果素子の製造方法
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
CN102237246B (zh) * 2010-04-26 2013-06-05 北京北方微电子基地设备工艺研究中心有限责任公司 一种排气板及等离子体处理设备
CN102939659B (zh) 2010-06-11 2016-08-17 株式会社半导体能源研究所 半导体器件及半导体器件的制造方法
JP5787284B2 (ja) * 2010-06-30 2015-09-30 国立大学法人名古屋大学 反応種供給装置および表面等処理装置
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
JP5635378B2 (ja) 2010-11-30 2014-12-03 日東電工株式会社 半導体ウエハ搬送方法および半導体ウエハ搬送装置
JP5839804B2 (ja) * 2011-01-25 2016-01-06 国立大学法人東北大学 半導体装置の製造方法、および半導体装置
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
JP5963193B2 (ja) 2011-07-29 2016-08-03 日東電工株式会社 積層体の製造方法
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
CN103824801A (zh) * 2012-11-16 2014-05-28 光达光电设备科技(嘉兴)有限公司 Led外延片反应腔
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5692337B2 (ja) * 2013-11-25 2015-04-01 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6307316B2 (ja) * 2014-03-19 2018-04-04 株式会社日立国際電気 基板処理装置、及び半導体装置の製造方法
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
JP6086254B2 (ja) * 2014-09-19 2017-03-01 日新イオン機器株式会社 基板処理装置
KR102337807B1 (ko) * 2014-11-14 2021-12-09 삼성디스플레이 주식회사 박막 증착 장치
JP6320903B2 (ja) * 2014-11-19 2018-05-09 東京エレクトロン株式会社 ノズル及びこれを用いた基板処理装置
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
JP5968996B2 (ja) * 2014-12-18 2016-08-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6345104B2 (ja) * 2014-12-24 2018-06-20 東京エレクトロン株式会社 成膜方法
JP6297509B2 (ja) * 2015-01-26 2018-03-20 東京エレクトロン株式会社 基板処理装置
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10640870B2 (en) * 2016-04-25 2020-05-05 Applied Materials, Inc. Gas feedthrough assembly
US10260149B2 (en) 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
WO2018003002A1 (ja) * 2016-06-28 2018-01-04 東芝三菱電機産業システム株式会社 活性ガス生成装置及び成膜処理装置
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6698509B2 (ja) * 2016-12-14 2020-05-27 株式会社神戸製鋼所 ターゲット用シャッタ機構およびそれを備えた成膜装置
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
KR101905822B1 (ko) 2017-03-21 2018-10-08 주식회사 유진테크 기판 처리장치
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
CN107523808B (zh) * 2017-08-23 2019-05-10 江苏菲沃泰纳米科技有限公司 一种有机硅纳米防护涂层的制备方法
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
US11114287B2 (en) * 2018-06-14 2021-09-07 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
CN112334599B (zh) * 2018-06-25 2023-09-29 东芝三菱电机产业系统株式会社 活性气体生成装置及成膜处理装置
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
DE112019000174B4 (de) * 2019-02-13 2024-02-01 Toshiba Mitsubishi-Electric Industrial Systems Corporation Aktivgas-Erzeugungsvorrichtung
KR102358843B1 (ko) * 2019-12-19 2022-02-08 한국과학기술연구원 연속식 카본나노튜브의 제조장치
KR102312364B1 (ko) * 2019-12-24 2021-10-13 주식회사 테스 기판처리장치
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
RU199340U1 (ru) * 2020-02-03 2020-08-28 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Устройство поджига импульсных разрядников
US20210395883A1 (en) * 2020-06-22 2021-12-23 Tokyo Electron Limited System and Method for Thermally Cracking Ammonia
CN114351116A (zh) * 2020-10-13 2022-04-15 中国科学院微电子研究所 原子层沉积装置及原子层沉积方法
KR20220113468A (ko) * 2020-12-24 2022-08-12 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 활성 가스 생성 장치
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297818A (ja) * 2002-04-05 2003-10-17 Hitachi Kokusai Electric Inc 基板処埋装置
JP2007247066A (ja) * 2006-03-15 2007-09-27 Asm Japan Kk 回転サセプタを備える半導体処理装置
JP2008509547A (ja) * 2004-08-06 2008-03-27 アイクストロン、アーゲー 高いスループットのcvd装置及び方法

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4879970A (en) * 1987-04-21 1989-11-14 M&T Chemicals Inc. Coating hood for applying coating compound on containers
JPH03144664A (ja) 1989-10-31 1991-06-20 Mita Ind Co Ltd 自動原稿送り装置
US5095300A (en) * 1990-03-28 1992-03-10 Nec Electronics Inc. Device for sensing side positioning of wafers
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JP2677913B2 (ja) * 1991-05-13 1997-11-17 三菱電機株式会社 半導体製造装置のシール機構および半導体装置の製造方法
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
JP4790914B2 (ja) * 1999-05-13 2011-10-12 ヴィーコ・プロセス・イクウィップメント・インコーポレーテッド 基板上に材料をエピタキシャル成長させるための方法と装置
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6235656B1 (en) * 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP2002170823A (ja) * 2000-09-19 2002-06-14 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法並びにそれに使用されるカバー部材
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR100452318B1 (ko) * 2002-01-17 2004-10-12 삼성전자주식회사 압력조절시스템 및 이를 이용하는 압력조절방법
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US20060124058A1 (en) * 2002-11-11 2006-06-15 Hitachi Kokusai Electric Inc. Substrate processing device
JP4226597B2 (ja) * 2003-03-04 2009-02-18 株式会社日立国際電気 基板処理装置およびデバイスの製造方法
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
JP4480516B2 (ja) * 2004-08-23 2010-06-16 株式会社アルバック バリア膜の形成方法
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
KR100909750B1 (ko) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 디바이스의 제조 방법
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
SG136078A1 (en) * 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US8555808B2 (en) * 2006-05-01 2013-10-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP5310283B2 (ja) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP5195175B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5262452B2 (ja) * 2008-08-29 2013-08-14 東京エレクトロン株式会社 成膜装置及び基板処理装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5195676B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5173685B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラムおよびこれを記憶するコンピュータ可読記憶媒体
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5280964B2 (ja) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US7964858B2 (en) * 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010129666A (ja) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) * 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5068780B2 (ja) * 2009-03-04 2012-11-07 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5093162B2 (ja) * 2009-03-12 2012-12-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5524139B2 (ja) * 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297818A (ja) * 2002-04-05 2003-10-17 Hitachi Kokusai Electric Inc 基板処埋装置
JP2008509547A (ja) * 2004-08-06 2008-03-27 アイクストロン、アーゲー 高いスループットのcvd装置及び方法
JP2007247066A (ja) * 2006-03-15 2007-09-27 Asm Japan Kk 回転サセプタを備える半導体処理装置

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016028425A (ja) * 2011-01-13 2016-02-25 クックジェ エレクトリック コリア カンパニー リミテッド 半導体製造に使用される噴射部材、それを有するプラズマ処理装置、および半導体装置の製造方法
JP2013055243A (ja) * 2011-09-05 2013-03-21 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2013165116A (ja) * 2012-02-09 2013-08-22 Tokyo Electron Ltd 成膜装置
WO2013122043A1 (ja) * 2012-02-14 2013-08-22 東京エレクトロン株式会社 成膜装置
JP2013168437A (ja) * 2012-02-14 2013-08-29 Tokyo Electron Ltd 成膜装置
US10513777B2 (en) 2012-02-14 2019-12-24 Tokyo Electron Limited Film formation device
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JPWO2013137115A1 (ja) * 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2014135464A (ja) * 2012-06-15 2014-07-24 Tokyo Electron Ltd 成膜装置、基板処理装置及び成膜方法
US10233542B2 (en) 2012-06-29 2019-03-19 Jusung Engineering Co., Ltd. Apparatus for treating substrate and method for treating substrate
JP2014011357A (ja) * 2012-06-29 2014-01-20 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2018080399A (ja) * 2012-06-29 2018-05-24 ジュスン エンジニアリング カンパニー リミテッド 基板処理装置及び基板処理方法
JP2014027052A (ja) * 2012-07-25 2014-02-06 Tokyo Electron Ltd 成膜装置
WO2014017132A1 (ja) * 2012-07-25 2014-01-30 東京エレクトロン株式会社 成膜装置
US10145014B2 (en) 2012-07-25 2018-12-04 Tokyo Electron Limited Film forming apparatus
TWI547994B (zh) * 2012-07-25 2016-09-01 Tokyo Electron Ltd Film forming device
KR101680493B1 (ko) 2012-07-25 2016-11-28 도쿄엘렉트론가부시키가이샤 성막 장치
JP5883154B2 (ja) * 2012-10-11 2016-03-09 東京エレクトロン株式会社 成膜装置
JP2014123676A (ja) * 2012-12-21 2014-07-03 Tokyo Electron Ltd 成膜方法
JP2014165402A (ja) * 2013-02-26 2014-09-08 Tokyo Electron Ltd 窒化膜を形成する方法
JP2016086152A (ja) * 2014-03-27 2016-05-19 Sppテクノロジーズ株式会社 シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置
KR102538780B1 (ko) 2014-09-24 2023-05-31 램 리써치 코포레이션 Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
KR20160035991A (ko) * 2014-09-24 2016-04-01 램 리써치 코포레이션 Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
JP2016066794A (ja) * 2014-09-24 2016-04-28 ラム リサーチ コーポレーションLam Research Corporation Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10550467B2 (en) 2015-12-24 2020-02-04 Tokyo Electron Limited Film formation apparatus
US10519550B2 (en) 2015-12-24 2019-12-31 Tokyo Electron Limited Film formation apparatus
KR20170077047A (ko) * 2015-12-25 2017-07-05 도쿄엘렉트론가부시키가이샤 보호막 형성 방법
KR102198727B1 (ko) 2015-12-25 2021-01-05 도쿄엘렉트론가부시키가이샤 보호막 형성 방법
JP2017120884A (ja) * 2015-12-25 2017-07-06 東京エレクトロン株式会社 保護膜形成方法
JP2017135313A (ja) * 2016-01-29 2017-08-03 東京エレクトロン株式会社 成膜方法
US10287675B2 (en) 2016-01-29 2019-05-14 Tokyo Electron Limited Film deposition method
KR20170091027A (ko) 2016-01-29 2017-08-08 도쿄엘렉트론가부시키가이샤 성막 방법
US10796902B2 (en) 2016-05-23 2020-10-06 Tokyo Electron Limited Film deposition method
KR20180020093A (ko) 2016-08-17 2018-02-27 도쿄엘렉트론가부시키가이샤 성막 장치, 성막 방법 및 기억 매체
KR102161875B1 (ko) 2016-08-17 2020-10-05 도쿄엘렉트론가부시키가이샤 성막 장치, 성막 방법 및 기억 매체
JP2018056300A (ja) * 2016-09-28 2018-04-05 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2018081964A (ja) * 2016-11-14 2018-05-24 東京エレクトロン株式会社 成膜装置
JP2018186178A (ja) * 2017-04-25 2018-11-22 東京エレクトロン株式会社 成膜方法
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP2019192763A (ja) * 2018-04-24 2019-10-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US11655539B2 (en) 2018-08-02 2023-05-23 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP7249744B2 (ja) 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2020021888A (ja) * 2018-08-02 2020-02-06 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7175375B2 (ja) 2019-02-27 2022-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム。
JPWO2020175427A1 (ja) * 2019-02-27 2021-12-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN113454762A (zh) * 2019-02-27 2021-09-28 株式会社国际电气 半导体装置的制造方法、基板处理装置和程序
WO2020175427A1 (ja) * 2019-02-27 2020-09-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2021059486A1 (ja) * 2019-09-27 2021-04-01
WO2021059486A1 (ja) * 2019-09-27 2021-04-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム

Also Published As

Publication number Publication date
CN101660138A (zh) 2010-03-03
TWI433252B (zh) 2014-04-01
CN103088319A (zh) 2013-05-08
CN103088319B (zh) 2015-05-13
KR101535682B1 (ko) 2015-07-09
US20100055347A1 (en) 2010-03-04
US9053909B2 (en) 2015-06-09
JP5423205B2 (ja) 2014-02-19
CN101660138B (zh) 2014-01-15
TW201029089A (en) 2010-08-01
KR20100027062A (ko) 2010-03-10

Similar Documents

Publication Publication Date Title
JP5423205B2 (ja) 成膜装置
JP5287592B2 (ja) 成膜装置
JP5131240B2 (ja) 成膜装置、成膜方法及び記憶媒体
KR101407112B1 (ko) 반도체 처리용 성막 장치
JP5423529B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5392069B2 (ja) 成膜装置
JP5310283B2 (ja) 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP5181100B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
KR101502205B1 (ko) 성막 장치 및 성막 방법
JP5327147B2 (ja) プラズマ処理装置
JP5375853B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5549754B2 (ja) 成膜装置
JP5447632B2 (ja) 基板処理装置
JP5692337B2 (ja) 成膜装置、成膜方法及び記憶媒体
US10573514B2 (en) Method of forming silicon-containing film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110608

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131029

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131111

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5423205

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250