JP2014027052A - 成膜装置 - Google Patents

成膜装置 Download PDF

Info

Publication number
JP2014027052A
JP2014027052A JP2012164830A JP2012164830A JP2014027052A JP 2014027052 A JP2014027052 A JP 2014027052A JP 2012164830 A JP2012164830 A JP 2012164830A JP 2012164830 A JP2012164830 A JP 2012164830A JP 2014027052 A JP2014027052 A JP 2014027052A
Authority
JP
Japan
Prior art keywords
region
gas
axis
waveguide
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012164830A
Other languages
English (en)
Other versions
JP5947138B2 (ja
Inventor
Toshihisa Nozawa
俊久 野沢
Masahide Iwasaki
征英 岩▲崎▼
Toshihiko Iwao
俊彦 岩尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012164830A priority Critical patent/JP5947138B2/ja
Priority to PCT/JP2013/061407 priority patent/WO2014017132A1/ja
Priority to KR1020157001975A priority patent/KR101680493B1/ko
Priority to US14/416,418 priority patent/US10145014B2/en
Priority to TW102126392A priority patent/TWI547994B/zh
Publication of JP2014027052A publication Critical patent/JP2014027052A/ja
Application granted granted Critical
Publication of JP5947138B2 publication Critical patent/JP5947138B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】マイクロ波を供給することにより処理容器内にプラズマを励起する、プラズマの発生位置の制御性に優れた成膜装置を提供する。
【解決手段】成膜装置10は、載置台14、処理容器12、ガス供給部16、及び、プラズマ生成部22を備えている。載置台14は、その複数の基板載置領域14aが周方向に移動するよう軸線Z中心に回転可能に設けられている。処理室Cは、載置台14を収容しており、第1の領域及び第2の領域R2を含む。載置台14の回転により軸線Zに対して周方向に移動する基板載置領域14aは、第1の領域及び第2の領域R2を順に通過する。プラズマ生成部22は、第2の領域R2の上方において導波路WGを画成する一以上の導波管70と、この導波管に接続されたマイクロ波発生器48と、一以上の導波管70の下側導体部に設けられた複数の開口を通って第2の領域R2まで延びだした誘電体製の複数の突出部72と、を含む。
【選択図】図1

Description

本発明の実施形態は、成膜装置に関するものである。
基板上に成膜を行う手法の一種として、プラズマ励起原子層堆積(PE−ALD:Plasma Enhanced Atomic Layer Deposition)法が知られている。PE−ALD法においては、基板を前駆体ガスに晒すことにより、基板上に形成しようとする薄膜の構成元素を含有する前駆体ガスを当該基板に化学吸着させる。次いで、基板をパージガスに晒すことにより、当該基板に過剰に化学吸着した前駆体ガスを除去する。そして、形成しようとする薄膜の構成元素を含有する反応ガスのプラズマに基板を晒すことにより、基板上に所望の薄膜を形成する。PE−ALD法では、このような工程が繰り返されることにより、前駆体ガスに含まれる原子又は分子の処理された膜が基板上に生成される。
このようなPE−ALD法を実施する装置としては、枚葉式の成膜装置とセミバッチ式の成膜装置が知られている。これら成膜装置のうちセミバッチ式の成膜装置は、複数の基板に同時に成膜を行うことができることから、枚葉式の成膜装置よりも、スループットに優れている。具体的には、セミバッチ式の成膜装置では、前駆体ガスを供給する領域と反応ガスのプラズマを生成する領域とが別個に処理室内に設けられており、複数の基板がこれら領域を順に通過するように移動する。このように、セミバッチ式の成膜装置は、前駆体ガスの供給と反応ガスのプラズマの生成を異なる領域において同時に行うことができるので、枚葉式の成膜装置に比べてスループットが高いという利点がある。
セミバッチ式の成膜装置としては、下記の特許文献1及び特許文献2に記載されたものが存在している。特許文献1に記載された成膜装置は、サセプタユニット及びガス噴射ユニットを備えている。サセプタユニットは、基板を支持するものであり、回転軸線中心に回転するよう構成されている。ガス噴射ユニットは、サセプタユニットに対面配置されており、前駆体ガスを供給する第1の領域、パージガスを供給するパージ領域、反応ガスのラジカルを供給する第2の領域、及び、パージガスを供給する別のパージ領域を含んでいる。第1の領域、パージ領域、第2の領域、及び別のパージ領域は周方向に配列されており、各領域間には径方向に延在する排気ラインが設けられている。
また、特許文献2に記載された成膜装置は、回転トレー、シャワーヘッド、及び、プラズマ源を備えている。回転トレーは、基板を支持するものであり、回転軸線中心に回転可能である。シャワーヘッド及びプラズマ源は、回転トレーに対面配置されており、周方向に配列されている。シャワーヘッドは、略扇形の平面形状を有しており、前駆体ガスを供給する。プラズマ源も、略扇形の平面形状を有しており、反応ガスを供給し、櫛形の電極から高周波電力を供給することで、反応ガスのプラズマを生成する。シャワーヘッドの周囲及びプラズマ源の周囲には、排気孔が設けられており、シャワーヘッドとプラズマ源との間には、パージガスを供給するシャワー板が設けられている。
特開2010−157736号公報 特開2011−222960号公報
ところで、プラズマの励起源として、近年、低電子温度且つ高密度のプラズマを発生させることができるマイクロ波が注目されてきている。マイクロ波をプラズマの励起源とする成膜装置では、一般的に、誘電体窓が処理室の上方に設けられ、当該誘電体窓の上方に導波管が設けられる構成が採用される。
一方、セミバッチ式の成膜装置では、基板が回転軸線中心に回転するので、当該回転軸線に対して放射方向に延在する領域においてプラズマを発生させる必要がある。しかしながら、マイクロ波をプラズマの励起源とする成膜装置の上記構成では、処理容器内の圧力が増加するにつれて、プラズマの発生位置が誘電体窓の下方の全領域のうち一部に局在化し、また、局在化したプラズマの発生位置の制御が困難になることがある。
したがって、本技術分野においては、マイクロ波を供給することにより処理容器内にプラズマを励起するセミバッチ式の成膜装置において、プラズマの発生位置の制御性を改善することが求められている。
本発明の一側面に係る成膜装置は、載置台、処理容器、ガス供給部、及び、プラズマ生成部を備えている。載置台は、複数の基板載置領域を有する。載置台は、複数の基板載置領域が周方向に移動するよう軸線中心に回転可能に設けられている。処理室は、載置台を収容する処理室を画成している。処理室は、第1の領域及び第2の領域を含む。載置台の回転により前記軸線に対して周方向に移動する基板載置領域は、第1の領域及び第2の領域を順に通過する。ガス供給部は、載置台に対面するように設けられた噴射部から第1の領域に前駆体ガスを供給する。プラズマ生成部は、載置台の上方且つ第2の領域の上方において導波路を画成する一以上の導波管と、当該一以上の導波管に接続されたマイクロ波発生器と、一以上の導波管の下側導体部に設けられた複数の開口を通って第2の領域まで延びだした誘電体製の複数の突出部と、を含む。複数の突出部は、前記軸線に対して放射方向に配列されている。
この成膜装置では、導波路を伝播し導波管から漏れ出すマイクロ波が、導波管の下側導体部の開口から第2の領域まで延びだした複数の突出部に集中する。したがって、プラズマの発生位置が複数の突出部の近傍に集中する。故に、この成膜装置は、プラズマの発生位置の制御性に優れている。また、複数の突出部は、載置台の回転中心である前記軸線に対して放射方向に配列されている。したがって、この成膜装置では、前記軸線に対して放射方向に延在する領域においてプラズマを発生させることができる。
一実施形態においては、成膜装置は、複数の突出部の導波管側の一端と当該導波管を介して対面するように設けられた複数のプランジャを更に備えていてもよく、当該複数のプランジャは、導波管からの距離を調整可能な反射板を有していてもよい。この実施形態によれば、プランジャの反射板の位置を調整することにより、導波管の導波路内における定在波のピークの位置を導波管の複数の開口の位置に対して相対的に調整することができる。これにより、放射方向に並んだ複数の突出部に漏れ出すマイクロ波のパワーを相対的に調整することができるので、前記軸線に対して放射方向におけるプラズマの密度分布を調整することが可能となる。ここで、セミバッチ式の成膜装置では、前記軸線からの距離が近い基板の領域に対して、当該軸線からの距離が遠い基板の領域の周速度は速くなる。したがって、前記軸線からの距離が大きくなるほどマイクロ波の強度が強くなるようにプランジャの反射板の位置を調整することにより、基板に対するプラズマ処理を均一化することが可能となり得る。
一実施形態においては、複数の突出部は、棒状の形状をしていてもよい。別の実施形態においては、複数の突出部は、前記軸線に直交する断面において弧状の形状を有していてもよい。また、一実施形態においては、複数の突出部は、前記軸線を中心とする複数の同心円に沿って更に配列されていてもよい。この実施形態によれば、前記軸線に対して周方向においてプラズマの発生領域を拡大することが可能である。
一実施形態においては、一以上の導波管は、前記複数の同心円のそれぞれと平行な複数の同心円に沿って延在する複数の導波管を含んでいてもよい。また、別の一実施形態では、一以上の導波管は、前記軸線に対して放射方向に延在していてもよい。
一実施形態においては、噴射部は、複数のガスシャワー部を有しており、複数のガスシャワー部はそれぞれ、前記軸線に対して互いに異なる距離の領域において、一以上の噴射口を提供しており、ガス供給部は、当該複数のガスシャワー部から噴射する前駆体ガスの流量を個別に調整可能に構成されていてもよい。この実施形態によれば、前記軸線からの距離が異なる領域から異なる流量の前駆体ガスを供給することができる。上述したように、セミバッチ式の成膜装置では、前記軸線からの距離が近い基板の領域に対して、当該軸線からの距離が遠い基板の領域の周速度は速くなる。したがって、前記軸線からの距離が近い領域から噴射する前駆体ガスの流量に対して、当該線からの距離が大きい領域から噴射する前駆体ガスの流量を多くすることにより、基板の全面を比較的均一に前駆体ガスに曝すことが可能となり得る。
以上説明したように、本発明の一側面及び実施形態によれば、マイクロ波を供給することにより処理容器内にプラズマを励起するセミバッチ式の成膜装置であって、プラズマの発生位置の制御性に優れた成膜装置が提供される。
一実施形態に係る成膜装置を概略的に示す断面図である。 一実施形態に係る成膜装置を概略的に示す上面図である。 図2に示す成膜装置から処理容器の上部を取り除いた状態を示す平面図である。 図1に示す成膜装置の拡大断面図であり、ガス供給部16、排気部18、及びガス供給部20の拡大断面図である。 図1に示す成膜装置のガス供給部16の噴射部、排気部18の排気口、及びガス供給部20の噴射口を示す平面図である。 ガス供給部16の噴射部、排気部18の排気口、及びガス供給部20の噴射口を画成する一実施形態に係るユニットの分解斜視図である。 図6に示すユニットを上方から見た平面図である。 図1に示す成膜装置の拡大断面図であり、プラズマ生成部を示す拡大断面図である。 別の実施形態に係る成膜装置を概略的に示す上面図である。 実験例に用いたプラズマ処理装置の構成を示す斜視図である。 実験例1のプラズマの発光状態の画像を示している。 実験例2のプラズマの発光状態の画像を示している。 シミュレーションによって求めた図10に示すプラズマ処理装置の電界強度の比を示す図である。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態に係る成膜装置の断面図である。図2は、一実施形態に係る成膜装置を概略的に示す上面図である。図1は、図2のI−I線に沿ってとった断面を示している。図3は、図2に示す成膜装置から処理容器の上部を取り除いた状態を示す平面図である。図1、図2、及び図3に示す成膜装置10は、処理容器12、載置台14、前駆体ガスを供給するガス供給部16、排気部18、パージガスを供給するガス供給部20、及びプラズマ生成部22を備えている。
処理容器12は、軸線Z方向に延在する略円筒状の容器である。処理容器12は、その内部に処理室Cを画成している。処理容器12は、例えば、内面に耐プラズマ処理(例えば、アルマイト処理又はYの溶射処理)が施されたアルミニウムといった金属から構成され得る。一実施形態においては、図1に示すように、処理容器12は、下部12a及び上部12bを含んでいる。下部12aは、上方に開口した筒形状を有しており、処理室Cを画成する側壁及び底壁を含んでいる。上部12bは、処理室Cを上方から画成する蓋体である。上部12bは、下部12aの上部開口を閉じるように下部12aの頂部に取り付けられている。これら下部12aと上部12bとの間には、処理室Cを密閉するための封止部材が設けられていてもよい。
処理容器12によって画成される処理室C内には、載置台14が設けられている。載置台14は、略円板形状を有している。載置台14は、軸線Z中心に回転可能に構成されている。一実施形態においては、載置台14は、駆動機構24によって軸線Z中心に回転駆動される。駆動機構24は、モータといった駆動装置24a及び回転軸24bを有し、処理容器12の下部12aに取り付けられている。回転軸24bは、軸線Zをその中心軸線として処理室C内まで延在しており、駆動装置24aからの駆動力により軸線Z中心に回転する。この回転軸24bには、載置台14の中央部分が支持されている。この構成により、載置台14は、軸線Z中心に回転し得る。なお、処理容器12の下部12aと駆動機構24との間には、処理室Cを封止するよう、Oリングといった封止部材が設けられていてもよい。
図1及び図3に示すように、載置台14の上面には、一以上の基板載置領域14aが設けられている。一実施形態においては、複数の基板載置領域14aが、軸線Zに対して周方向に配列されている。基板載置領域14aは、当該領域に載置される基板Wの直径と略同様、又は、基板Wの直径よりも若干大きな直径を有する凹部として構成されている。処理室C内において載置台14の下方には、基板載置領域14aに載置された基板Wを加熱するためのヒータ26が設けられている。基板Wは、処理容器12に設けられたゲートバルブGVを介してロボットアームといった搬送装置によって処理室Cに搬送され、基板載置領域14aに載置される。また、成膜装置10による処理後の基板Wは、搬送装置によってゲートバルブGVを介して処理室Cから取り出される。この処理室Cは、軸線Zに対して周方向に配列された第1の領域R1及び第2の領域R2を含んでいる。基板載置領域14aに載置された基板Wは、載置台14の回転に伴い第1の領域R1及び第2の領域R2を順に通過する。
以下、図2及び図3に加えて、図4及び図5を参照する。図4は、図1に示す成膜装置の拡大断面図であり、ガス供給部16、排気部18、及びガス供給部20の拡大断面図である。図5は、図1に示す成膜装置のガス供給部16の噴射部、排気部18の排気口、及びガス供給部20の噴射口を示す平面図であり、下方、即ち、ガス供給部16の噴射部、排気部18の排気口、及びガス供給部20の噴射口を見た平面図である。図2〜図4に示すように、第1の領域R1の上方には、載置台14の上面に対面するようガス供給部16の噴射部16aが設けられている。換言すると、処理室Cに含まれる領域のうち噴射部16aに対面する領域が第1の領域R1となる。
図4及び図5に示すように、噴射部16aには、複数の噴射口16hが形成されている。ガス供給部16は、これら複数の噴射口16hから第1の領域R1に前駆体ガスを供給する。前駆体ガスが第1の領域R1に供給されることにより、第1の領域R1を通過する基板Wの表面には、前駆体ガスが化学吸着する。この前駆体ガスとしては、例えば、DCS(ジクロロシラン)が例示される。
一実施形態においては、図5に示すように、噴射部16aを画定する縁部には、周方向から当該噴射部16aを画定する二つの縁部16eが含まれている。これら二つの縁部16eは、軸線Zに近づくにつれて互いに近づくように延在している。二つの縁部16eは、例えば、軸線Zに対して放射方向に延在し得る。即ち、噴射部16aは略扇型の平面形状の領域に設けられている。複数の噴射口16hは、これら二つの縁部16eの間にわたって設けられている。ここで、載置台14の回転に伴う基板W内の各位置の速度は、軸線Zからの距離により異なる。即ち、軸線Zから離れた位置ほど、その速度は早くなる。この実施形態では、軸線Zから離れた基板W内の位置ほど、より多くの噴射口16hに対面するように噴射部16aが構成されている。したがって、基板Wの各位置が前駆体ガスに晒される時間のバラツキが低減され得る。
また、一実施形態においては、噴射部16aは複数のガスシャワー部を含んでいる。これらガスシャワー部は、軸線Zから異なる距離の領域に設けられている。図2、図4、及び図5に示す実施形態では、噴射部16aは、二つのガスシャワー部16a1及び16a2を含んでおり、ガスシャワー部16a1は、ガスシャワー部16a2よりも軸線Zに近い領域に設けられている。即ち、噴射部16aは、軸線Zからの距離により2分割した領域に、ガスシャワー部16a1及び16a2をそれぞれ提供している。ガス供給部16は、後述するように、これらガスシャワー部16a1及び16a2から噴射する前駆体ガスの流量を個別に調整可能なように構成されている。
図4及び図5に示すように、噴射部16aの周囲には排気口18aが設けられており、排気部18は当該排気口18aから第1の領域R1の排気を行う。排気部18の排気口18aは、載置台14の上面に対面しており、図5に示すように、噴射部16aの外周を囲む閉路に沿って延在している。このように、成膜装置10では、幅狭の排気口18aが噴射部16aの周囲を囲んでいる。
また、図4及び図5に示すように、排気口18aの周囲にはガス供給部20の噴射口20aが設けられており、ガス供給部20は当該噴射口20aからパージガスを噴射する。ガス供給部20の噴射口20aは、載置台14の上面に対面しており、排気口18aの外周を囲む閉路に沿って延在している。ガス供給部20によって供給されるパージガスとしては、例えば、Nガスといった不活性ガスを用いることができる。このようなパージガスが基板Wに吹き付けられると、当該基板Wに過剰に化学吸着している前駆体ガスが基板から除去される。
成膜装置10では、排気口18aからの排気及び噴射口20aからのパージガスの噴射により、第1の領域R1に供給される前駆体ガスが第1の領域R1の外に漏れ出すことを抑制しており、また、第2の領域R2において後述するように供給される反応ガス又はそのラジカル等が第1の領域R1に侵入することを抑制している。即ち、排気部18及びガス供給部20は、第1の領域R1と第2の領域R2とを分離している。また、噴射口20a及び排気口18aは、噴射部16aの外周を取り囲む閉路に沿って延在する帯状の平面形状を有しているので、噴射口20a及び排気口18aのそれぞれの幅は狭くなっている。したがって、第2の領域R2が軸線Zに対して周方向に延在する角度範囲を確保しつつ、第1の領域R1と第2の領域R2との分離が実現される。一実施形態においては、第1の領域R1と第2の領域R2との間において延在している排気口18aの幅W2及び噴射口20aの幅W3(図5参照)は、基板載置領域14aの直径W1(図3参照)よりも小さくなっている。
一実施形態においては、成膜装置10は、かかる噴射部16a、排気口18a、及び噴射口20aを画成するユニットUを備え得る。このユニットUは、ガスシャワー部16a1及び16a2から噴射する前駆体ガスの流量を個別に調整可能なように構成されている。以下、図6及び図7も参照する。図6は、ガス供給部16の噴射部、排気部18の排気口、及びガス供給部20の噴射口を画成する一実施形態に係るユニットの分解斜視図である。図7は、図6に示すユニットを上方から見た平面図である。なお、図7にはユニットUの上面が示されており、図5には、ユニットUの下面が示されている。
図4〜図7に示すように、ユニットUは、第1の部材M1、第2の部材M2、第3の部材M3、及び第4の部材M4から構成されており、第1〜第4の部材M1〜M4が順に積み重ねられた構造を有している。ユニットUは、処理容器12の上部12bの下面に当接するよう処理容器12に取り付けられており、処理容器12の上部12bの下面と第1の部材M1との間には、封止部材30が設けられている。この封止部材30は、第1の部材M1の上面の外縁に沿って延在している。
第1〜第4の部材M1〜M4は、略扇型の平面形状を有している。第1の部材M1は、その下部側において、第2〜第4の部材M2〜M4が収められる凹部を画成している。また、第2の部材M2は、その下部側において、第3〜第4の部材M3〜M4が収められる凹部を画成している。第3の部材M3と第4の部材M4は略同様の平面サイズを有している。
ユニットUにおいては、第1〜第3の部材M1〜M3を貫通するガス供給ライン60a1が形成されている。ガス供給ライン60a1はその上端において、処理容器12の上部12bに設けられたガス供給ライン12p1と接続している。このガス供給ライン12p1には、弁16v1及びマスフローコントローラといった流量制御器16c1を介して、前駆体ガスのガス源16g1が接続されている。また、ガス供給ライン60a1の下端は、第3の部材M3と第4の部材M4との間に形成されたバッファ室60b1に接続している。このバッファ室60b1には、第4の部材M4に設けられたガスシャワー部16a1の複数の噴射口16hが接続している。
また、ユニットUにおいては、第1〜第3の部材M1〜M3を貫通するガス供給ライン60a2が形成されている。ガス供給ライン60a2はその上端において、処理容器12の上部12bに設けられたガス供給ライン12p2と接続している。このガス供給ライン12p2には、弁16v2及びマスフローコントローラといった流量制御器16c2を介して、前駆体ガスのガス源16g2が接続されている。また、ガス供給ライン60a2の下端は、第3の部材M3と第4の部材M4との間に形成されたバッファ室60b2に接続している。バッファ室60b2は、当該バッファ室60b2とバッファ室60b1との間に設けられた区画壁PWにより、バッファ室60b1から分離されている。このバッファ室60b2には、第4の部材M4に設けられたガスシャワー部16a2の複数の噴射口16hが接続している。
処理容器12の上部12bと第1の部材M1との間には、ガス供給ライン12p1とガス供給ライン60a1の接続部分を囲むように、Oリングといった封止部材32a1が設けられている。この封止部材32a1により、ガス供給ライン12p1とガス供給ライン60a1に供給された前駆体ガスが、処理容器12の上部12bと第1の部材M1の境界から漏れ出すことが防止され得る。また、第1の部材M1と第2の部材M2との間、及び、第2部材M2と第3の部材M3との間には、ガス供給ライン16p1を囲むようにOリングといった封止部材32b1、32c1がそれぞれ設けられている。封止部材32b1及び32c1により、ガス供給ライン60a1に供給された前駆体ガスが、第1の部材M1と第2の部材M2の境界、及び、第2部材M2と第3の部材M3の境界から漏れ出すことが防止され得る。
同様に、処理容器12の上部12bと第1の部材M1との間には、ガス供給ライン12p2とガス供給ライン60a2の接続部分を囲むように、封止部材32a2が設けられている。この封止部材32a2により、ガス供給ライン12p2とガス供給ライン60a2に供給された前駆体ガスが、処理容器12の上部12bと第1の部材M1の境界から漏れ出すことが防止され得る。また、第1の部材M1と第2の部材M2との間、及び、第2部材M2と第3の部材M3との間には、ガス供給ライン16p2を囲むように封止部材32b2、32c2がそれぞれ設けられている。封止部材32b2及び32c2により、ガス供給ライン60a2に供給された前駆体ガスが、第1の部材M1と第2の部材M2の境界、及び、第2部材M2と第3の部材M3の境界から漏れ出すことが防止され得る。
さらに、第3の部材M3と第4の部材M4との間には、バッファ室60b1及び60b2を囲むように封止部材32dが設けられている。封止部材32dにより、バッファ室60b1及び60b2に供給された前駆体ガスが、第3の部材M3と第4の部材M4の境界から漏れ出することが防止され得る。
このように、ユニットUでは、ガスシャワー部16a1に前駆体ガスを供給するためのガス供給ラインとガスシャワー部16a2に前駆体ガスを供給するためのガス供給ラインが分離されている。また、ガス供給部16は、ガスシャワー部16a1用の流量制御器16c1及びガスシャワー部16a2用の流量制御器16c2を含んでいる。したがって、ガス供給部16は、ガスシャワー部16a1及びガスシャワー部16a2から噴射する前駆体ガスの流量を個別に調整することが可能である。これにより、軸線Zから離れた基板W内の位置ほど、より多くの前駆体ガスに曝されるように、ガスシャワー部16a1からの前駆体ガスの流量に対してガスシャワー部16a2からの前駆体ガスの流量を多くすることが可能である。なお、ガスシャワー部16a1に前駆体ガスを供給するためのラインとガスシャワー部16a2に前駆体ガスを供給するためのラインをフロースプリッタを介して共通のガス源に接続してもよく、この場合には、ガスシャワー部16a1とガスシャワー部16a2に供給する前駆体ガスの分配比をフロースプリッタにより調整してもよい。
また、ユニットUにおいては、第1〜第2の部材M1〜M2を貫通する排気ライン18qが形成されている。排気ライン18qは、その上端において、処理容器12の上部12bに設けられた排気ライン12qと接続している。この排気ライン12qは、真空ポンプといった排気装置34に接続している。また、排気ライン18qは、その下端において、第2の部材M2の下面と第3の部材M3の上面との間に設けられた空間18dに接続している。また、上述したように第2の部材M2は、第3の部材M3及び第4の部材M4を収容する凹部を画成しており、当該凹部を画成する第2の部材M2の内側面と第3の部材M3及び第4の部材M4の側端面との間には、ギャップ18gが設けられている。空間18dはこのギャップ18gに接続しており、ギャップ18gの下端は上述した排気口18aとして機能する。
処理容器12の上部12bと第1の部材M1との間には、排気ライン18qと排気ライン12qの接続部分を囲むように、Oリングといった封止部材36aが設けられている。この封止部材36aにより、排気ライン18q及び排気ライン12q通る排気ガスが、処理容器12の上部12bと第1の部材M1の境界から漏れ出すことが防止され得る。また、第1の部材M1と第2の部材M2との間には、排気ライン18qを囲むように、Oリングといった封止部材36bが設けられている。この封止部材36bにより、排気ライン18qを通るガスが第1の部材M1と第2の部材M2の境界から漏れ出すことが防止され得る。
さらに、ユニットUにおいては、第1の部材M1を貫通するガス供給ライン20rが形成されている。ガス供給ライン20rは、その上端において、処理容器12の上部12bに設けられたガス供給ライン12rと接続している。ガス供給ライン12rには、弁20v及びマスフローコントローラといった流量制御器20cを介してパージガスのガス源20gが接続されている。また、ガス供給ライン20rの下端は、第1の部材M1の下面と第2の部材M2の上面との間に設けられた空間20dに接続している。また、上述したように第1の部材M1は、第2〜第4の部材M2〜M4を収容する凹部を画成しており、当該凹部を画成する第1の部材M1の内側面と第2の部材M2の側面との間にはギャップ20pが設けられている。このギャップ20pは空間20dに接続している。また、このギャップ20pの下端は、ガス供給部20の噴射口20aとして機能する。
処理容器12の上部12bと第1の部材M1との間には、ガス供給ライン12rとガス供給ライン20rの接続部分を囲むように、Oリングといった封止部材38が設けられている。この封止部材38により、ガス供給ライン20r及びガス供給ライン12rを通るパージガスが上部12bと第1の部材M1の境界から漏れ出すことが防止される。
以下、図1〜図3を再び参照し、更に図8も参照する。図8は、図1に示す成膜装置の拡大断面図であり、プラズマ生成部を示す拡大断面図である。図1〜図3及び図8に示すように、成膜装置10は、プラズマ生成部22を備えている。プラズマ生成部22は、第2の領域R2に反応ガスを供給し、当該第2の領域R2にマイクロ波を供給することにより、第2の領域R2において反応ガスのプラズマを生成する。一実施形態では、第2の領域R2においては、基板Wに化学吸着された前駆体ガスを窒化させることができる。基板Wに堆積された膜を窒化させる場合には、反応ガスとして、例えば、Nガス又はNHガスを用いることができる。
プラズマ生成部22は、第2の領域R2にマイクロ波を供給するための一以上の導波管70を有し得る。図1〜図3に示す実施形態においては、プラズマ生成部22は、軸線Zに対して放射方向に延在する五つの導波管70を有している。これら導波管70は、矩形導波管であり、処理容器12の上部12b上に搭載されており、軸線Zに対して放射方向に延在する導波路WGを画成している。また、これら導波管70は、軸線Zに対して周方向に配列されている。導波管70のそれぞれには、マイクロ波発生器48が接続されている。マイクロ波発生器48は、例えば、約2.45GHzのマイクロ波を発生して、当該マイクロ波を導波管70に供給する。
導波管70の各々は、導波路WGを下方から画成する下側導体部70aを含んでいる。下側導体部70aは、処理容器12の上部12bの上面に接している。下側導体部70a及び処理容器の上部12bには、これら下側導体部70a及び処理容器の上部12bを軸線Z方向に貫通する複数の開口70hが形成されている。これら複数の開口70hは、軸線Zに対して放射方向に配列されている。また、一実施形態においては、これら開口は、軸線Zを中心とする複数の同心円(図中、参照符号CC1、CC2及びCC3で示す)に沿って配列されている。これら複数の開口70hには、誘電体製の複数の突出部72が通されている。
突出部72は、例えば、石英により構成され得る。本実施形態では、複数の突出部72は、軸線Z方向に延びる棒状、即ち、円柱状の形状を有している。複数の突出部72の各々の一端は、対応の導波路WG内に位置しており、また、その他端は、第2の領域R2まで突き出している。上述したように、複数の開口70hは、軸線Zに対して放射方向に配列されているので、これら開口70hを通る複数の突出部72は、軸線Zに対して放射方向に整列している。また、一実施形態においては、図2及び図3に示すように、複数の導波管70に設けられた開口70hを通る複数の突出部72は、軸線Zを中心とする複数の同心円(図中、参照符号CC1、CC2及びCC3で示す)に沿って配列されている。
さらに、一実施形態においては、プラズマ生成部22は、複数のプランジャ74を有している。なお、図2においては、プランジャ74は省略されている。プランジャ74の各々は、反射板74a及び位置調整機構74bを有している。複数のプランジャ74は、複数の突出部72の上端と対面するように、設けられている。具体的には、複数のプランジャの各々は、その反射板74aが導波管70を介して複数の突出部72の上端と対峙するように、当該導波管70に取り付けられている。プランジャ74の各々の位置調整機構74bは、反射板74aの導波路WGからの軸線Z方向の距離を調整する機能を有している。
また、プラズマ生成部22は、ガス供給部76を含んでいる。ガス供給部76は、反応ガスを第2の領域R2に供給する。この反応ガスは、上述したように基板Wに化学吸着したSiを含有する前駆体ガスを窒化させる場合には、例えば、Nガス又はNHガスであり得る。一実施形態においては、ガス供給部76は、ガス供給ライン76a及び噴射口76bを含み得る。ガス供給ライン50aは、例えば、軸線Zに交差する面内において第2の領域R2を囲むように処理容器12の上部12bに形成されている。また、処理容器12の上部12bには、ガス供給ライン76aに接続された噴射口76bが形成されている。一実施形態においては、複数の噴射口76bが上部12bに設けられていてもよい。また、ガス供給ライン76aには、弁76v及びマスフローコントローラといった流量制御器76cを介して、反応ガスのガス源76gが接続されている。また、処理容器12の下部12aには、図3に示すように、載置台14の外縁の下方において排気口22eが形成されている。この排気口22eには、図8に示す排気装置52が接続している。
このように構成されたプラズマ生成部22によれば、ガス供給部76によって第2の領域R2に反応ガスが供給される。また、マイクロ波発生器48によって発生されたマイクロ波が、複数の導波管70を伝播して複数の突出部72から第2の領域R2に漏れ出す。これにより、第2の領域R2において反応ガスのプラズマが生成され、基板W上に化学吸着した前駆体ガスが反応ガスのプラズマにより処理される。
この成膜装置10では、複数の導波管70から漏れ出すマイクロ波は、第2の領域R2の上方の全領域ではなく、制限された面積を有する複数の突出部72に集中する。したがって、プラズマの発生位置が複数の突出部72の近傍に集中する。よって、この成膜装置10は、プラズマの発生位置の制御性に優れている。また、上述したように、複数の突出部72は軸線Zに対して放射方向に配列されているので、軸線Zに対して放射方向に延在する領域において、プラズマを発生させることが可能である。したがって、成膜装置10によれば、軸線Zの周囲を回転移動する基板Wの全領域が反応ガスのプラズマに曝され得る。また、上述したように、成膜装置10では、複数の突出部72が複数の同心円に沿って配列されている。したがって、軸線Zに対して周方向においてプラズマの発生領域を拡大することが可能である。
また、上述したように、成膜装置10では、導波管70、即ち、導波路WGを介して突出部72に対面するようにプランジャ74の反射板74aが設けられており、この反射板74aの軸線Z方向の導波路WGからの距離を、位置調整機構74bによって調整することが可能である。このように反射板74aの位置を調整することにより、導波管70の導波路WG内における定在波のピークの位置を導波管70の複数の開口70hの位置に対して相対的に調整することができる。これにより、軸線Zに対して放射方向に並んだ複数の突出部72に漏れ出すマイクロ波のパワーを相対的に調整することが可能となり、延いては、軸線Zに対して放射方向のプラズマの密度分布を調整することが可能である。上述したように、成膜装置10では、軸線Zからの距離が近い基板Wの領域に対して、軸線Zからの距離が遠い基板Wの領域の周速度は速くなる。したがって、軸線Zからの距離に比例して突出部72に漏れ出すマイクロ波の強度が強くなるようにプランジャ74の反射板74aの位置を調整することにより、基板Wに対するプラズマ処理を均一化することが可能となり得る。
以上、成膜装置10について詳細してきた。上述したように、成膜装置10は、プラズマの発生位置の制御性に優れるという効果を有しているが、この効果は、処理容器12内の圧力が1Torr(133.3Pa)以上といった高圧である場合に特に有効に発揮される。以下、この理由を説明する。
下記の(1)式に示すように、処理容器12内でのプラズマを構成する電子、イオンの流れの振る舞いは、下記の輸送方程式によって表わすことができる。

ここで、プラズマは負イオンを含まないプラズマであるとする。(1)式において、Γ、Γ、Γiはそれぞれプラズマ、電子、イオンの流束を示しており、Dは両極性拡散係数であり、nは、プラズマ密度である。また、両極性拡散係数Dは、下記の(2)式で表わすことができる。

(2)式において、μ,μはそれぞれ、電子、イオンの移動度であり、D,Dはそれぞれ電子、イオンの拡散係数である。粒子種sの移動度、拡散係数はそれぞれ以下の(3)式、(4)式で表される。


(3)、(4)式において、qは粒子種sの電荷量、kはボルツマン定数、Tは粒子種sの温度、mは粒子種sの質量、νsmは粒子種sと中性粒子との衝突周波数である。イオンはすべて1価の陽イオンであると仮定し、(2)式に(3)、(4)式を代入すると、

となる。
ここで、処理容器12内の圧力が高い場合と低い場合の双方において同じパワーのマイクロ波が投入され、電子、イオンの生成量が等しいものとすると、プラズマの巨視的な流束Γは双方の場合において等しく保たれる。また、処理容器12内の圧力が高くなると、粒子種sと中性粒子との衝突頻度νsmは大きくなり、(5)式から、処理容器12内の圧力が高くなると、両極性拡散係数Dは、処理容器12内の圧力が低い場合の拡散係数よりも小さくなる。したがって、(1)式の関係より、処理容器12内の圧力が高い場合のプラズマの流束Γを、処理容器12内の圧力が低い場合のプラズマの流束Γと等しくするためには、強いプラズマの密度勾配が必要となる。また、電子が励起衝突や電離衝突などの非弾性衝突を起こす頻度も高くなり、電子が生成されてから非弾性衝突によりエネルギーを失うまでの移動距離が短くなる。このために、処理容器12内の圧力が高くなると、広い領域においてプラズマを拡散させようとしても、プラズマが局在化するという現象が生じ得る。また、マイクロ波を大面積平板誘電体を通して処理容器内で発生させる場合、プラズマの発生位置は誘電体内の定在波モードにより決定され、スロット板などでマイクロ波投入位置を規定しても、十分なプラズマ発生位置制御性を得ることが難しい。
一方、成膜装置10では、領域R2に接する面積が制限された複数の突出部72にマイクロ波を集中させているので、高い圧力下においても、プラズマの発生位置を突出部72の近傍に制御することが可能である。よって、成膜装置10は、高い圧力下においても、プラズマの発生位置の制御性に優れている。
以下、図9を参照して、別の実施形態に係る成膜装置10Aについて説明する。図9は、別の実施形態に係る成膜装置を概略的に示す上面図である。成膜装置10Aは、プラズマ生成部の構成において、成膜装置10とは異なっている。成膜装置10Aでは、円柱状の突出部72とは異なり、軸線Zに直交する平面での断面形状が弧状且つ帯状の複数の突出部72Aが採用されている。これら複数の突出部72Aは、軸線Zを中心とする複数の同心円(図中、参照符号CC1、CC2及びCC3で示す)に沿って配列されており、また、軸線Zに対して放射方向に配列されている。
また、成膜装置10Aでは、導波管70とは異なり、第2の領域R2の上方において、軸線Zを中心とした円弧に沿って延在する複数の導波管70Aが採用されている。これら導波管70Aは、複数の突出部72Aが整列されている複数の同心円CC1〜CC3に軸線Z方向において平行な同心円に沿って延在している。これら導波管70Aの下側導体部及び処理容器12の上部12bには、複数の突出部72Aが通る開口が設けられている。なお、図9には示されていないが、成膜装置10Aにおいても、反射板74aが導波路WGを介して突出部72Aに対峙するように複数のプランジャ74が設けられていてもよい。
このように、誘電体製の複数の突出部は、導波管から第2の領域R2内に制限された面積をもって延び出していれば任意の形状を有していてもよい。
以下、制限された面積で処理容器内の処理領域に接する誘電体にマイクロ波を集中させることにより、プラズマの発生位置を制御できることを検証した実験例1及び2、並びにシミュレーションについて説明する。図10は、実験例に用いたプラズマ処理装置の構成を示す斜視図である。
図10に示すプラズマ処理装置100は、処理容器112の上部に、四つの誘電体製のロッドSP1〜SP4を備えている。ロッドSP1〜SP4は、40mmの直径及び353mmの長さを有し、100mm間隔で互いに平行に配列されている。また、図6に示すように、これらロッドは、ロッドSP1、SP3、SP2、SP4の順で一方向に配列されている。
また、プラズマ処理装置100は、二つの矩形導波管114及び116を備えている。矩形導波管114及び116の断面サイズは、EIA規格WR−430準拠の109.2mmx54.6mmである。導波管114及び116は、ロッドSP1〜SP4の延在方向と直交する方向に延在しており、それらの間にロッドSP1〜SP4が介在するように設けられている。導波管114は、その反射端にプランジャ118を有しており、導波管116は、その反射端にプランジャ120を有している。導波管114の導波路内には、ロッドSP1及びSP2の一端が位置しており、ロッドSP1及びSP2の他端は、導波管116の導波路の手前で終端している。具体的には、ロッドSP1及びSP2それぞれの一端は、30mmの長さで導波管114内に入り込んでいる。また、導波管116の導波路内には、ロッドSP3及びSP4の一端が位置しており、ロッドSP3及びSP4の他端は、導波管114の導波路の手前で終端している。具体的には、ロッドSP3及びSP4それぞれの一端は、30mmの長さで導波管116内に入り込んでいる。
導波管114には、プランジャ122及び124が取り付けられている。プランジャ122は、反射板122a及び位置調整機構122bを有している。反射板122aは、導波管114の導波路を介してロッドSP1の一端に対峙している。位置調整機構122bは、導波路を画成する導波管114の一面(参照符号114aで示す)からの反射板122aの位置を調整する機能を有する。また、プランジャ124は、反射板124a及び位置調整機構124bを有している。反射板124aは、導波管114の導波路を介してロッドSP2の一端に対峙している。位置調整機構124bは、導波管114の一面114aからの反射板124aの位置を調整することができる。
また、導波管116には、プランジャ126及び128が取り付けられている。プランジャ126は、反射板126a及び位置調整機構126bを有している。反射板126aは、導波管116の導波路を介してロッドSP3の一端に対峙している。位置調整機構126bは、導波路を画成する導波管116の一面(参照符号116aで示す)からの反射板126aの位置を調整することができる。また、プランジャ128は、反射板128a及び位置調整機構128bを有している。反射板128aは、導波管116の導波路を介してロッドSP4の一端に対峙している。位置調整機構128bは、導波路を画成する導波管116の一面116aからの反射板128aの位置を調整することができる。
実験例1及び2では、上記構成を有するプラズマ処理装置100の処理容器112内にArガスを供給し、導波管114に周波数2.45GHz且つパワー1kWのマイクロ波を供給した。また、実験例1及び2では、導波管114の一面114aからの反射板122aの距離d1、及び、導波管114の一面114aからの反射板124aの距離d2をパラメータとして変化させた。また、実験例1及び2では、ロッドSP1とロッドSP2の間の距離は、200mmに設定した。また、実験例1では、処理容器112内の圧力を100mTorr(13.33Pa)に設定し、実験例2では、処理容器112内の圧力を1Torr(133.3Pa)に設定した。また、プランジャ118の反射板118aと、ロッドSP1の軸線との距離は85mmとした。
そして、実験例1及び実験例2の双方において、ロッドSP1及びSP2の下方からプラズマの発光状態を撮影した。図11は、実験例1のプラズマの発光状態の画像を示している、図12は、実験例2のプラズマの発光状態の画像を示している。図11及び図12では、距離d1及び距離d2の設定値に対応づけて、当該距離d1及び距離d2の設定値の下でのプラズマの発光状態を撮影した画像がマトリクス状に示されている。
図11及び図12に示す画像では、比較的に輝度の高い部分が、ロッドSP1及びSP2の近傍のプラズマの発光を示している。したがって、実験例1及び実験例2の結果、プラズマの発生位置をロッドSP1及びSP2の近傍に制御できることが確認された。このことから、導波路から延在する誘電体製の部材が制限された面積で処理容器内の処理空間に接する構成により、プラズマの発生位置を当該誘電体製の部材の近傍に集中させることができることが確認された。
また、図11及び図12に示すように、距離d1及びd2、即ち、導波管114の導波路からの反射板122aの距離、及び、導波管114の導波路からの反射板124aの距離を調整することにより、ロッドSP1の近傍のプラズマの輝度とロッドSP2の近傍のプラズマの輝度の比が相対的に変化することが確認された。したがって、実験例1及び2の結果、距離d1及びd2を調整することにより、ロッドSP1の近傍でのプラズマの密度とロッドSP2の近傍でのプラズマの密度の比を調整できることが確認された。このことから、導波路から延在する誘電体製の複数の部材が制限された面積で処理容器内の処理空間に接する構成において、プランジャの反射板の導波路からの距離を調整することにより、誘電体製の部材の近傍に集中させたプラズマの密度分布を調整できることが確認された。
また、シミュレーションにより、実験例1及び実験例2と同様の設定でプラズマ処理装置100の電界強度を計算した。このシミュレーションでは、距離d1及び距離d2をパラメータとして変化させ、ロッドSP1内の電界強度P1とロッドSP2内の電界強度P2を計算し、P1/(P1+P2)を電界強度の比として求めた。この結果を図13に示す。図13において、横軸は距離d1の設定値を示しており、縦軸は距離d2の設定値を示している。図13では、距離d1の設定値と距離d2の設定値に対応づけて、当該距離d1の設定値と距離d2の設定値の下で計算した電界強度の比P1/(P1+P2)を示している。また、図13では、実験例1及び2の距離d1及び距離d2の設定値と同一の設定値の電界強度の比P1/(P1+P2)を示す部分を円で囲んでいる。このシミュレーションの結果、図13の円で囲まれた部分の電界強度の比P1/(P1+P2)は、実験例1及び2のプラズマの発光状態に整合していることが確認された。また、図13に示すように、このシミュレーションの結果からも、プランジャの反射板の導波路からの距離を調整することにより、誘電体製の複数の部材の近傍に集中させたプラズマの密度分布を調整できることが確認された。
以上、種々の実施形態について説明してきたが、上述した実施形態に限定されることなく種々の変形態様を構成可能である。例えば、図1〜図3及び図9等に示した導波管及び誘電体製の複数の突出部の個数、形状、及び、配置は、単なる例であり、上述した効果を発揮し得る限りにおいて、任意に変更することが可能である。また、上述した実施形態では、二つのガスシャワー部を有する前駆体ガスの噴射部を例示したが、前駆体ガスの噴射部は、軸線Zから互いに異なる距離の領域に三つ以上のガスシャワー部を有していてもよ。また、前駆体ガスのガス供給部は、これら三つ以上のガスシャワー部に対する前駆体ガスの流量を個別に調整可能に構成されていてもよい。
10…成膜装置、12…処理容器、14…載置台、14a…基板載置領域、16…ガス供給部(前駆体ガス)、16a…噴射部、16a1,16a2…ガスシャワー部、16h…噴射口、18…排気部、18a…排気口、20…ガス供給部(パージガス)、20a…噴射口、22…プラズマ生成部、24…駆動機構、48…マイクロ波発生器、70…導波管、70a…下側導体部、70h…開口、72…突出部、74…プランジャ、74a…反射板、74b…位置調整機構、76…ガス供給部(反応ガス)、C…処理室、R1…領域、R2…領域、WG…導波路、Z…軸線(回転軸線)。

Claims (8)

  1. 複数の基板載置領域を有し、該複数の基板載置領域が周方向に移動するよう軸線中心に回転可能に設けられた載置台と、
    前記載置台を収容しており、前記載置台の回転により前記軸線に対して周方向に移動する前記基板載置領域が順に通過する第1の領域及び第2の領域を含む処理室を画成する処理容器と、
    前記載置台に対面するように設けられた噴射部から前記第1の領域に前駆体ガスを供給するガス供給部と、
    前記第2の領域において反応ガスのプラズマを生成するプラズマ生成部と、
    を備え、
    前記プラズマ生成部は、
    前記載置台の上方且つ前記第2の領域の上方において導波路を画成する一以上の導波管と、
    前記一以上の導波管に接続されたマイクロ波発生器と、
    前記一以上の導波管の下側導体部に設けられた複数の開口を通って前記第2の領域まで延びだした誘電体製の複数の突出部と、
    を含み、
    前記複数の突出部は、前記軸線に対して放射方向に配列されている、
    成膜装置。
  2. 前記複数の突出部の導波管側の一端と前記導波管を介して対面するように設けられた複数のプランジャを更に備え、
    前記複数のプランジャは、前記導波管からの距離を調整可能な反射板を有する、
    請求項1に記載の成膜装置。
  3. 前記複数の突出部は、棒状の形状を有する、請求項1又は2に記載の成膜装置。
  4. 前記複数の突出部は、前記軸線に直交する断面において弧状の形状を有する、請求項1又は2に記載の成膜装置。
  5. 前記複数の突出部は、前記軸線を中心とする複数の同心円に沿って更に配列されている、請求項1〜4の何れか一項に記載の成膜装置。
  6. 前記一以上の導波管は、前記複数の同心円のそれぞれと平行な複数の同心円に沿って延在する複数の導波管を含む、請求項5に記載の成膜装置。
  7. 前記一以上の導波管は、前記軸線に対して放射方向に延在している、請求項1〜5の何れか一項に記載の成膜装置。
  8. 前記噴射部は、前記軸線に対して互いに異なる距離の領域に設けられた複数のガスシャワー部を有し、該複数のガスシャワー部の各々は、一以上の噴射口を提供しており、
    前記ガス供給部は、前記複数のガスシャワー部から噴射する前記前駆体ガスの流量を個別に調整可能に構成されている、
    請求項1〜7の何れか一項に記載の成膜装置。
JP2012164830A 2012-07-25 2012-07-25 成膜装置 Active JP5947138B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2012164830A JP5947138B2 (ja) 2012-07-25 2012-07-25 成膜装置
PCT/JP2013/061407 WO2014017132A1 (ja) 2012-07-25 2013-04-17 成膜装置
KR1020157001975A KR101680493B1 (ko) 2012-07-25 2013-04-17 성막 장치
US14/416,418 US10145014B2 (en) 2012-07-25 2013-04-17 Film forming apparatus
TW102126392A TWI547994B (zh) 2012-07-25 2013-07-24 Film forming device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012164830A JP5947138B2 (ja) 2012-07-25 2012-07-25 成膜装置

Publications (2)

Publication Number Publication Date
JP2014027052A true JP2014027052A (ja) 2014-02-06
JP5947138B2 JP5947138B2 (ja) 2016-07-06

Family

ID=49996951

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012164830A Active JP5947138B2 (ja) 2012-07-25 2012-07-25 成膜装置

Country Status (5)

Country Link
US (1) US10145014B2 (ja)
JP (1) JP5947138B2 (ja)
KR (1) KR101680493B1 (ja)
TW (1) TWI547994B (ja)
WO (1) WO2014017132A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160122873A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Film forming apparatus and shower head
JP2016207462A (ja) * 2015-04-22 2016-12-08 東京エレクトロン株式会社 プラズマ処理装置
US10370763B2 (en) 2016-04-18 2019-08-06 Tokyo Electron Limited Plasma processing apparatus

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6258184B2 (ja) * 2014-11-13 2018-01-10 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI723024B (zh) * 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6569520B2 (ja) 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
JP6569521B2 (ja) * 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10748745B2 (en) 2016-08-16 2020-08-18 Applied Materials, Inc. Modular microwave plasma source
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10707058B2 (en) 2017-04-11 2020-07-07 Applied Materials, Inc. Symmetric and irregular shaped plasmas using modular microwave sources
US11037764B2 (en) 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10504699B2 (en) 2018-04-20 2019-12-10 Applied Materials, Inc. Phased array modular high-frequency source
US11393661B2 (en) 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
US11081317B2 (en) 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7242612B2 (ja) * 2020-07-22 2023-03-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064063A (ja) * 2003-08-19 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置
JP2006059798A (ja) * 2004-06-22 2006-03-02 Shibaura Mechatronics Corp プラズマ発生装置及びプラズマ処理装置
JP2010239103A (ja) * 2008-08-29 2010-10-21 Tokyo Electron Ltd 活性化ガスインジェクター、成膜装置及び成膜方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4910396B1 (ja) * 1970-12-31 1974-03-09
US5387288A (en) * 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
JP2004186303A (ja) 2002-12-02 2004-07-02 Tokyo Electron Ltd プラズマ処理装置
US8136479B2 (en) * 2004-03-19 2012-03-20 Sharp Kabushiki Kaisha Plasma treatment apparatus and plasma treatment method
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
JP5213150B2 (ja) * 2005-08-12 2013-06-19 国立大学法人東北大学 プラズマ処理装置及びプラズマ処理装置を用いた製品の製造方法
JP4910396B2 (ja) 2006-01-12 2012-04-04 東京エレクトロン株式会社 プラズマ処理装置
JP2007220638A (ja) * 2006-02-20 2007-08-30 Shibaura Mechatronics Corp マイクロ波導入器、プラズマ発生装置及びプラズマ処理装置
JP4793662B2 (ja) 2008-03-28 2011-10-12 独立行政法人産業技術総合研究所 マイクロ波プラズマ処理装置
CN101768731B (zh) 2008-12-29 2012-10-17 K.C.科技股份有限公司 原子层沉积装置
JP5075846B2 (ja) 2009-01-20 2012-11-21 株式会社アルバック 真空処理装置
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064063A (ja) * 2003-08-19 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置
JP2006059798A (ja) * 2004-06-22 2006-03-02 Shibaura Mechatronics Corp プラズマ発生装置及びプラズマ処理装置
JP2010239103A (ja) * 2008-08-29 2010-10-21 Tokyo Electron Ltd 活性化ガスインジェクター、成膜装置及び成膜方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160122873A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Film forming apparatus and shower head
JP2016092026A (ja) * 2014-10-29 2016-05-23 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US10844489B2 (en) 2014-10-29 2020-11-24 Tokyo Electron Limited Film forming apparatus and shower head
JP2016207462A (ja) * 2015-04-22 2016-12-08 東京エレクトロン株式会社 プラズマ処理装置
US10370763B2 (en) 2016-04-18 2019-08-06 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
US20150211124A1 (en) 2015-07-30
KR20150037889A (ko) 2015-04-08
KR101680493B1 (ko) 2016-11-28
TWI547994B (zh) 2016-09-01
TW201423865A (zh) 2014-06-16
US10145014B2 (en) 2018-12-04
WO2014017132A1 (ja) 2014-01-30
JP5947138B2 (ja) 2016-07-06

Similar Documents

Publication Publication Date Title
JP5947138B2 (ja) 成膜装置
JP5882777B2 (ja) 成膜装置
US9831067B2 (en) Film-forming apparatus
US10685812B2 (en) Workpiece processing chamber having a rotary microwave plasma antenna with slotted spiral waveguide
TW202131378A (zh) 具有旋轉微波電漿源之工件處理腔室
US20160138162A1 (en) Substrate processing apparatus
GB2576539A (en) A method
GB2576543A (en) An apparatus
US20210327690A1 (en) Method for generating and processing a uniform high density plasma sheet
KR20210054988A (ko) 처리 방법
GB2576540A (en) An apparatus
GB2576545A (en) An apparatus
US20210327691A1 (en) High density plasma processing apparatus
JP2022083129A (ja) スパッタリング装置
JP2001115266A (ja) プラズマプロセス装置
GB2576546A (en) An apparatus
GB2576541A (en) An apparatus
GB2576542A (en) An apparatus
JPH10303182A (ja) プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法
JPS63150914A (ja) 薄膜形成装置
JP2010100870A (ja) スパッタリング装置及び膜形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160513

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160602

R150 Certificate of patent or registration of utility model

Ref document number: 5947138

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250