CN101660138B - 活化气体注入装置、成膜装置和成膜方法 - Google Patents

活化气体注入装置、成膜装置和成膜方法 Download PDF

Info

Publication number
CN101660138B
CN101660138B CN200910169417.XA CN200910169417A CN101660138B CN 101660138 B CN101660138 B CN 101660138B CN 200910169417 A CN200910169417 A CN 200910169417A CN 101660138 B CN101660138 B CN 101660138B
Authority
CN
China
Prior art keywords
gas
mentioned
activated
universal stage
supplied
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200910169417.XA
Other languages
English (en)
Other versions
CN101660138A (zh
Inventor
加藤寿
竹内靖
牛窪繁博
菊地宏之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101660138A publication Critical patent/CN101660138A/zh
Application granted granted Critical
Publication of CN101660138B publication Critical patent/CN101660138B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5093Coaxial electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J1/00Details of electrodes, of magnetic control means, of screens, or of the mounting or spacing thereof, common to two or more basic types of discharge tubes or lamps

Abstract

本发明提供一种活化气体注入装置、成膜装置和成膜方法。该活化气体注入装置被划分成气体活化室、气体导入室,包括:将这些空间互相连通的流路形成构件;用于将处理气体导入到气体导入室的气体导入件;在气体活化室内互相并列延伸地设置、施加用于使处理气体活化的电力的一对电极,为了将被活化的气体喷出到气体活化室内而沿着电极的长度方向设置的气体喷出口。

Description

活化气体注入装置、成膜装置和成膜方法
技术区域
本发明涉及通过将互相反应的至少两种反应气体按顺序供给到基板的表面上并且多次执行该供给循环,层叠多层反应生成物的层而形成薄膜的技术。
背景技术
作为半导体制造工艺的成膜方法,公知有如下工艺:在真空气氛下使第1反应气体吸附在作为基板的半导体晶圆(以下称为晶圆)等的表面上之后,供给第2反应气体,通过两个气体的反应形成1层或多层的原子层、分子层,通过多次进行该循环而层叠这些层,在基板上进行成膜。该工艺例如被称为ALD(Atomic Layer Deposition)和MLD(Molecular LayerDeposition)等(以下称为ALD方式),能根据循环次数高精度控制膜厚,并且膜质的面内均匀性也良好,因此是能应对半导体器件的薄膜化的有效方法。
作为实施这样的成膜方法的装置采用在真空容器的上部中央具有气体簇射头(air shower head)的单片式成膜装置,对从基板的中央部上方侧供给反应气体而从处理容器的底部排出未反应的反应气体和反应副生成物的方法进行了研究。不过,上述成膜方法由吹扫气体进行气体置换需要花费很长的时间,而且循环次数例如也有数百次,因此存在处理时间长这样的问题,期望有能以高生产率进行处理的装置、方法。
从这样的背景出发在专利文献1~专利文献8中提出了将多个基板沿旋转方向配置在真空容器内的旋转台上来进行成膜处理的装置。
不过,作为优选ALD方式的成膜方法的例子,例如能列举出栅极氧化膜等所采用的高电介质膜,例如氮化硅膜(SiN膜)和氧化硅膜(SiO2膜)等的成膜。作为一个例子,在例如形成氮化硅膜的情况下,作为第1反应气体例如采用二氯硅烷(以下称为“DCS”)气体等,作为第2反应气体例如采用氨气等。利用了这样的反应气体的成膜以往通过将晶圆例如加热到700℃~800℃的温度而供给成膜所需的能量,但随着半导体装置的进一步高集成化、微细化,需要在成膜时减少对晶圆施加的热过程。
因此,例如在专利文献9中记载有如下技术:在例如将数十张~一百几十张晶圆呈架状保持在保持件上而搬入到圆筒型的反应管内、并将反应气体供给到该反应管内来进行晶圆成膜的纵型的成批式的成膜装置中,例如将作为一种反应气体的氨气等离子化后供给到反应容器内来进行成膜。利用通过等离子化而生成的反应性高的反应气体的活性种(例如NH3基)来进行成膜,与以往的方法相比能降低施加在晶圆上的热能。
设置在专利文献9所述的成膜装置的等离子体发生部为将施加有高频电力的平行平板型的电极沿着反应管的壁部的高度方向设置的构成,通过平行平板问而被等离子化后的被活化的反应气体从反应管的壁部被供给到呈架状保持在该反应管内的晶圆上。可是,通过等离子化而活化的反应气体(包含NH3基等活性种的反应气体)的失活速度非常快,因此存在如下问题:反应气体中的活性种的浓度在反应气体从反应管的壁部刚被供给之后就到达的晶圆的周缘部以及反应气体晚于该周缘部而到达的晶圆的中央部有很大的不同,在这些部位之间膜质的面内均匀性降低。
并且在本发明人所研究的旋转台型的成膜装置中,以从处理容器的壁部朝着旋转台的中央部而沿径向延伸的方式设置反应气体喷嘴,反应气体在该喷嘴内流过,经由设在该喷嘴的侧壁面上的多个气体供给孔朝着在喷嘴的下方通过的晶圆喷出。此时如专利文献9所述的技术那样,采用将等离子体发生部设置在处理容器的壁部即喷嘴基端侧、使反应气体等离子化之后而供给到喷嘴内的构成时,反应气体的活性种例如只与固体表面接触就失活,因此与喷嘴的壁面接触而流过狭窄的喷嘴内时,反应气体的活性就急剧地丧失。基于这样的理由,将专利文献9所述的技术应用于本发明人所开发的旋转台型的成膜装置时,存在比以往的纵型热处理装置更降低膜质的面内均匀性的问题。
作为对晶圆照射等离子体的技术,公知有如下方法:如图1所示,使反应气体A和反应气体B反应而在处理容器500内的载置台501上所载置的晶圆W上成膜之后,将在等离子体发生室502产生的氧基供给到薄膜而对该薄膜进行改性。附图标记503是自由基输送通路,附图标记504是气体供给部,附图标记505是反应气体A的供给源,附图标记506是反应气体B的供给源,附图标记507是气体供给通路,附图标记508是加热器,附图标记509是真空泵。作为薄膜例如能举出SiO2膜,这种情况下,氧基起到除去SiO2膜中的N、OH基、H等杂质的作用。不过,供给到晶圆W上的等离子体是所谓的远程等离子体,因此自由基在输送中途失活,被供给到晶圆W上的自由基的浓度低,因此无法进行充分的改性。因而,无法从SiO2膜排出上述杂质,所以Si和O的比率不接近于1∶2,或者难以形成Si-O-Si的3维结构,结果无法得到品质优良的SiO2膜。另外,虽考虑到为了促进等离子体中的臭氧的分解而使晶圆的温度形成为高温,但在这种情况下,变得无法应对低温工艺。
专利文献1:美国专利公报7,153,542号:图6A、图6B
专利文献2:日本特开2001-254181号公报:图1、图2
专利文献3:日本专利3144664号公报:图1、图2,权利要求1
专利文献4:日本特开平4-287912号公报
专利文献5:美国专利公报6,634,314号
专利文献6:日本特开2007-247066号公报:段落0023~0025,0058,图12和图20
专利文献7:美国专利公开公报2007/218701号
专利文献8:美国专利公开公报2007/218702号
专利文献9:日本特开2004-343017号公报:第0021段落~第0025段落,图1~图4
发明内容
本发明是鉴于这样的情况而做成的,提供一种能供给被均匀活化的处理气体的活化气体注入装置、具有该注入装置的成膜装置和成膜方法。
本发明的第1技术方案提供一种活化气体注入装置,其包括:流路形成构件,其由分隔壁划分成气体活化用流路和气体导入用流路;气体导入件,用于将处理气体导入到上述气体导入用流路中;一对电极,其沿着上述分隔壁互相平行地延伸设置在上述气体活化用流路内,施加有用于使处理气体活化的电力;连通孔,其沿着电极的长度方向设置在上述分隔壁上,用于将上述气体导入用流路内的处理气体供给到上述气体活化用流路中;以及气体喷出口,为了喷出在上述气体活化用流路中被活化的气体而沿着上述电极的长度方向设置在上述气体活化用流路上。
本发明的第2技术方案提供一种活化气体注入装置,其包括:流路形成构件,其由分隔壁划分成气体活化用流路和气体导入用流路;气体导入件,用于将处理气体导入到上述气体导入用流路中;加热器,其沿着上述分隔壁延伸地设置在上述气体活化用流路内,用于加热气体活化用流路内的处理气体而使处理气体活化;连通孔,其沿着加热器的长度方向设置在上述分隔壁上,用于将上述气体导入用流路内的处理气体供给到上述气体活化用流路中;以及气体喷出口,为了喷出在上述气体活化用流路中被活化的气体而沿着上述加热器的长度方向设置在上述气体活化用流路上。
本发明的第3技术方案提供一种成膜装置,其包括:旋转台,设置在真空容器内;基板载置区域,其是为了将基板载置在该旋转台上而设置的;以及前文所述的任一个活化气体注入装置,为了将被活化的气体供给到载置在该基板载置区域的基板上来进行成膜,该活化气体注入装置设置成与上述旋转台上的基板载置区域侧相对且与该旋转台的移动路径交叉。
本发明的第4技术方案提供一种成膜装置,其包括:旋转台,设置在真空容器内;基板载置区域,其是为了将基板载置在该旋转台上而设置的;以及前文所述的任一个活化气体注入装置,为了将被活化的气体供给到载置在该基板载置区域的基板上来进行该基板上的薄膜的改性,该活化气体注入装置设置成与上述旋转台上的基板载置区域侧相对且与该旋转台的移动路径交叉。
本发明的第5技术方案提供一种成膜装置,该成膜装置通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,层叠多个反应生成物的层而形成薄膜。该成膜装置包括:旋转台,设置在真空容器内;基板载置区域,其是为了将基板载置在该旋转台上而设置的;第1反应气体供给部件和第2反应气体供给部件,它们沿着上述旋转台的旋转方向互相离开地设置,第1反应气体供给部件用于将第1反应气体供给到上述旋转台上的基板的载置区域侧的面上,第2反应气体供给部件由技术方案1~4中任一项所述的活化气体注入装置构成,用于将第2反应气体供给到上述旋转台上的基板的载置区域侧的面上;分离区域,其为了使被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛分离,而在上述旋转方向上位于上述处理区域之间;以及排气口,用于将上述反应气体与扩散到上述分离区域的两侧的分离气体一起排出。上述分离区域具有分离气体供给部件和顶面,该分离气体供给部件用于供给分离气体,该顶面位于该分离气体供给部件的上述旋转方向两侧,用于在顶面和旋转台之间形成供分离气体从该分离区域流到处理区域侧的狭窄的空间。
本发明的第6技术方案提供一种成膜装置,该成膜装置通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,层叠多个反应生成物的层而形成薄膜。该成膜装置包括:基板载置区域,其是为了将基板载置在上述真空容器内的旋转台上而设置的;第1反应气体供给部件,用于将第1反应气体供给到上述基板上;第2反应气体供给部件,其与该第1反应气体供给部件隔开间隔地设置在上述第1反应气体供给部件的上述旋转台的旋转方向下游侧,用于将第2反应气体供给到上述基板上;上述任一个活化气体注入装置,其被设置成与上述旋转台的基板载置区域相对,并且在上述旋转台的旋转方向上设置于上述第1反应气体供给部件和上述第2反应气体供给部件之间,为了进行上述基板上的反应生成物的改性,该活化气体注入装置将被活化的处理气体供给到上述基板上;分离区域,其为了使被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛分离,而在上述旋转方向上位于上述第1处理区域和第2处理区域之间;以及排气口,用于将上述反应气体与扩散到上述分离区域的两侧的分离气体一起排出。上述分离区域具有分离气体供给部件和顶面,该分离气体供给部件用于供给分离气体,顶面位于该分离气体供给部件的上述旋转方向两侧,用于在顶面和旋转台之间形成供分离气体从该分离区域流到处理区域侧的狭窄的空间。
本发明的第7技术方案提供成膜装置,该成膜装置通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠反应生成物的层形成薄膜。该成膜装置包括:工作台,设置在上述真空容器内,用于载置基板;第1反应气体供给部件,用于将第1反应气体供给到该工作台上的基板上;第2反应气体供给部件,用于将第2反应气体供给到该工作台上的基板上;活化部件,为了进行上述基板上的反应生成物的改性而将被活化的处理气体供给到上述基板上;以及旋转机构,用于使上述第1反应气体供给部件、第2反应气体供给部件以及活化部件与上述工作台之间相对旋转。上述第1反应气体供给部件、第2反应气体供给部件和活化部件以如下方式沿着工作台的周向配置,即通过上述相对旋转使基板按顺序位于第1反应气体供给区域、第2反应气体供给区域和被活化了的处理气体的供给区域。
本发明的第8技术方案提供一种成膜方法,该成膜方法通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠多个反应生成物的层形成薄膜。该成膜方法包括以下工序:将基板载置在设置于真空容器内的旋转台上的基板载置区域,使该旋转台绕铅直轴线旋转的工序;对上述真空容器内进行真空排气的工序;将第1反应气体从第1反应气体供给部件供给到上述基板的表面上,使该第1反应气体吸附在基板的表面上的工序;将第2反应气体从第2反应气体供给部件供给到上述基板的表面,使该第2反应气体与吸附在上述基板的表面上的第1反应气体反应而生成反应生成物的工序,该第2反应气体供给部件与该第1反应气体供给部件隔开间隔地设置在上述第1反应气体供给部件的上述旋转台的旋转方向下游侧;将被活化的处理气体从前文所述的任一个活化气体注入装置供给到上述基板的表面上,进行上述基板表面的反应生成物的改性的工序,该活化气体注入装置设置成与上述旋转台的基板载置区域相对并且在上述旋转台的旋转方向上设置在上述第2反应气体供给部件和上述第1反应气体供给部件之间;以及将分离气体分别供给到分离区域的工序,为了将处理区域的气氛相互分离,该分离区域在上述旋转方向上分别设置在被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域之间。
本发明的第9技术方案提供一种成膜方法,该成膜方法通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠多个反应生成物的层形成薄膜。该成膜方法包括以下工序:将基板载置在设置于真空容器内的旋转台上的基板载置区域,使该旋转台绕铅直轴线旋转的工序;对上述真空容器内进行真空排气的工序;将第1反应气体从第1反应气体供给部件供给到上述基板的表面上,使该第1反应气体吸附在基板的表面上的工序;将第2反应气体从第2反应气体供给部件供给到上述基板的表面,使该第2反应气体与吸附在上述基板的表面上的第1反应气体反应而生成反应生成物的工序,该第2反应气体供给部件与该第1反应气体供给部件隔开间隔地设置在上述第1反应气体供给部件的上述旋转台的旋转方向下游侧;将被等离子化的处理气体从上述的活化气体注入装置供给到上述基板的表面上,进行上述基板表面的反应生成物的改性的工序,该活化气体注入装置设置成与上述旋转台的基板载置区域相对并且在上述旋转台的旋转方向上设置在上述第2反应气体供给部件和上述第1反应气体供给部件之间;以及将分离气体分别供给到分离区域的工序,为了将处理区域的气氛相互分离,该分离区域在上述旋转方向上分别设置在被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域之间。
本发明的第10技术方案提供一种成膜方法,该成膜方法通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠反应生成物的层而形成薄膜。该成膜方法包括以下工序:将基板大致水平地载置在真空容器内的工作台上的工序;将第1反应气体从第1反应气体供给部件供给到上述工作台上的基板上的工序;使上述工作台和第1反应气体供给部件进行相对旋转而使基板位于第2反应气体供给区域,将第2反应气体从第2反应气体供给部件供给到基板上,从而在基板上生成反应生成物的工序;使上述工作台与上述第1反应气体供给部件、第2反应气体供给部件之间进行相对旋转而使基板位于活化区域,将被活化的处理气体从活化构件供给到上述基板上,从而进行上述基板表面的反应生成物的改性的工序;以及将分离气体分别供给到分离区域的工序,为了将被供给上述第1反应气体的区域和被供给第2反应气体的区域的气氛相互分离,该分离区域在上述相对旋转的旋转方向上分别设置在被供给上述第1反应气体的区域和被供给第2反应气体的区域之间。
本发明的第11技术方案提供一种存储有计算机程序的存储介质,该计算机程序用于成膜装置,该成膜装置通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠多个反应生成物的层而形成薄膜。该计算机程序编入了用于实施本发明的成膜方法的步骤。
附图说明
图1是表示以往的成膜装置的概略图。
图2是表示本发明的实施方式的成膜装置的纵截面的图4中的I-I’纵剖视图。
图3是表示上述成膜装置的内部的概略构成的立体图。
图4是上述成膜装置的横截面俯视图。
图5A和图5B是表示上述成膜装置的处理区域和分离区域的纵剖视图。
图6是上述成膜装置的分离区域的纵剖视图。
图7是表示分离气体或吹扫气体的流动的状态的说明图。
图8是表示具有使反应气体等离子化的功能的活化气体注入装置的立体图。
图9是表示活化气体注入装置的内部构造的立体图。
图10是活化气体注入装置的纵剖视图。
图11是表示上述活化气体注入装置的基端部的内部构造的横截面图。
图12是表示上述活化气体注入装置的作用的说明图。
图13是表示由分离气体将第1反应气体和第2反应气体分离而进行排气的状态的说明图。
图14是表示第2实施方式的活化气体注入装置的内部构造的立体图。
图15是上述第2实施方式的活化气体注入装置的纵剖视图。
图16是表示上述第2实施方式的活化气体注入装置的作用的说明图。
图17是表示上述活化气体注入装置的变形例的立体图。
图18是上述变形例的活化气体注入装置的纵剖视图。
图19是表示第3实施方式的活化气体注入装置的内部构造的立体图。
图20是上述第3实施方式的活化气体注入装置的纵剖视图。
图21是表示设置在上述第3实施方式的活化气体注入装置内的加热器的构成的局部剖视图。
图22是表示第4实施方式的活化气体注入装置的内部构造的立体图。
图23是上述第4实施方式的活化气体注入装置的纵剖视图。
图24A和图24B是用于说明分离区域所采用的凸状部的尺寸例的说明图。
图25是表示本发明的另一实施方式的成膜装置的横截面俯视图。
图26是表示本发明的上述之外的实施方式的成膜装置的横截面俯视图。
图27是表示本发明的上述之外的实施方式的成膜装置的纵剖视图。
图28是表示使用了本发明的成膜装置的基板处理系统的一个例子的概略俯视图。
图29是表示本发明的另一实施方式的成膜装置的立体图。
图30是表示上述的另一例子的成膜装置的俯视图。
图31是表示上述的另一例子的成膜装置的纵剖视图。
图32A和图32B是表示上述的另一例子的成膜装置的活化气体注入装置的立体图。
图33是表示上述活化气体注入装置的纵剖视图。
图34A和图34B是表示上述的活化气体注入装置的安装方法的概略图。
图35是表示上述活化气体注入装置的纵剖视图。
图36是表示在上述的另一例子的成膜装置中薄膜被改性的状态的示意图。
图37A~图37D是表示在ALD法中薄膜被成膜的状态的示意图。
图38A和图38B是表示BTBAS气体和二异丙基氨基硅烷(diisopropyl aminosilane)气体的构造的示意图。
图39A和图39B是实施例的说明图。
图40A~图40D是表示对上述的其他例子中的活化气体注入装置进行了模拟的模拟结果的特性图。
图41A~图41D是表示对上述的其他例子中的活化气体注入装置进行了模拟的模拟结果的特性图。
图42是说明上述其他例子的实验条件的说明图。
图43是表示上述其他例子的实验结果的特性图。
图44是表示在本发明的实施例中所得到的实验结果的图表。
图45是表示在本发明的实施例中所得到的实验结果的图表。
图46是表示在本发明的实施例中所得到的实验结果的图表。
图47是表示在本发明的实施例中所得到的实验结果的图表。
图48是表示在本发明的实施例中所得到的实验结果的图表。
图49是表示在本发明的实施例中所得到的实验结果的图表。
图50是表示在本发明的实施例中所得到的实验结果的图表。
图51是表示在本发明的实施例中所得到的实验结果的图表。
图52是表示在本发明的实施例中所得到的实验结果的图表。
图53是示意性地表示在本发明的实施例中所得到的实验结果的剖视图。
图54是表示在本发明的实施例中所得到的实验结果的图表。
图55是表示在本发明的实施例中所得到的实验结果的图表。
图56是表示在本发明的实施例中所得到的实验结果的图表。
图57是表示在本发明的实施例中所得到的实验结果的图表。
具体实施方式
根据本发明,在用于供给处理气体的活化气体注入装置内,具有使处理气体活化的一对电极,这些电极沿着该注入装置的长度方向设置,详细地说,设置成沿着对构成注入装置的流路形成构件进行划分的分隔壁的长度方向互相平行地延伸。因此,与例如在供给活化了的处理气体的装置的侧壁部上设置电极、使被活化的处理气体经由细长的喷嘴供给到装置内的情况相比,能均匀地供给被活化的处理气体,在将该活化气体注入装置适用于例如在基板表面上进行成膜的成膜装置的情况下,能在基板面内形成膜质均匀的膜。
下面,参照附图,说明本发明的实施方式的成膜装置。如图2所示(图4的I-I’的剖视图),本发明的实施方式的成膜装置包括:平面形状为大概圆形的扁平的真空容器1;设置在该真空容器1内并在该真空容器1的中心具有旋转中心的旋转台2。真空容器1构成为顶板11能从容器主体12分离。顶板11在内部的减压状态下夹着密封构件例如O型密封圈13被压靠在容器主体12侧而保持气密状态,要使顶板11从容器主体12分离时,由未图示的驱动机构向上方抬起。
旋转台2通过中心部被固定在圆筒形状的芯部21上,该芯部21被固定在沿铅直方向延伸的旋转轴22的上端。旋转轴22贯穿真空容器1的底面部14,其下端安装有使该旋转轴22绕铅直轴线旋转的驱动部23,在该例中为向顺时针方向旋转。旋转轴22和驱动部23被收纳在上表面开口的筒状壳体20内。该壳体20的设置在其上表面上的凸缘部分被气密地安装在真空容器1的底面部14的下表面上,壳体20的内部气氛与外部气氛之间的气密状态被保持。
如图3和图4所示,在旋转台2的表面部沿着旋转方向(周向)设有用于载置多个例如5个作为基板的晶圆的圆形状的凹部24。另外,为了方便,图4中只在1个凹部24上画有晶圆W。在此,图5是沿着同心圆将旋转台2切断并沿横向展开表示的展开图,如图5A所示,凹部24被设定成直径稍大于晶圆W的直径,例如大4mm,其深度与晶圆W的厚度为同等的大小。所以将晶圆W落到凹部24中时,晶圆W表面和旋转台2的表面(未载置有晶圆W的区域)一致。晶圆W的表面与旋转台2的表面之间的高度差很大时,在该存在高度差的部分产生压力变动,因此使晶圆W表面和旋转台2表面的高度一致这点从使膜厚的面内均匀性一致的方面出发,较佳。使晶圆W表面和旋转台2表面的高度一致,是指相同的高度或两面的高度差在5mm以内,优选根据加工精度等而尽可能使两面的高度差趋近零。在凹部24的底面形成有通孔(未图示),该通孔用于供支承晶圆W的背面而使该晶圆W升降的例如后述3根升降销贯穿。
凹部24用于对晶圆W进行定位并使晶圆W不在随着旋转台2的旋转而产生的离心力的作用下飞出,是相当于本发明的基板载置区域的部位,但基板载置区域(晶圆载置区域)不限于凹部,也可以是例如将多个引导晶圆W的周缘的引导构件沿着晶圆W的周向排列在旋转台2表面上的构成,或在旋转台2侧具有静电卡盘等卡盘机构来吸附晶圆W的情况下,利用该吸附来载置晶圆W的区域形成基板载置区域。
如图3和图4所示,在真空容器1中,在与旋转台2上的凹部24的通过区域分别相对的位置,第1反应气体喷嘴31、活化气体注入装置32和2个分离气体喷嘴41、42在真空容器1的周向(旋转台2的旋转方向)互相隔开间隔而相对于中心部呈放射状延伸。结果,活化气体注入装置32以沿与旋转台2的旋转方向即移动路径交叉的方向延伸的状态配置。这些第1反应气体喷嘴31、活化气体注入装置32和分离气体喷嘴41、42例如被安装在真空容器1的侧周壁上,作为它们的基端部的气体供给口31a、32a、41a、42a贯穿该侧壁。
反应气体喷嘴31、活化气体注入装置32、分离气体喷嘴41、42在图示的例子中从真空容器1的周壁部被导入到真空容器1内,但也可以从后述的环状的突出部5导入。这种情况下,能采用如下结构:设有开口于突出部5的外周面、顶板11的外表面的L字型的导管,在真空容器1内将反应气体喷嘴31(活化气体注入装置32、分离气体喷嘴41、42)与L字型的导管的一个开口连接,在真空容器1的外部将气体导入件31a(32a、41a、42a)与L字型的导管的另一开口连接。
第1反应气体喷嘴31和活化气体注入装置32分别与作为第1反应气体的DCS(二氯硅烷)气体的气体供给源和作为第2反应气体的NH3(氨)气体的气体供给源(都未图示)相连接,分离气体喷嘴41、42都与作为分离气体的N2气体(氮气)的气体供给源(未图示)相连接。在该例中,活化气体注入装置32、分离气体喷嘴41、第1反应气体喷嘴31和分离气体喷嘴42按照该顺序沿顺时针方向排列。
在第1反应气体喷嘴31上,用于将反应气体向下方侧喷出的气体喷出孔33沿喷嘴的长度方向隔开间隔地排列。另一方面,活化气体注入装置32具有作为将导入到该注入装置32内的NH3气体活化而供给到真空容器1内的活化气体注入装置的功能,但对其详细的构成如后所述。而且在分离气体喷嘴41、42上,用于将分离气体向下方侧喷出的喷出孔40沿长度方向隔开间隔地穿设。第1反应气体喷嘴31、活化气体注入装置32分别相当于第1反应气体供给部件和第2反应气体供给部件,其下方区域为用于使DCS气体吸附到晶圆W上的第1处理区域P1和用于使活化了的NH3气体吸附到晶圆W上的第2处理区域P2。
分离气体喷嘴41、42用于形成使第1处理区域P1、第2处理区域P2分离的分离区域D,如图3~图5所示,该分离区域D中的真空容器1的顶板11上设有平面形状为扇形并向下方突出的凸状部4,该凸状部4是沿着周向对以旋转台2的旋转中心为中心并沿着真空容器1的内周壁的附近所描绘的圆进行分割而成的。分离气体喷嘴41、42被收纳在槽部43内,该槽部43形成为在该凸状部4的上述圆的周向中央沿该圆的径向延伸。即,从分离气体喷嘴41、42的中心轴线到作为凸状部4的扇形的两边缘(旋转方向上游侧的边缘和下游侧的边缘)的距离被设定为相同的长度。
另外,在本实施方式中,槽部43形成为将凸状部4二等分,但在其他实施方式中,例如也可以是从槽部43看来凸状部4中的旋转台2的旋转方向上游侧大于上述旋转方向下游侧地形成槽部43。
因此在分离气体喷嘴41、42的上述周向两侧,存在上述凸状部4的下表面即例如平坦的低的顶面44(第1顶面),在该顶面44的上述周向两侧,存在比该顶面44高的顶面45(第2顶面)。该凸状部4的作用在于形成作为狭窄空间的分离空间,该分离空间用于阻止第1反应气体和第2反应气体进入到凸状部4和旋转台2之间而阻止上述反应气体的混合。
即,以分离气体喷嘴41为例,阻止NH3气体从旋转台2的旋转方向上游侧进入,而且阻止DCS气体从旋转方向下游侧进入。所谓“阻止气体的进入”是指从分离气体喷嘴41喷出的作为分离气体的N2气体扩散到第1顶面44和旋转台2的表面之间,在该例子中,吹到与该第1顶面44相邻的第2顶面45的下方侧空间,由此来自该相邻空间的气体无法进入。并且,所谓“气体无法进入”并不是仅指完全无法从相邻空间进入凸状部4的下方侧空间的情况,也包括虽多少进入但可确保从两侧分别进入的NH3气体和DCS气体在凸状部4内不互相混合的状态的情况,只要能得到这样的作用,就能发挥作为分离区域D的作用、即对第1处理区域P1的气氛和第2处理区域P2的气氛之间的分离作用。因此狭窄空间的狭窄的程度被设定为,狭窄空间(凸状部4的下方空间)与同该空间相邻的区域(该例子中指第2顶面45的下方空间)之间的压力差能够确保“气体无法进入”的作用那样程度的大小,可以说狭窄空间的具体的尺寸根据凸状部4的面积等的不同而不同。而且,吸附在晶圆W上的气体当然能通过分离区域D内,气体的进入阻止是指气相中的气体。
另一方面,如图6、图7所示,在顶板11的下表面,与旋转台2的芯部21的外周侧的部位相对并沿着该芯部21的外周设有突出部5。如图6所示,该突出部5与凸状部4的上述旋转中心侧的部位连续地形成,该突出部5的下表面形成为与凸状部4的下表面(顶面44)相同的高度。图3和图4表示在比上述顶面45低而比分离气体喷嘴41、42高的位置水平地切断顶板11。另外,突出部5和凸状部4不是仅限于一体,也可以是分体的。
对于凸状部4和分离气体喷嘴41(42)组合构造的制作方法,不只限于在构成凸状部4的1张扇形板的中央形成槽部43而将分离气体喷嘴41(42)配置在该槽部43内的构造,也可以采用2张扇形板,通过螺栓紧固等方式在分离气体喷嘴41(42)的两侧位置固定在顶板主体的下表面上的构成等。
在该例子中,分离气体喷嘴41(42)的朝着正下方的例如直径0.5mm的喷出孔沿着喷嘴的长度方向隔开例如10mm的间隔排列。而且,第1反应气体喷嘴31的朝着正下方的例如直径0.5mm的喷出孔沿着喷嘴的长度方向隔开例如10mm的间隔排列。
在该例子中,将直径300mm的晶圆W作为被处理基板,这种情况下,凸状部4在与相距旋转中心例如离开了140mm的后述的突出部5的边界部位,周向的长度(与旋转台2同心的圆的圆弧的长度)是例如146mm,在晶圆W的载置区域(凹部24)的最外侧部位,周向的长度是例如502mm。另外,如图5A所示,在该外侧部位,由从分离气体喷嘴41(42)的两肋到左右的凸状部4的周向的长度L来看,长度L是246mm。
而且,如图5B所示,凸状部4的下表面即顶面44距旋转台2的表面的高度h例如也可以从0.5mm到10mm,优选大约4mm。这种情况下,旋转台2的转速例如被设定为1rpm~500rpm。为了确保分离区域D的分离功能,根据旋转台2的转速的使用范围等,例如基于实验等对凸状部4的大小、凸状部4的下表面(第1顶面44)与旋转台2的表面之间的高度h。另外,作为分离气体,不只限于N2气体,能采用Ar气体等惰性气体,但不只限于惰性气体,也可以是氢气等,只要是不对成膜处理产生影响的气体,对于气体的种类没有特别地限定。
从真空容器1的顶板11的下表面、也就是说从旋转台2的晶圆载置区域(凹部24)看到的顶面如前文所述那样在周向存在第1顶面44和比该顶面44高的第2顶面45,但在图2中,表示设置有高的顶面45的区域的纵截面,在图6中,表示设置有低的顶面44的区域的纵截面。如图3和图6所示,扇形的凸状部4的周缘部(真空容器1的外缘部的部位)以与旋转台2的外端面相对的方式呈L字型弯曲而形成弯曲部46。扇形的凸状部4被设置在顶板11侧,构成为可相对于容器主体12拆卸,由此上述弯曲部46的外周面和容器主体12之间存在微小的间隙。该弯曲部46也与凸状部4的设置目的相同,用于防止反应气体从两侧进入、防止两反应气体的混合,弯曲部46的内周面和旋转台2的外端面之间的间隙以及弯曲部46的外周面和容器主体12之间的间隙被设定为例如与顶面44相对于旋转台2的表面的高度h同样的尺寸。在该例子中,从旋转台2的表面侧区域可以看到弯曲部46的内周面构成真空容器1的内周壁。
如图6所示,容器主体12的内周壁在分离区域D与上述弯曲部46的外周面接近地形成为垂直面,但如图2所示,在分离区域D之外的部位,从与旋转台2的外端面相对的部位到底面部14,例如为纵截面形状切割成长方形并向外方侧凹陷的构造。将该凹陷的部分称为排气区域6时,如图2和图4所示,在该排气区域6的底部设有例如2个排气口61、62。如图2所示,这些排气口61、62经由各排气管63与作为真空排气部件的例如共用的真空泵64相连接。另外,在图2中,附图标记65是压力调整部件,既可以设在每个排气口61、62中,也可以共用。
为了可靠地发挥分离区域D的分离作用,排气口61、62如图4所示那样俯视看是设置在上述分离区域D的上述旋转方向两侧,专门进行各反应气体(DCS气体和NH3气体)的排气。在该例子中,一个排气口61设置在第1反应气体喷嘴31和分离区域D之间,该分离区域D与该反应气体喷嘴31的上述旋转方向下游侧相邻,而且,另一个排气口62设置在活化气体注入装置32和分离区域D之间,该分离区域D与该注入装置32的上述旋转方向下游侧相邻。
排气口的设置数量不限于2个,例如也可以还在包括分离气体喷嘴42在内的分离区域D与同该分离区域D的上述旋转方向下游侧相邻的活化气体注入装置32之间设置排气口而形成3个,也可以是4个以上。在该例子中,通过将排气口61、62设置在低于旋转台2的位置而从真空容器1的内周壁和旋转台2的周缘之间的间隙进行排气,但不限于设置在真空容器1的底面部,也可以设置在真空容器1的侧壁上。而且在排气口61、62设置在真空容器1的侧壁上的情况下,也可以设置在比旋转台2高的位置。通过这样设置排气口61、62,旋转台2上的气体朝着旋转台2的外侧流动,因此与从旋转台2相对的顶面排气的情况相比,有利于抑制微粒的卷扬。
如图2、图6和图7所示,在上述旋转台2和真空容器1的底面部14之间的空间设有作为加热部件的加热器单元7,隔着旋转台2将旋转台2上的晶圆W加热到由工艺制程程序决定的温度,例如加热到300℃。在上述旋转台2的周缘附近的下方侧,为了对从旋转台2的上方空间到排气区域6的气氛与设置有加热器单元7的气氛进行划分,在整个圆周围着加热器单元7地设有罩构件71。该罩构件71的上缘向外侧弯曲而形成凸缘形状,使该弯曲面和旋转台2的下表面之间的间隙变小,能抑制气体从外方进入罩构件71内。
比加热器单元7所配置的空间更靠近旋转中心的部位的底面部14接近旋转台2的下表面的中心部附近、芯部21,这之间成为狭窄的空间,而且对于贯穿该底面部14的旋转轴22的通孔,通孔的内周面与旋转轴22之间的间隙变小,这些狭窄的空间与上述壳体20内相连通。并且,在上述壳体20上设有吹扫气体供给管72,该吹扫气体供给管72用于将作为吹扫气体的N2气体供给到上述狭窄的空间内来进行吹扫。而且,在真空容器1的底面部14上,在加热器单元7的下方侧位置的周向的多个部位设有用于对加热器单元7的配置空间进行吹扫的吹扫气体供给管73。
通过这样设置吹扫气体供给管72、73,吹扫气体的流动如图7中箭头所示,从壳体20内到加热器7的配置空间的空间被N2气体吹扫,该吹扫气体从旋转台2和罩构件71之间的间隙经由排气区域6被排气口61、62排出。由此,防止DCS气体或NH3气体从前文所述的第1处理区域P1、第2处理区域P2中的一个经由旋转台2的下方绕到另一侧,因此该吹扫气体也起到分离气体的作用。
而且,分离气体供给管51与真空容器1的顶板11的中心部连接,将作为分离气体的N2气体供给到顶板11与芯部21之间的空间52中。如图7所示,被供给到该空间52的分离气体经由上述突出部5和旋转台2之间的狭窄间隙50,沿着旋转台2的晶圆载置区域侧的表面朝着周缘喷出。分离气体充满由该突出部5围成的空间,所以在第1处理区域P1与第2处理区域P2之间防止反应气体(DCS气体或NH3气体)经由旋转台2的中心部混合。即,该成膜装置可以具有中心部区域C,为了分离第1处理区域P1、第2处理区域P2的气氛,该中心部区域C是由旋转台2的旋转中心部和顶板11划分而成,供分离气体进行吹扫,并且该中心部区域C中沿着上述旋转方向形成有将分离气体喷出到该旋转台2的表面上的喷出口。另外,在此所谓的喷出口相当于上述突出部5和旋转台2之间的狭窄间隙50。
如图3、图4所示,还在真空容器1的侧壁上形成有输送口15,该输送口15用于在外部的输送臂10和旋转台2之间进行基板即晶圆W的交接,该输送口15由未图示的闸阀开闭。而且,旋转台2中的作为晶圆载置区域的凹部24在面对该输送口15的位置在该凹部24和输送臂10之间进行晶圆W的交接,在旋转台2下方侧的与该交接位置相对应的部位设有用于贯穿凹部24而从背面抬起晶圆W的交接用的升降销及其升降机构(都未图示)。
以上说明的成膜装置具有活性气体注入装置32,该活性气体注入装置32用于如上所述那样使作为第2反应气体的NH3气体等离子化而活化,在该气体不丧失活性期间,供给到载置于旋转台2的晶圆载置区域(凹部24)的晶圆W的表面上。下面说明活性气体注入装置32的详细的结构。
如图8所示,活性气体注入装置32具有作为扁平且细长的长方体形状的流路形成构件的框体状的注入装置主体321,框体状的注入装置主体321例如是由具有优异的抗等离子蚀刻性的石英制成的,如图9、图10所示,该注入装置主体321的内部是空洞。在该空洞中形成有由沿着长度方向延伸的分隔壁324划分而成的宽度不同的2个空间,一个空间是作为用于使NH3气体等离子化的气体活化用流路的气体活化室323,另一个空间是作为用于将NH3气体均匀地供给到该气体活化室的气体导入用流路的气体导入室322。如图10所示,气体导入室322的宽度与气体活化室323的宽度的比例如为大概2∶3,气体导入室322的容积大。
如图9、图10所示,在气体导入室322内沿着注入装置主体321的侧壁、即沿着分隔壁324从基端侧朝着前端侧延伸地设有管状的气体导入喷嘴34。在该气体导入喷嘴34的与分隔壁324相对的侧周壁上沿着喷嘴34的长度方向隔开间隔地穿设有气孔341,能将NH3气体向气体导入室322内喷出。另一方面,气体导入喷嘴34的基端侧通过注入装置主体321的侧壁部与气体导入件39(图9)连接,该气体导入件39还如图8所示那样例如经由接头部38与前文所述的气体供给入口32a相连,从未图示的气体供给源接受NH3气体。
在气体导入喷嘴34的与气孔341相对的分隔壁324的上部,在相当于注入装置主体321的与顶板之间的连接部的高度位置,沿着气体导入室322的长度方向(沿着后述的电极36a、36b的长度方向)隔开间隔地设有作为长度方向细长的长方形状的连通孔的缺口部325,能将供给到气体导入室322内的NH3气体供给到气体活化室323的上方部。在此,例如从气体导入喷嘴34的气孔341到分隔壁324的距离“L1”被设定为能够例如从相邻的气孔341喷出的气体在气体导入室322内沿长度方向扩散、混合并沿该长度方向成为均匀的浓度而流入到各缺口部325的距离。
在气体活化室323内,由2个电介质构成的例如陶瓷制的套管35a、35b从该空间323基端侧向前端侧沿着分隔壁324延伸,这些套管35a、35b隔开间隔而沿水平方向互相平行地配置。在各套管35a、35b的管内,从基端部到前端部例如贯穿插入有耐热性优异的镍合金制的例如直径5mm左右的电极36a、36b。由此,一对电极36a、36b在由作为套管35a、35b的材料的陶瓷覆盖的状态下,处于例如隔开2mm~10mm之间的例如4mm的间隔而互相平行地延伸配置的状态。各电极36a、36b的基端侧被引出到注入装置主体321的外部,在真空容器1的外部经由耦合器与高频电源(都未图示)连接。并且,这些电极36a、36b起到如下作用:通过供给例如13.56MHz、例如10W~200W的范围中的例如100W的高频电力,利用电容耦合式等离子方式使在2支套管35a、35b之间的等离子体发生部351流过的NH3气体等离子化而活化。另外,如图11的放大俯视图所示,2支套管35a、35b贯穿注入装置主体321的基端部侧的侧壁而伸出到外部,这些套管35a、35b由固定在注入装置主体321的侧壁部上的、例如由陶瓷制的保护管37覆盖。
然后,在该等离子体发生部351的下方侧的注入装置主体321的底面上,用于将在该等离子体发生部351被等离子化后而活化的NH3气体向下方侧喷出的气体喷出孔33沿注入装置主体321的长度方向、即沿电极36a、36b的长度方向隔开间隔地排列。而且,如图10所示,从套管35b的顶部到气体活化室351的顶板面的距离“h1”与从套管35b的侧壁面到相对的分隔壁324的距离“w1”之间的关系例如是“h1≥w1”,从而由气体导入室322流入到气体活化室323的NH3气体,与流过分隔壁324和套管35b之间相比,主要是通过2个套管35a、35b之间而流到气体喷出孔33。
如图8所示,具有以上说明的构成的注入装置主体321配设成通过例如将前文所述的接头部38和保护管37固定到容器主体12的侧周壁上而单臂支承基端侧,成为使注入装置主体321的前端侧朝着旋转台2的中心部伸出的状态。而且,注入装置主体321的底面被调节成从气体活化室323的气体喷出孔33到载置于旋转台2的凹部24的晶圆W表面的距离例如为1mm~10mm的范围中的例如10mm的高度位置。在此,注入装置主体321从容器主体12装卸自如地构成,在保护管37和容器主体12之间的连接部例如采用未图示的O形密封圈来保持真空容器1内的气密状态。
而且,该实施方式的成膜装置设有由用于控制装置整体的动作的计算机构成的控制部100,在该控制部100的存储器内存储有用于使装置运转的程序。该程序编入有步骤群,以便执行后述的装置的动作,从硬盘、光盘、光磁盘、存储卡、软盘等存储介质安装到控制部100内。
接着,说明上述实施方式的作用。首先,打开未图示的闸阀,利用输送臂10从外部经由输送口15将晶圆W交接到旋转台2的凹部24内。该交接是在凹部24停止在与输送口15相面对的位置时通过未图示的升降销经由凹部24的底面的通孔从真空容器的底部侧升降来进行的。使旋转台2间歇性地旋转进行这样的晶圆W的交接,将晶圆W分别载置在旋转台2的5个凹部24内。接着,关闭闸阀,由真空泵64将真空容器1内抽成预定的压力,同时使旋转台2向顺时钟方向旋转,并且由加热器单元7加热晶圆W。具体来说,旋转台2由加热器单元7预先加热成例如300℃,晶圆W通过被载置在该旋转台2上而被加热。在由未图示的温度传感器确认了晶圆W的温度成为设定温度后,从第1反应气体喷嘴31和活性气体注入装置32分别喷出DCS气体和NH3气体,并且从分离气体喷嘴41、42喷出作为分离气体的N2气体。
此时,在活性气体注入装置32中,从气体供给口32a被导入的NH3气体被供给到气体导入喷嘴34,从设于气体导入喷嘴34的侧周壁的各气孔341喷到气体导入室322内。NH3气体在气体导入室322内扩散,沿注入装置主体321的长度方向形成均匀的浓度,并且如图12所示那样流向与上述气孔341相对的分隔壁324,到达分隔壁324而流动被阻挡的NH3气体沿着分隔壁324上升,经由缺口部325流入到气体活化室323的上方部。
另一方面,真空容器1内是真空气氛,因此流入到气体活化室323的上方部的NH3气体通过形成在2个套管35a、35b之间的等离子体发生部351,朝着气体喷出孔33流向下方。此时对各套管35a、35b内的电极36a、36b供给高频电力,通过等离子体发生部351的NH3气体处于被等离子化而活化的状态,流向气体喷出孔33。
活化的NH3气体通过了等离子体发生部351之后,立刻被供给到真空容器1内,所以几乎不会丧失活性,或与即使丧失了活性而流过长的喷嘴内之后被供给的情况相比,以活性降低很少的状态到达晶圆W表面上。而且,等离子体发生部351形成在沿着注入装置主体321的长度方向延伸的2支套管35a、35b之间,从下面侧观察该等离子体发生部351的区域的形状是与气体喷出孔33所排列的区域相对应的形状,因此活性的程度大致均匀的NH3气体被供给到在等离子体发生部351的正下方的各气体喷出孔33。利用该作用,从活性气体注入装置32供给活性程度沿长度方向大致相等的状态的NH3气体。
返回到成膜装置整体的作用的说明,晶圆W在旋转台2的旋转的作用下交替通过设有第1反应气体喷嘴31的第1处理区域P1、设有活性气体注入装置32的第2处理区域P2,因此吸附DCS气体,接着吸附活化的NH3气体,这些气体分子发生反应而形成1层或多层氮化硅的分子层,这样氮化硅的分子层依次层叠而形成规定的膜厚的氮化硅膜。
此时从分离气体供给管51也供给作为分离气体的N2气体,由此从中心部区域C、即从突出部5和旋转台2的中心部之间沿着旋转台2的表面喷出N2气体。在该例子中,在沿着配置有反应气体喷嘴31和活性气体注入装置32的第2顶面45的下方侧的空间的容器主体12的内周壁上,内周壁如前文所述那样被切掉而变大,排气口61、62位于该大的空间的下方,因此第2顶板面45的下方侧的空间的压力比第1顶板面44的下方侧的狭窄空间和上述中心部区域C的各压力低。图13示意性地表示从各部位喷出气体时的气流的状态。从活性气体注入装置32向下方侧喷出而碰到旋转台2的表面(晶圆W表面和晶圆W未载置区域表面这两者)并沿着该表面朝向旋转方向上游侧的NH3气体被从其上游侧流动来的N2气体吹回并且流入到旋转台2的周缘与真空容器1的内周壁之间的排气区域6中,由排气口62排出。
而且从活性气体注入装置32向下方侧喷出而碰到旋转台2的表面并沿着该表面朝向旋转方向下游侧流动的NH3气体由于从中心部区域C喷出的N2气体的气流和排气口62的吸引作用,而欲朝向该排气口62流动,但一部分朝向与下游侧相邻的分离区域D,欲流入扇形的凸状部4的下方侧。可是,该凸状部4的顶面44的高度和周向的长度在包含各气体的流量等在内的运转时的工艺参数中被设定为能防止气体进入该顶面44的下方侧的尺寸,因此,如图5B所示,NH3气体几乎无法流入到扇形的凸状部4的下方侧或即使稍微流入也无法到达分离气体喷嘴41附近,在从分离气体喷嘴41喷出的N2气体的作用下,被吹回旋转方向上游侧、即处理区域P2侧,与从中心部区域C喷出的N2气体一起从旋转台2的周缘、真空容器1的内周壁之间的间隙经由排气区域6被排气口62排出。
而且,从第1反应气体喷嘴31向下方侧喷出并沿着旋转台2的表面分别朝着旋转方向上游侧和下游侧的DCS气体完全无法进入到相邻于该旋转方向上游侧和下游侧的扇形的凸状部4的下方侧或即使进入也被向第2处理区域P1侧吹回,与从中心部区域C喷出的N2气体一起,从旋转台2的周缘、真空容器1的内周壁之间的间隙经由排气区域6被排气口61排出。即,在各分离区域D中,阻止在气氛中流动的作为反应气体的DCS气体或NH3气体的进入,但是被晶圆W吸附的气体分子保持原有状态地通过分离区域即比扇形的凸状部4的低的顶面44的下方,有助于成膜。
而且,第1处理区域P1的DCS气体(第2处理区域P2的NH3气体)欲进入中心部区域C内,但如图7和图13所示,分离气体从该中心部区域C朝着旋转台2的周缘喷出,因此即使进入被分离气体阻止,或即使多少进入而被吹回,通过该中心部区域C而流入第2处理区域P2(第1处理区域P1)的情况被阻止。
然后,在分离区域D中,扇形的凸状部4的周缘部向下方弯曲,弯曲部46和旋转台2的外端面之间的间隙如前文所述那样变小而实质上阻止气体的通过,因此第1处理区域P1的DCS气体(第2处理区域P2的NH3气体)经由旋转台2外侧而流入到第2处理区域P2(第1处理区域P1)也被阻止。从而,第1处理区域P1的气氛和第2处理区域P2的气氛被2个分离区域D完全分离,DCS气体被排气口61排出,并且NH3气体被排气口62排出。结果,两反应气体(在该例子中是DCS气体和NH3气体)无论在气氛中,还是在晶圆W上都不会混合。另外,在该例子中,利用N2气体对旋转台2的下方侧进行吹扫,所以完全不必担心流入到排气区域6中的气体钻过旋转台2的下方侧,例如DCS气体流入到NH3气体的供给区域。这样,成膜处理结束时,各晶圆W利用与搬入动作相反的动作依次由输送臂10搬出。
在此,预先记载了处理参数的一个例子时,在300mm直径的晶圆W作为被处理基板的情况下,旋转台2的转速例如为1rpm~500rpm,处理压力例如为1067Pa(8Torr),晶圆W的加热温度例如为350℃,DCS气体和NH3气体的流量例如分别为100sccm和10000sccm,来自分离气体喷嘴41、42的N2气体的流量例如为20000sccm,来自真空容器1的中心部的分离气体供给管51的N2气体的流量例如为5000sccm。针对于1张晶圆W的反应气体供给的循环数,即晶圆W分别通过处理区域P1、P2的次数根据目标膜厚而变化,例如是600次。
根据本实施方式的成膜装置,具有以下效果。一种成膜装置,其沿旋转台2的旋转方向配置多个晶圆W,使旋转台2旋转而按顺序通过第1处理区域P1、第2处理区域P2,进行所谓ALD(或MLD),其中,在供给NH3气体的活化气体注入装置32内,具有用于使NH3气体活化的一对电极36a、36b,这些电极36a、36b沿着注入装置32的长度方向互相平行地延伸设置。因此,例如与在成膜装置的真空容器1的侧壁部上设置电极、经由细长的喷嘴将被活化的NH3气体供给到真空容器1内的情况相比,能均匀地供给被活化的NH3气体,例如在从活化气体注入装置32的基端侧、前端侧供给NH3气体的晶圆W面内能形成膜质均匀的SiN膜。
而且,在如前文所述那样例如在真空容器1的侧壁部上设置电极来供给NH3气体的情况下,需要以使NH3气体活性尽可能高的状态供给到喷嘴的前端侧,需对电极施加高频电力而形成分解度高的等离子体。相对于此,实施方式的活化气体注入装置32在被配置于旋转台2所载置的晶圆W的正上方的位置、例如1mm~10mm的范围中的例如10mm的高度位置上的注入装置主体321内设有电极36a、36b,与上述的例子相比,即使不提供那样程度的等离子体的分解度,也能得到成膜时的反应所需的活性。结果,不需增加很多发生等离子体所需的能量消耗量也能进行成膜。
而且,本实施方式成膜装置将多个晶圆W配置在旋转台2的旋转方向上,使旋转台2旋转而按顺序通过第1处理区域P1、第2处理区域P2来进行所谓ALD(或MLD),所以能用高的生产率进行成膜处理。并且,在上述旋转方向上,在第1处理区域P1、第2处理区域P2之间设有具有低的顶面的分离区域D,并且从由旋转台2的旋转中心部、真空容器1划分成的中心部区域C朝着旋转台2的周缘喷出分离气体,上述反应气体与扩散到上述分离区域D的两侧的分离气体和从上述中心部区域C喷出的分离气体一起经由旋转台2的周缘和真空容器的内周壁之间的间隙被排出,所以能防止两反应气体的混合,结果,能进行良好的成膜处理,而且在旋转台2上,完全不会产生或极力抑制产生反应生成物,从而抑制微粒的发生。另外,本发明也能适用于将1个晶圆W载置在旋转台2上的情况。
而且,如前文所述那样活化气体注入装置32从真空容器1的容器主体12装卸自如地构成,所以容易进行该注入装置32的维护、改造、新的反应气体喷嘴的更换。但是,活化气体注入装置32不只限于固定在容器主体12的侧周壁上的情况,例如也可以形成为固定在真空容器1的顶板11的底面上的结构。
接着,采用图14~图16对第2实施方式的活化气体注入装置32a进行说明。另外,在这些图中,对与前文所述的第1实施方式的活化气体注入装置32具有同样的功能的构件标注与图9~图12所示的构件相同的附图标记。
第2实施方式的活化气体注入装置32a由高纯度矾土制造,该高纯度矾土具有优异的抗等离子体蚀刻性,而且与前文所述的第1实施方式的活化气体注入装置32的材料石英相比,容易加工。如图14所示,在本实施方式的活化气体注入装置32a的注入装置主体321上,气体导入件39与气体导入室322基端侧的侧壁部相连接,NH3气体从该气体导入件39的开口部被导入到气体导入室322内这一点与采用气体导入喷嘴34的第1实施方式不同。而且,在分隔壁324的大约中央的高度位置,将气体导入室322与气体活化室323连通的连通孔326沿分隔壁324的长度方向隔开间隔地排列这一点也与在分隔壁324的上部设置了长方形状的缺口部325的第1实施方式不同。
另一方面,如图14、图15所示,对于气体活化室323,由电介质制的鞘构件352、354形成各电极36a、36b的配置空间353、355,将各电极36a、36b贯穿配置在这些配置空间353、355内这一点也与使用套管35a、35b的第1实施方式不同。在该例子中,2个电极沿上下方向互相平行地配置,在上方的鞘构件352的下表面与下方的鞘构件354的上表面之间形成与等离子体发生部351相当的间隙地配置2个鞘构件352、354。这些鞘构件352、354例如是与注入装置主体相同的高纯度矾土制的,例如用陶瓷粘接剂等将分别加工的鞘构件352、354接合等而安装在注入装置主体内。另外,能进行加工的情况下,当然本实施方式的活化气体注入装置32a也能是石英制的。
结果,与第1实施方式说明的情况相同,电极36a、36b例如隔开2mm~10mm范围中的例如4mm的间隔配置,通过从外部的高频电源施加高频电力,使流过离子体发生部351的NH3气体等离子化。
而且,如图15所示,下方侧的鞘构件354被配置在从注入装置主体321的侧壁部离开的位置,成为通过等离子体发生部351的NH3气体通过了形成在该侧壁部和鞘构件354之间的空间后、经由气体喷出孔33被供给到真空容器1内的构造。
下面对第2实施方式的活化气体注入装置32a的作用进行说明,如图16所示,从气体导入件39被导入的NH3气体从基端侧向前端侧地流过气体导入室322内,并经由各连通孔326,流入到气体活化室323内。流入到气体活化室323的NH3气体流过形成在上下的鞘构件352、354之间的等离子体发生部351而被等离子化,成为被活化的状态流向气体喷出孔33。在该例子中,活化的NH3气体通过等离子体发生部351之后立即被供给到真空容器1内,因此能以活性高的状态将NH3气体供给到晶圆W表面上。而且,在本实施方式的活化气体注入装置32a中,从分隔壁324的连通孔326向气体喷出孔33的流路只有1个,因此所有的NH3气体流过等离子体发生部351,能高效率地使NH3气体等离子化。
在此,构成流路形成构件的注入装置主体321的形状不限于与第1、第2实施方式所示的框体状。例如,如图17和图18所示,也可以采用圆管状的注入装置主体321来构成活化气体注入装置32b。该例子的活化气体注入装置32b例如将气体导入喷嘴34插入到圆管状的注入装置主体321内而形成双层圆管构造,成为沿着该气体导入喷嘴34的长度方向延伸地将一对电极36a、36b配置在该气体导入喷嘴34的下方侧的构造。气体导入喷嘴34被配置成例如将气孔341朝着上方侧地配置在注入装置主体321内,在该气体导入喷嘴34的下方侧并且在气体导入喷嘴34的外壁面和注入装置主体321的内壁面之间的空间中,贯穿插入到各套管35a、35b内的电极36a、36b沿横向排列。结果,在设置于注入装置主体321的气体喷出孔33的上方形成有被一对电极36a、36b夹着的等离子体发生部351。
然后,如图18中的箭头所示的NH3气体的气流所示,从气体导入喷嘴34被供给到注入装置主体321内的NH3气体通过气体导入喷嘴34的外壁面和注入装置主体321的内壁面之间的空间,到达等离子体发生部351,被该等离子体发生部351等离子化,被活化之后,经由气体喷出孔33被供给到晶圆W表面上。在该例子中,气体导入喷嘴34的内侧的空间相当于作为气体导入用流路的气体导入室322,该气体导入喷嘴34、注入装置主体321所夹着的空间相当于作为气体活化用流路的气体活化室323。而且气体导入喷嘴34的管壁相当于对这些气体导入用流路322、气体活化用流路323进行划分的分隔壁,设置在该管壁上的气孔341相当于将上述2个流路322、323连通的连通孔。
接着,对利用加热器作为使反应气体活化的部件的第3实施方式的活化气体注入装置32c进行说明。图19和图20是分别表示第3实施方式的活化气体注入装置32c的内部构造的立体图和纵剖视图,对于与前文所述的第1实施方式的活化气体注入装置32具有同样功能的构件标注与图9~图12所示的构件同样的附图标记。
第3实施方式的活化气体注入装置32c例如在构成流路形成构件的框体状的高纯度矾土制的注入装置主体321内部由分隔壁324分隔成作为气体导入用流路的气体导入室322和作为气体活化用流路的气体活化室323地形成。气体导入件39与在气体导入室322基端侧的侧壁部连接,而在气体活化室323中设有后述的加热器30,这2个空间322、323经由沿分隔壁324的长度方向隔开间隔地排列的连通孔326相连通。
如图21的立体图所示,气体活化室323内的加热器30例如是由将电阻发热丝303缠绕成的筒状体302以及覆盖该筒状体302的圆筒状的罩体301构成的双层管构造。筒状体302例如是矾土等陶瓷制的圆筒,在该筒状体302的内侧从基端侧到前端侧贯穿有电阻发热丝303。从筒状体302的前端拉出的电阻发热丝303沿着筒状体302的外周面朝着基端侧缠绕,通过从后述的电源部307供给的电力而发热,起到对被供给到气体活化室323内的NH3气体进行加热而使NH3气体活化的作用。
罩体301是覆盖前文所述的筒状体302的细长的圆筒状的构件,例如由石英等透明的构件构成,能使来自电阻发热丝303的放射热透过到气体活化室323内。如图19所示,罩体301的前端侧封闭,而其基端侧被固定在注入装置主体321的侧壁部上,罩体301的内部成为与气体活化室323隔绝的气氛。而且,筒状体302以贯穿了注入装置主体321的侧壁部的状态被固定,前文所述的电阻发热丝303从该筒状体302基端侧引出并贯穿图19所示的保护管37,成为供电线304而与电源部307连接。
而且,例如,如图21所示,例如由热电偶等构成的温度检测端305被插入到罩体301和筒状体302之间的空间,如图19所示,从该温度检测端305引出的导线306通过注入装置主体321外部的保护管37而与温度检测部308连接。温度检测部308起到将例如基于作为热电偶的温度检测端305的电动势所测量的温度数据向前文所述的控制部100输出的作用,控制部100基于所测量的温度数据来调节电源部307的输出,从而能调节电阻发热丝303的输出。
下面说明第3实施方式的活化气体注入装置32c的作用,从气体导入件39被导入的NH3气体在气体导入室322内从基端侧向前端侧流动,并经由各连通孔326流入到气体活化室323内。流入到气体活化室323的NH3气体受到来自加热器30的放射热而被加热,成为被活化的状态而流向气体喷出孔33。在该例子中,活化的NH3气体在气体活化室323内被活化之后立即供给到真空容器1内,因此能以高活性的状态将NH3气体供给到晶圆W表面上。而且,利用来自加热器30的热辐射,因此能对在气体活化室323内流动的NH3气体均匀地进行加热,例如,如采用了前文所述的等离子体的活化方式那样,不需要进行使NH3气体流过形成有等离子体的区域那样的流路调节,使活化注入装置32c的构造简单化。
而且,采用加热器30的情况下,例如,如图22、图23所示的第4实施方式的活化气体注入装置32d那样,也可以不设置分隔壁324就能将注入装置主体321的框体内全部作为气体活化室323。在该例子中,例如为将气体导入喷嘴34与加热器30平行地从气体导入件39插入到气体活化室323内的结构,NH3气体由设置在该气体导入喷嘴34的侧壁面上的气孔341被导入到气体活化室323内并被加热,以活化的状态经由气体喷出孔33供给到晶圆W。即,在图22、图23所示的活化气体注入装置32d中,气体导入喷嘴34内的空间相当于气体导入用流路,该气体导入喷嘴34的管壁相当于气体导入用流路与气体活化室323之间的分隔壁。这种情况下,设置在喷嘴34上的气孔341相当于该气体导入用流路与气体活化室(气体活化用流路)323之间的连通孔。
而且,在该例子中,注入装置主体321不限于框体状。例如,在图17和图18所示的主体321的形状为圆筒状的活化气体注入装置32b中,在气体导入喷嘴34的下方替代电极36a、36b而配置了前文所述的第3、第4实施方式中所示的加热器30的活化气体注入装置也包括在本发明的范围中。
作为本发明所适用的处理气体,除了上述的例子之外,作为构成SiN膜的Si的原料的反应气体,例如也可以采用硅烷、HCD(六氯乙硅烷)等,作为N的原料的反应气体,也可以采用N2、N2O等。这些原料气体中、如上述的各实施方式所述,也可以对作为N的原料的反应气体等离子化而活化,也可以对作为Si的原料的反应气体进行等离子化。
本实施方式的成膜装置不限于形成SiN膜的工艺,可以适用于例如形成SiO2膜和其他材料的膜的工艺。在这种情况下,例如作为原料气体的第1反应气体,采用BTBAS(双叔丁基氨基硅烷)、DCS(二氯硅烷)、HCD(六氯乙硅烷)、TMA(trimethylaluminum:三甲基铝)、3DMAS(三(二甲氨基)硅烷)、TEMAZ(四(二乙基氨基)锆)、TEMHF(四-(乙基甲基胺基酸)-铪)、Sr(THD)2【(双四甲基甲基庚二酮酸)锶】、Ti(MPD)(THD)【(甲基庚二酮双四甲基庚二酮酸)钛】、单氨基硅烷等,作为氧化这些原料气体的氧化气体的第2反应气体,采用O3气体(臭氧气体)和水蒸气等,在前文所述的第1、第2实施方式所述的活化气体注入装置32、32a~32d也可以应用于供给这些反应气体中的例如氧化气体的反应气体喷嘴。另外,当然也可以将活化气体注入装置32、32a~32d适用于原料气体的供给。
而且,第1、第2实施方式所示的各活化气体注入装置32、32a的构成也不限于各实施方式所例示的构成例,例如也可以将NH3气体喷出到真空容器1内的气体喷出孔33构成为狭缝状。而且,在第2实施方式中,也可以将鞘构件352、354隔开间隔地左右并列配置在注入装置主体321的底面上,将气体喷出孔33配置在形成于该鞘构件352、354间的等离子体发生部351的下方。而且,在第2实施方式中,也可以替代用分隔壁324将注入装置主体321内划分成2个空间322、323,不设置分隔壁324而将第1实施方式所示的气体导入喷嘴34插入一体化的气体活化室323内,从该气体导入喷嘴34朝着等离子体发生部351喷出NH3气体。
并且,如图24A、图24B中以上述分离气体供给喷嘴41为代表地所示那样,在例如300mm直径的晶圆W作为被处理基板的情况下,优选形成分别位于上述分离气体供给喷嘴41(42)的两侧的狭窄的空间的上述第1顶面44在晶圆W的中心WO通过的部位沿着旋转台2的旋转方向的宽度尺寸L为50mm以上。为了有效地阻止反应气体从凸状部4的两侧进入该凸状部4的下方(狭窄的空间)中,在上述宽度尺寸L小的情况下,需要根据该宽度尺寸L也缩小第1顶面44和旋转台2之间的距离。而且,将第1顶面44和旋转台2之间的距离设置为一定尺寸时,越离开旋转台2的旋转中心,旋转台2的速度越快,因此对于为了得到阻止反应气体的进入的效果所要求的宽度尺寸L,在距离旋转中心越远的部位其宽度尺寸L越大。从这样的方面考察的话,晶圆W的中心WO通过的部位的上述宽度尺寸L小于50mm时,需要第1顶面44和旋转台2的距离相当小,使旋转台2旋转时,为了防止旋转台2或晶圆W与顶面44的碰撞,要求尽量抑制旋转台2的晃动。而且,旋转台2的转速越快,反应气体越容易从凸状部4的上游侧进入该凸状部4的下方侧,因此上述宽度尺寸L小于50mm时,必须降低旋转台2的转速,在生产率的方面不是上策。所以优选宽度尺寸L是50mm以上,但即使是50mm以下,也并不是无法得到本发明的效果。即,优选上述宽度尺寸L是晶圆W直径的1/10~1/1,更优选是大约1/6以上。另外,在图24A中,为了图示方便,省略了凹部24的记载。
在此,对于处理区域P1、P2和分离区域D的各布局列举上述的实施方式之外的其他例子。图25是例如使活化气体注入装置32(在以下的各图的说明中,替换前文所述的各活化气体注入装置32a、32b、32c、32d的情况下也同样)位于输送口15的旋转台2的旋转方向上游侧的例子,即使是这样的布局也能得到同样的效果。
而且,本发明为了在分离气体喷嘴41(42)的两侧形成狭窄的空间,需要设置低的顶面(第1顶面)44,但形成为如图26所示那样在反应气体喷嘴31(活化气体注入装置32)的两侧也同样设有低的顶面并使这些顶面连续的构成、也就是形成为在设有分离气体喷嘴41(42)和反应气体喷嘴31(活化气体注入装置32)的部位之外,在与旋转台2相对的区域整个面上设有凸状部4的构成,也能得到同样的效果。若对该构成有别的解释,该构成就是分离气体喷嘴41(42)的两侧的第1顶面44扩大到反应气体喷嘴31(活化气体注入装置32)的例子。在这种情况下,分离气体扩散到分离气体喷嘴41(42)的两侧,反应气体扩散到反应气体喷嘴31(活化气体注入装置32)的两侧,两气体在凸状部4的下方侧(狭窄的空间)合流,但是这些气体从位于反应气体喷嘴31(活化气体注入装置32)和分离气体喷嘴42(41)之间的排气口61(62)被排出。
在以上的实施方式中,旋转台2的旋转轴22位于真空容器1的中心部,使分离气体对旋转台2的中心部、真空容器1的上面部之间的空间进行吹扫,但本发明也可以如图27所示那样构成。在图27的成膜装置中,真空容器1的中央区域的底面部14向下方侧突出而形成驱动部的收容空间80,并且凹部80a形成在真空容器1的中央区域的上表面上,在真空容器1的中心部使支柱81介于收容空间80的底部和真空容器1的上述凹部80a的上表面之间,防止来自第1反应气体喷嘴31的DCS气体和来自活化气体注入装置32的NH3气体经由上述中心部混合。
对于使旋转台2旋转的机构,围着支柱81地设置旋转套筒82,沿着该旋转套筒82设置环状的旋转台2。并且,在上述收容空间80设置有由电动机83驱动的驱动齿轮部84,利用该驱动齿轮部84,经由形成在旋转套筒82的下部的外周的齿轮部85,使该旋转套筒82旋转。86、87和88是轴承部。而且,在上述收容空间80的底部连接有吹扫气体供给管74,并且真空容器1的上部与用于将吹扫气体供给到上述凹部80a的侧面与旋转套筒82的上端部之间的空间的吹扫气体供给管75连接。在图27中,用于将吹扫气体供给到上述凹部80a的侧面与旋转套筒82的上端部之间的空间的开口部存在左右2处,为了使DCS气体和NH3气体不经由旋转套筒82的附近区域混合,优选对开口部(吹扫气体供给口)的排列数进行设计。
在图27的实施方式中,从旋转台2侧看来,上述凹部80a的侧面和旋转套筒82的上端部之间的空间相当于分离气体喷出孔,并且由该分离气体喷出孔、旋转套筒82和支柱81构成位于真空容器1的中心部的中心部区域。
不过,在上述实施方式中,如图2、3等所示,将成膜装置实现为旋转台式的成膜装置,但在其他实施方式中,也可以实现为传送带式的成膜装置。在这样的成膜装置中,晶圆不是被载置在旋转台2上而是被载置在传送带上,交替通过沿着传送带配置的第1处理区域、包括低的顶面和分离气体喷嘴的分离区域、第2处理区域、以及包括低的顶面和分离气体喷嘴的另一分离区域。而且,本成膜装置在其他实施方式中也可以实现为单片式成膜装置。这种情况下,晶圆被载置在固定的基座上,反应气体喷嘴、分离气体喷嘴、凸状部等相对于基座上的晶圆移动。
图28表示采用了如上所述的成膜装置的基板处理装置。在图28中,附图标记101是例如收纳25张晶圆的被称为前开式晶圆传送盒(Front Opening Unified Pod)的封闭型的输送容器,附图标记102是配置有输送臂103的大气输送室,附图标记104、105是能在大气气氛和真空气氛之间转换气氛的加载互锁真空室(预备真空室)、附图标记106是2个配置有输送臂107的真空输送室,附图标记108、109是本发明的实施方式的成膜装置(包含后述的实施方式的成膜装置)。输送容器101从外部被输送到具有未图示的载置台的搬入搬出部,与大气输送室102连接之后,利用未图示的开闭机构打开盖子,利用输送臂103从该输送容器101内取出晶圆。随后搬入加载互锁真空室104(105)内,将该室内从大气气氛换成真空气氛,之后利用输送臂107取出晶圆,搬入成膜装置108、109中的一个上,进行前文所述的成膜处理。这样通过具有多个、例如具有2个例如5张处理用的本发明的成膜装置,能以高的生产率实施所谓ALD(MLD)。
在本发明中,也可以例如分别采用BTBAS气体和O3气体作为上述第1反应气体和第2反应气体,并且在前文所述的活化气体注入装置32(32a~32d)中将这些反应气体活化而形成SiO2膜,这一点已经论述,但在形成该SiO2膜的情况下,也可以为了进行该SiO2膜的改性而采用活化气体注入装置。参照图29~图35对具有如上述用于对SiO2膜进行改性的活化气体注入装置的具体的成膜装置进行说明。
另外,在以下的例子中,对与前文所述的例子相同的结构的部位标注相同的附图标记而省略说明。
如图29和图30所示,用于供给作为第2反应气体的O3气体的第2反应气体喷嘴210作为第2反应气体供给部件而设置在该成膜装置中,该喷嘴210在旋转台2的旋转方向上配置在前文所述的输送口15的上游侧。该喷嘴210与第1反应气体喷嘴31大致相同地构成,沿与旋转台2的旋转方向交叉的方向水平地延伸,作为基端部的气体供给口211贯穿真空容器1的侧周壁而气密地安装。而且,在该喷嘴210上经由气体供给口211在真空容器1的外部连接有未图示的O3气体的供给源。在喷嘴210上,与前文所述的喷嘴31相同,用于将反应气体喷出到下方侧的未图示喷出口朝着正下方形成,该喷出口的直径为0.5mm,间隔为例如10mm地沿喷嘴210的长度方向排列。该喷嘴210的下方区域相当于前文所述的第2处理区域P2。
而且,如图31所示,在旋转台2的旋转方向上,在输送口15(第2反应气体喷嘴210)与第1反应气体喷嘴31的上游侧的分离区域D之间,与前文所述的活化气体注入装置32相同地设有用于使处理气体活化(离子化)的活化气体注入装置(等离子体注入装置)220。
该活化气体注入装置220具有罩体(气流形成部件)221,图32A是取下了罩体221的状态的图,图32B是表示配置了罩体221的外观。即,如图32A和图32B所示,该活化气体注入装置220包括:大致箱型的扁平框体即罩体221,该罩体221的下面侧开口,并且沿着旋转台2的径向,在该例子中从真空容器1的容器主体12(图30)的周壁朝着真空容器1的中央呈水平状延伸;以及沿着长度方向被收纳在该罩体221内的前文所述的气体导入喷嘴34和一对套管35a、35b。
该罩体221例如由石英构成,而且如前文所述的图31所示,利用支承部件223从真空容器1的顶板11沿着长度方向悬吊在多处。而且,如图32B所示,该罩体221以使旋转台2的旋转方向(周向)的两侧面的下端部分别向左右外侧弯曲成大致直角并呈凸缘状地水平延伸的方式形成有气流限制面222,而且,以使与中心部区域C相对的侧端面的下端部朝着中心部区域C侧弯曲成大致直角并呈凸缘状水平延伸的方式形成有气流限制面222,作为整体的外观为帽(帽子)型的形状。另外,对于支承部件223,除了图31之外省略图示。
如图33所示,该气流限制面222用于通过使该气流限制面222的下端面和旋转台2的上表面之间的间隙t水平且窄成例如2mm以下,从而抑制真空容器1的内部的气氛气体经由该间隙t进入到罩体221的内部区域。此时,从旋转台2的径向内周侧越朝向外周侧,随着旋转台2的旋转流动的气体的流速越快,气体进入到罩体221内的可能性越大,该气流限制面222形成为宽度尺寸u从旋转台2的径向内周侧到外周侧逐渐变大。对于该气流限制面222的宽度尺寸u举一个例子,晶圆W位于罩体221的下方位置时,旋转台2的旋转中心侧的与晶圆W的外缘相对的部位的宽度尺寸u例如为80mm,真空容器1的内周壁侧的与晶圆W的外缘相对的部位的宽度尺寸u例如为130mm。另一方面,气体导入喷嘴34和套管35a、35b被收纳的部位的罩体221的上端面与真空容器1的顶板11的下表面之间的尺寸大于上述间隙t的尺寸而设定为20mm以上,例如30mm。
上述气体导入喷嘴34和套管35a、35b构成为,从气体导入喷嘴34的气孔341对横向排列并互相隔开间隔水平配置的套管35a、35b水平地喷出等离子体发生用的处理气体例如Ar(氩)气体,并且,如后所述,气密地安装成从真空容器1的侧周壁例如水平地延伸。
套管35a、35b在该例子中由高纯度石英构成,对套管35a、35b的表面涂敷膜,使得抗等离子体蚀刻优异的例如钇(氧化钇、Y2O3)膜例如膜厚为100μm左右。而且,这些套管35a、35b被配置成贯穿插入各自的内部的电极36a、36b间的离开距离为10mm以下例如为4.0mm。这些电极36a、36b构成为例如经由耦合器从真空容器1的外部的高频电源例如供给13.56MHz、例如500W以下的高频电力(都未图示)。在该例子中,气体导入喷嘴34的内侧的空间相当于气体导入用流路,罩体221相当于流路形成构件,配置有套管35a、35b并且处理气体被活化的区域相当于气体活化用流路。而且,气体导入喷嘴34的管壁相当于划分这些气体导入用流路、气体活化用流路的分隔壁,气体导入喷嘴34的气孔341相当于将这些气体导入用流路与气体活化用流路连通的连通孔。并且,套管35a、35b的下方区域与将被活化的气体对晶圆W喷出的喷出口相对应。
接着,说明将上述的套管35a、35b安装到真空容器1的侧周壁上的安装方法。如图34A所示,在真空容器1的侧周壁上形成有用于安装设在套管35a、35b的端部的前文所述的保护管37的通孔230,在该通孔230内插入有大致圆筒状的外套筒231,该外套筒231形成为从真空容器1的外侧延伸,并且外径小于通孔230的开口直径地形成,并且前端部(真空容器1的内部侧)的内周面朝着真空容器1的内部侧呈锥状地缩径。该外套筒231与真空容器1的侧壁借助在形成于外套筒231的端面的凸缘部232中未图示的O型密封圈被气密地固定。在该图34A和图34B中,附图标记233是用于供螺栓234贯穿凸缘部232而将外套筒231、真空容器1的侧壁气密地固定的固定孔。如图34B所示,固定孔233沿上下方向呈细长状,在固定孔233和螺栓234的螺纹部之间沿上下产生间隙。因此,外套筒231能在通孔230内沿上下方向调整位置。具体来说,在本实施方式中,套管35a、35b的下端面与旋转台2上的晶圆W的表面之间的距离例如能在3.0mm~9.0mm之间进行调整。外套筒231和保护管37上下移动,而调整位置,在所调整的位置上由螺栓234固定即可。
在外套筒231内,从真空容器1的内部侧按O型密封圈236、内套筒237、O型密封圈236和连接管235的顺序覆盖保护管37的外周侧地设置,例如由未图示的固定构件从真空容器1的外侧压靠连接管235,从而外套筒231和保护管37由O型密封圈236、236气密地紧密结合。在该图34中,附图标记238是从套管35a、35b向真空容器1的外侧延伸的供电线。
而且,在真空容器1的内部从下方侧支承保护管37地设有倾斜调整机构240。该倾斜调整机构240例如是沿着外套筒231的内面延伸的板状的构件,例如由螺栓等螺纹调整件241调整上端面的高度位置并固定在真空容器1的内周壁上。因此,通过调整该倾斜调整机构240的上端面的高度位置,保护管37的基端侧(外套筒231内的端部)保持由O型密封圈236气密地压紧的状态,使真空容器1侧的端部上下移动,所以保护管37(套管35a、35b)在旋转台2的径向上倾斜。对这样使套管35a、35b倾斜的例子进行说明,例如,如图35所示,调整成旋转台2的旋转中心侧被抬起到上方,也就是说调整成晶圆W位于套管35a、35b的下方时,例如套管35a、35b的下端位置与接近旋转台2的旋转中心的晶圆W的周缘、以及套管35a、35b的下端位置与接近真空容器1的内周壁的晶圆W的周缘之间的高度尺寸分别被调整为6.0mm、4.0mm。前文所述的气体导入喷嘴34也构成为随着保护管37(套管35a、35b)上下和倾斜。另外,在该图35中,夸张地描绘套管35a、35b的倾斜状况。
如图29和图30所示,前文所述的第1反应气体喷嘴31构成为从未图示的气体供给源供给作为第1反应气体的BTBAS气体,在该例子中,从抑制BTBAS气体被分离气体稀释而且增加BTBAS气体与晶圆W的接触时间等理由出发,设置与上述罩体221大致相同结构的气流限制构件250来覆盖该喷嘴31。
接着,说明该实施方式的作用。与前文所述的例子相同,例如在旋转台2载置5张晶圆W,将真空容器1内调整为规定的真空度例如286.6Pa(2Torr)~1066.6Pa(8Torr),并且使旋转台2例如以240rpm旋转。而且,将晶圆W例如加热成350℃,分别以规定的流量例如100sccm、10000sccm从各喷嘴31、220供给BTBAS气体和O3气体,并且以各自规定的流量例如20000sccm对各分离区域D供给N2气体,而且也以规定的流量对中心部区域C和旋转台2的下方区域供给吹扫气体。并且,以规定的流量例如5000sccm将等离子体生成用的处理气体例如Ar气体供给到气体导入喷嘴34,并且从未图示的高频电源对电极36a、36b供给规定的数值的电力例如500W的高频。
在活化气体注入装置220中,从气体导入喷嘴34朝着套管35a、35b喷出的Ar气体被供给到这些套管35a、35b之间的高频活化,成为Ar离子、Ar基,这些活性种(等离子体)向下方喷出。此时,覆盖套管35a、35b和气体导入喷嘴34地设有罩体221,因此该罩体221和气流限制面222与旋转台2之间的间隙t变小,罩体221内的气体、离子难以被排出到外部。因此,罩体221的内部的气氛是比罩体221的外部稍微加压的加压状态。
然后,利用旋转台2的旋转,晶圆W到达第1反应气体喷嘴31的下方区域时,BTBAS气体吸附在该晶圆W的表面上,接着晶圆W到达第2反应气体喷嘴210的下方区域时,O3气体就与吸附到晶圆W表面上BTBAS气体发生反应,形成1层或多层作为反应生成物的SiO2膜的分子层。形成在该晶圆W的表面上的SiO2膜在极短的时间内被形成,有时处于氧比理想的成分比(Si∶O=1∶2)更多的状态。
接着,该晶圆W到达活化气体注入装置220的下方区域时,进行形成在晶圆W上的SiO2膜的改性处理。具体来说,例如Ar离子撞到晶圆W的表面,氧元素被从SiO2膜释放,SiO2膜变得接近于理想的成分比。而且,该SiO2膜内的元素在该离子的冲击下进行再次排列,实现SiO2膜的致密化(高密度化)。因此,该SiO2膜如后所述的实施例所示,提高了抗湿蚀刻性。并且,例如在SiO2膜中含有有机物等杂质的情况下,杂质在该Ar离子的冲击下例如气化而被从该SiO2膜排出。此时,晶圆W利用旋转台2的旋转进行公转,从通过活化气体注入装置220的下方的晶圆W看来,外周侧的速度比旋转中心侧的速度更快,所以存在旋转中心侧被强烈地改性的情况。但是,如前文所述,将倾斜调整机构240固定成使套管35a、35b在旋转台2的径向方面倾斜而使旋转中心侧比外周侧高(如离开间距那样),因此晶圆W在活化气体注入装置220的整个长度方向均匀地进行改性处理。
而且,在该真空容器1内,因为在活化气体注入装置220和第2反应气体喷嘴210之间未设置分离区域D,所以在旋转台2的旋转的引领下,O3气体和N2气体从上游侧朝着活化气体注入装置220流动。但是,如前文所述那样覆盖电极36a、36b、气体导入喷嘴34地设置罩体221,所以罩体221的上方侧的区域比罩体221的下方侧(气流限制面222和旋转台2之间的间隙t)变大,从上游侧流动来的气体变得难以进入罩体221的下方侧。而且,如前文所述那样罩体221的内部气氛为比该罩体221的外侧的气氛稍微加压的加压气氛,因此从上游侧流动来的气体也变得难以进入该罩体221的内部。并且,朝着活化气体注入装置220流动的气体被旋转台2的旋转从上游侧引领来,所以流速从旋转台2的径向内周侧越朝着外周侧变得越快,但外周侧的气流限制面222的宽度尺寸u比旋转台2的内周侧的宽度尺寸大,因此抑制气体在活化气体注入装置220的整个长度方向进入罩体221的内部。因此,如前文所述的图33所示,从上游侧朝着活化气体注入装置220流动来的气体经由罩体221的上方区域流到下游侧的排气口62。因此,这些O3气体和N2气体几乎不受被高频活化等影响而且晶圆W也几乎不受这些气体的影响。另外,在Ar离子的冲击下,从SiO2膜排出的氧元素与Ar气体和N2气体等一起朝着排气口62排放。
接着,晶圆W通过活化气体注入装置220下游侧的分离区域D后,晶圆W通过第1反应气体喷嘴31和第2反应气体喷嘴210的下方区域时,同样形成含氧多的SiO2膜。此时,如图36的左侧所示,在上层(N+1)侧的SiO2膜与先形成的下层(N)侧的SiO2膜之间存在Si元素彼此之间未形成紧密的键(Si-O-Si)的情况。因此,该晶圆W到达活化气体注入装置220的下方区域时,同样由于Ar离子,氧元素从SiO2膜内被排出,而且还进行元素间的再结合(再排列),但如图36的右侧所示,该再排列在上下的SiO2膜的整个层进行。也就是说,SiO2膜内的Si-O-Si的键形成3维结构。这样一来,通过以规定的转数例如1000转进行旋转台2的旋转,反复进行SiO2膜的形成(BTBAS气体的吸附以及该BTBAS气体与O3气体的反应)、由Ar离子使氧元素的排出、Si元素的再排列和杂质的排出,在膜厚方向形成有致密且结合紧密、杂质浓度少的例如膜厚为100nm左右的薄膜。
根据上述实施方式,等离子体供给源能置于基板表面附近(薄膜的附近),因此在基板表面附近产生活性种,因此,以高的浓度将寿命短的离子和基供给到薄膜上。然后,使旋转台2旋转而使BTBAS气体吸附在晶圆W上,随后将O3气体供给到晶圆W的表面上而使吸附在晶圆W表面的BTBAS气体反应,形成SiO2膜,这时形成SiO2膜之后,利用活化气体注入装置220对晶圆W上的SiO2膜供给Ar离子。因此,SiO2膜内的多余的氧元素被排出,而且引起元素的3维再排列,所以能使SiO2膜致密化。而且,通过供给Ar离子,杂质从SiO2膜中被排出,因此能得到致密且杂质浓度低的薄膜。如后述的实施例所示,该薄膜与改性处理前的SiO2膜相比,例如提高了浸渍在氢氟酸水溶液时的抗湿蚀刻性,因此在由形成有该SiO2膜的晶圆W制造的装置中,能提高可靠性。而且,使用活化气体注入装置220时,与所谓的远程等离子体相比,能使氧化硅膜的改性高效化。在远程等离子体中,氧基是通过O3的热分解而生成的,因此氧基在输送期间容易失活。
而且,通过活化气体注入装置220进行SiO2膜的改性处理时,能调整套管35a、35b和旋转台2上的晶圆W之间的距离地构成,所以能调整对SiO2膜进行改性的程度。并且,能使该套管35a、35b倾斜,所以能在套管35a、35b的长度方向上调整该套管35a、35b和晶圆W之间的距离,从而,例如在旋转台2的径向方面能使改性的程度一致。
而且,每次进行成膜循环都在真空容器1的内部进行改性处理,可以说在旋转台2的周向上,在晶圆W通过各处理区域P1、P2的路径的中途,与成膜处理不干涉地进行改性处理,因此,例如能以比在薄膜的形成完成之后进行改性处理更短的时间进行改性处理。而且,可知例如在膜厚方向仅到2nm左右发生上述的Ar离子的改性效果,但通过如上所述那样每次进行成膜循环都进行改性处理,能得到在薄膜的整个膜厚方向致密且杂质浓度低的薄膜。而且,在活化气体注入装置220上设有罩体221,因此能抑制从上游侧流动来的气体进入罩体221的内部,能抑制该气体的影响而在成膜循环的中途进行改性处理。因此,例如也可以在第2反应气体喷嘴210和活化气体注入装置220之间不设置专用的分离区域D,因此能抑制成膜装置的成本来进行改性处理。
而且,如上所述那样较小地设置电极36a、36b的离开距离,即使是在不最适于气体的离子化的高的压力范围(成膜处理的压力范围),也能以低输出使Ar气体活化(离子化)成改性处理所需那样的程度。另外,使真空容器1内的真空度越高,越迅速地进行Ar气体的离子化,而例如BTBAS气体的吸附效率降低,因此考虑到形成效率、改性效率来设定真空容器1内的真空度。而且,对电极36a、36b供给的高频的电力值也如上所述那样适当地设定,以便不对成膜处理带来不良影响,或者以便迅速地进行改性处理。
在上述的例子中,每次进行成膜处理都进行了改性处理,但也可以每进行多次例如20次的成膜处理(循环)再进行改性处理。在这种情况下进行改性处理时,具体来说,停止BTBAS气体、O3气体和N2气体的供给,从气体导入喷嘴34将Ar气体供给到活化气体注入装置220中,并且对电极36a、36b供给高频。然后,使旋转台2例如旋转200圈,使得5张晶圆W按顺序通过活化气体注入装置220的下方区域。这样进行了改性处理之后,再次恢复各气体的供给,进行成膜处理,按顺序反复进行改性处理、成膜处理。在该例子中,也能与上述的例子同样地得到致密而杂质浓度低的薄膜。在这种情况下进行改性处理时,停止O3气体和N2气体的供给,所以如前文所述的图32A所示,可以不设置罩体221。
活化气体注入装置220用于构成使处理气体活化而进行反应生成物的改性的活化部件,但作为该活化部件不只限于上述活化气体注入装置的构造。也可以活化部件例如由陶瓷构成,在沿着其长度方向形成有气体喷出口的注入装置内配置有供给微波的天线例如棒状的天线,来自该天线的微波使注入装置内的气体活化而供给到晶圆W上。
在此,如上述那样由被活化的Ar气体在SiO2膜引起的现象(改性处理)省略详细的说明,但对于从气体导入喷嘴34供给的气体、从改变了Ar气体和O2气体的比例的实验、从Ar气体变更为N2气体进行了的实验结果可以确认如下现象:SiO2膜中的元素并非被氧基置换,而是SiO2膜中的氧元素脱离,发生Si-O的再结合。
上述活化气体注入装置220设置在第1反应气体喷嘴31的上游侧的分离区域D和输送口15之间的区域,但只要在旋转台2的旋转方向上设置在第2反应气体喷嘴210和第1反应气体喷嘴31之间即可,例如也可以设置在第1反应气体喷嘴31的上游侧的分离区域D内。而且,作为该活化气体注入装置220的构成,例如也可以将气体导入喷嘴34设置在电极36a、36b的上方侧等,如前文所述的图14~图18那样配置。而且,作为该活化气体注入装置220,除了设置电极36a、36b的构成之外,也可以如前文所述的图19所示那样设置加热器30。在这种情况下,加热器30的加热温度例如被调整为1000℃左右。
并且,也可以将该活化气体注入装置220与活化气体注入装置32(32a~32d)一起设置在前文所述的图2的成膜装置中。在这种情况下,活化气体注入装置220设置在活化气体注入装置32(32a~32d)的下游侧,对形成在晶圆W上的氮化硅膜进行改性处理,使得例如膜中所包含的杂质被降低。而且,在采用前文所述的图2的成膜装置来形成SiO2膜情况下,也可以设置该活化气体注入装置220。并且,即使在采用了前文所述的各气体作为第1反应气体和第2反应气体的情况下,也可以采用活化气体注入装置220来进行改性处理,从而同样进行膜中的杂质浓度的降低。
作为从气体导入喷嘴34供给的活化用的气体,除了Ar气体之外,例如也可以采用包括He(氦)气体、NH3(氨)气体、H2(氢)气体或N(氮)、O(氧)的气体中的至少1种以上。
已经论述了在上述的例子中每次进行成膜循环(旋转台2的每次旋转)都进行改性处理,能得到在氧化硅膜的整个膜厚方向上致密而杂质浓度低的良好的膜质的薄膜,但形成该氧化硅膜时,对比在上述的例子中作为第1反应气体而采用的BTBAS气体等更佳的反应气体进行说明。
首先,对采用了BTBAS气体的上述的ALD(MLD)工艺进行再次论述。首先,如图37A所示,例如在第1处理区域P1,BTBAS气体吸附在晶圆W上,随后如图37B所示,在第2处理区域P2晶圆W上的BTBAS气体被O3气体氧化,如图37C所示那样在晶圆W上生成包含氧和BTBAS气体中的硅的反应生成物,并且杂质例如有机物作为副生成气体从BTBAS气体中脱离开。然后,如图37D所示,在活化气体注入装置220的下方区域,如前文所述那样对在晶圆W上生成的反应生成物进行例如称为多余的氧元素的排出和元素的再排列的改性处理,这样通过在每次成膜循环反复进行BTBAS气体的吸附、氧化和改性,在整个膜厚方向上层叠致密且杂质少的氧化硅膜。
不过,通过进行上述的改性处理,能得到致密且杂质少的氧化硅膜,但是如图38A所示,BTBAS的蒸气压很低,BTBAS是氮(N)原子和t-丁基(-C(CH3)3)以硅原子为中心对称地结合在两侧的大的分子构造,因此根据使用在装置的部位和用户的要求等,在成膜速度、埋入特性和氧化硅膜的膜质这些方面看来,也许并不能说是特别有利的气体。因此,在上述方面,作为比BTBAS有利的氧化硅膜的成膜用的反应气体,能列举出二异丙基氨基硅烷(DIPAS)气体。
DIPAS具有比BTBAS的蒸气压高的蒸气压。使用BTBAS气体的情况下,难以得到高的成膜速度。原因在于,BTBAS的蒸气压比较低,由此,在真空容器1中的处理压力比较高的情况下,以足够高的流量供给BABAS气体是困难的。另一方面,DIPAS的蒸气压例如在50℃的条件下比BTBAS的蒸气压高大约10倍,能增加气体流量和处理压力,若使用DIPAS气体,就能得到高的成膜速度。
并且,对图38A、图38B进行比较可知,二异丙基氨基硅烷分子比BTBAS分子小。具体来说,因为BTBAS在Si原子的两侧上有t-丁基,因此有可能以比较高的程度产生立体障碍的问题。另一方面,在DIPAS中,那样的立体障碍的问题的程度很小。而且,由于O3分子能不妨碍有机基就到达Si原子,因此DIPAS中的Si原子和N原子间的键比较容易被O3断开。从而,使用了DIPAS的情况下,有可能提高成膜速度。并且,Si原子和N原子间的键比较容易断开,因此作为副生成物的有机物和氮化物比较迅速地从DIPAS分子脱离。从而,能降低作为结果的氧化硅膜中的杂质,能得到优异的电特性。
并且,DIPAS具有比较小的分子构造,因此能以高密度被吸附在晶圆W上。因此,能得到具有高密度的氧化硅膜。而且,因为密度高,所以该氧化硅膜即使通过后续的退火处理,也不太收缩。从而,该氧化硅膜即使被蚀刻成微细图案之后,也难以发生图案歪斜。(这样的图案歪斜有时是在收缩很大的膜中由于内部应力而产生的)。而且,DIPAS具有很小的分子构造,使用了DIPAS气体时,能提高气体流量和/或处理压力,因此用氧化硅填埋微细图案内的间隙(间隔)时,能改善埋入特性。
而且,DIPAS分子能迅速地吸附在晶圆W上,因此,能降低气体的消耗。并且,一般能通过对反应气体的流量和/或处理容器内的处理压力进行调整来调整晶圆面内均匀性,因此通过使用起因于高的蒸气压而能扩大流量和/或处理压力的工艺窗口的DIPAS,能扩大面内均匀性的工艺窗口。因此,如前文所述那样,通过对采用BTBAS气体形成的薄膜进行等离子体处理(改性处理),能形成致密且杂质少的薄膜,但采用该DIPAS气体来进行成膜处理,之后进行等离子体处理,从而与采用上述的BTBAS气体来进行成膜处理和等离子体处理的情况相比,能更迅速地得到良好的膜质的薄膜。
在采用该反应气体进行成膜处理的情况下,例如从旋转台2的转速、处理压力、晶圆W的加热温度、反应气体的流量、O3气体的流量、改性用的气体(Ar气体/O2气体)的流量和来自分离气体喷嘴41、42的N2气体的流量被分别设定为例如240rpm、2.13kPa(16Torr)、350~500℃、275sccm、4500/500sccm、10000sccm、10000sccm。
在采用DIPAS气体的情况下,作为改性用的气体也可以与BTBAS气体的情况相同地采用例如包括Ar气体、He(氦)气体、NH3(氨)气体、H2(氢)气体或N(氮)、O(氧)气体中的至少1种以上。而且,优选每个成膜循环都进行改性处理,但也可以与采用了BTBAS气体的情况相同地每进行多次例如20次的成膜处理(循环)再进行改性处理。
在前文所述的例子中,旋转台相对于气体供给系统、分离区域中的凸状部等的旋转台2的上方的构件或零部件绕铅直轴线旋转,但也可以这些构件或零部件相对于旋转台2绕铅直轴线旋转。也就是说,这些构件或零部件与旋转台2之间相互相对旋转即可。
为了使这样的构件或零部件相对于旋转台2旋转,可以采用如下的结构。首先,例如将在真空容器1的中心部分绕铅直轴线旋转自如的套筒设置在真空容器1的中央部,将凸状部和活化气体注入装置安装在该旋转套筒的外周面上。接着,将各气体供给管插入到旋转套筒内,在旋转套筒的内部向上弯曲。在此,各气体供给管与反应气体喷嘴、分离气体喷嘴以及活化气体注入装置的气体导入件(下面的气体供给管等)相对应,在朝着与插入端相反侧的一端的规定范围内具有多个气体喷出口。这种情况下,与分离气体喷嘴相对应的气体供给管被收容在凸状部4的槽部43中。
并且,将在旋转套筒内直立的各气体供给管在不同的高度向旋转套筒的内周面的方向大致弯曲成水平,将各气体供给管的前端气密地插入到设置在旋转套筒的内周面上的孔中而向旋转套筒的外侧的空间开口。这样开口的各气体供给管的前端如从随后的说明中能理解的那样作为气体引入口而起作用。
接着,以覆盖旋转套筒中的各气体供给管的前端开口的区域的方式将固定套筒呈同心状配置在旋转套筒的外侧。在此,以与各气体供给管的前端相对应的多个连通空间沿上下方向划分的方式将多组轴承和磁流体密封件设置在固定套筒和旋转套筒之间。
另一方面,将气体配管的一端从固定套筒的外侧插入固定套筒内,使各连通空间连通。各气体配管的另一端与规定的各气体供给源连接。通过这样的结构,来自各气体供给源的气体经由气体配管和连通空间而供给到各气体供给管的前端(气体引入口)。即使旋转套筒通过轴承相对于固定套筒旋转,凸状部、活化气体注入装置以及气体供给管等与旋转套筒一起旋转,气体供给管的前端(气体引入口)与连通空间之间的连通被保持,由此将来自气体配管的气体导入真空容器1内。
模拟
制作成第1实施方式的活化气体注入装置32和不设置分隔壁324而气体导入-活化室327共通的活化气体注入装置32c的相关的模型,对各注入装置主体321内的气体的流动方式进行了模拟。
A.模拟条件
气体的种类为NH3气体,气体流量为3,000sccm,真空容器1内的压力为1.33kPa(10Torr),气体温度为273K。
实施例1
对于第1实施方式的活化气体注入装置32,模拟了注入装置主体321内的气流。
比较例1
除了未设置分隔壁324之外,对于与实施例1具有同样的构成的活化气体注入装置32c模拟了注入装置主体321内的气体的流动。
B.模拟结果
实施例1的结果示于图39A,比较例1的结果示于图39B。在这些图中用等速线区分表示注入装置主体321内的气体的流速的分布。各图所示的数值表示该区域内的气体流速(m/s)的流速范围。
由实施例1的结果可知,流速很快的区域形成在套管35a、35b之间的等离子体发生部351,通过设置分隔壁324而将NH3气体供给到气体活化室323的上部,能高效地使NH3气体流过等离子体发生部351。
相对于此,根据比较例1的结果,流速快的区域形成在右侧的套管35b和注入装置主体321之间所形成的空间,NH3气体绕过等离子体发生部351,通过该空间而到达气体喷出孔33,无法使NH3气体充分地等离子化的可能性很高。
从这些结果可以说,在将电极36a、36b配置在2个套管35a、35b内来使等离子体发生的第1实施方式所示的活化气体注入装置32中,设置分隔壁324而难以形成迂回流路,从而能高效地使NH3气体等离子化。
实验
使用利用了加热器30的第4实施方式的活化气体注入装置32d来将处理气体供给到旋转台型的成膜装置中,进行了ALD法的成膜实验。处理气体采用BTBAS气体和O3气体来形成氧化硅膜,在供给O3气体时,使用了具有加热器30的活化气体注入装置32d。工艺压力为1067Pa(8Torr),晶圆W的加热温度为100℃,旋转台2的转速为120rpm,BTBAS气体的供给量为100sccm,O3气体的供给量为10000sccm。而且,5张晶圆W载置在旋转台2上,成膜时间为31分钟。
A.实验条件
实施例2-1
调节了加热器30的输出,使得温度检测端305的检测温度为300℃。
实施例2-2
调节了加热器30的输出,使得温度检测端305的检测温度为400℃。
实施例2-3
调节了加热器30的输出,使得温度检测端305的检测温度为500℃。
实施例2-4
调节了加热器30的输出,使得温度检测端305的检测温度为600℃。
比较例2
不进行加热器的加热就进行成膜。
B.实验结果
将各实施例、比较例的结果表示在表1中。在此,表1中的面内均匀性(%)(晶圆W面内的膜厚的均匀性)记载了对各晶圆W面内的最大膜厚、最小膜厚(nm)进行测量而采用以下的(1)式算出的5张晶圆W的面内均匀性的平均值。而且面间均匀性(%)(晶圆W间的平均膜厚的均匀性)为通过求出5张晶圆W的平均膜厚,并将这些平均膜厚的最大膜厚、最小膜厚(nm)代入到式(1)算出。
((最大膜厚)-(最小膜厚))×100
/((最大膜厚)+(最小膜厚))...(1)
(表1)
Figure G200910169417XD00571
Figure G200910169417XD00581
对表1所示的实施例2-1~实施例2-3、比较例2实验结果进行比较时,采用了加热器30的各实施例的单位时间的成膜速度(nm/min)、每1循环的成膜速度(nm/cycle)大于比较例的单位时间的成膜速度、每1循环的成膜速度。而且,即使在各实施例之间进行比较,加热器30的温度越高(加热器30的输出越大),成膜速度也越大。认为原因在于,随着提高加热器30的温度,在活化气体注入装置32d内O3气体吸收的热量也变大,结果,自活化气体注入装置32d供给到晶圆W表面上的气体中的氧基等活性种的浓度变高,从而能提高成膜速度。
其次,对形成的膜的均匀性进行说明时,表1所示的面内均匀性、面间均匀性表示该表中的数字越小,晶圆W面内、或晶圆W面间的膜厚的均匀性越高。这一点,首先对面内均匀性的测量结果进行考察,在除了实施例2-4之外的实施例2-1~2-3的各实施例中,进行比比较例2均匀性更高的成膜。而且,对各实施例观察面内均匀性相对于加热器30的温度的变化的状况时可知,加热器30的温度越高,面内均匀性越降低。
这样,采用加热器30时,面内均匀性提高,提高其温度时,暂时提高的面内均匀性逐渐降低的倾向被发现的理由推测如下。即,在没有采用加热器30的比较例2中,BTBAS和O3气体的反应只通过加热晶圆W的能量进行,因此反应速度比较慢,成膜速度被晶圆W的转速控制,可以发现如下趋势:膜在旋转慢的旋转台2的中心侧变厚,膜朝着旋转快的旋转台2的外周侧逐渐变薄。相对于此,在采用加热器30的实施例2-1中,活性种直接被供给到晶圆W表面上,因此反应速度变大,晶圆W的转速对成膜速度的影响变小,提高了所形成的膜的面内均匀性。不过,即使在这种情况下,在旋转快的旋转台2的最外周,存在晶圆W的旋转对成膜速度进行控制的区域,即使更进一步将高浓度的活性种供给到该最外周的区域,形成膜的成膜速度几乎不上升的状态。
因此,如实施例2-2~2-4那样提高加热器30的温度时,在活性种的供给对成膜速度进行控制的区域、即在旋转台2的内侧的区域,被供给到晶圆W表面上的活性种的量变多,结果,成膜速度变大,膜变厚。相对于此,在晶圆W的旋转对成膜速度进行控制的区域、即在旋转台2的最外周的区域,即使被供给到晶圆W表面的活性种的量变多,成膜速度也几乎不变化,膜的厚度例如成为与实施例2-1相同的程度。结果观察到,在膜变厚的旋转台2的内侧的区域与膜的厚度几乎不变化的最外周区域之间的膜厚的差距变大,均匀性降低。但是,即使被形成的膜的面内均匀性降低,在实施例2-4所得到的面内均匀性的降低的程度充分地处于实用的范围内,通过使用加热器30,可以说成膜速度提高的效果比较大。另外,表1所示的各实施例、比较例的面内均匀性的绝对值为30%以上这样比较大的数值的原因在于,使用处于开发阶段的成膜装置进行了实验。只要结束装置的调整,面内均匀性的绝对值就收敛为实用的数值,但采用了加热器30的情况和不采用加热器30的情况的面内均匀性的趋势能得到与表1所示的结果同样的趋势。
另一方面,面间均匀性如表1所示,在任一个实施例中都能得到好于比较例2的数值。并且,对实施例2-1~2-4的结果进行比较时,从实施例2-1到实施例2-2,面间均匀性稍微降低后,实施例2-2~2-4加热器30的温度越提高,越提高了面间均匀性。
这一点、加热器30的温度为300℃~400℃时面间均匀性降低的理由还不清楚,但对于将该温度提升到500℃、600℃时面间均匀性的提升,能推测出以下的理由。即,在旋转慢的旋转台2的内侧的区域,为了能得到充分的反应时间,吸附在晶圆W上的BTBAS的大部分成为氧化硅膜而成为膜不能再变厚的饱和状态,该区域的膜厚不能再进一步变厚。
另一方面,在未成为膜厚饱和的状态的区域中,被形成的膜的厚度由于被供给到晶圆W表面上的包含活性种的气体的流动的状态、吸附在晶圆W表面BTBAS和活性种的接触状态等的微妙的不同,被形成在该区域的膜的厚度在晶圆W面之间产生偏差。因此,提高加热器30的温度并提高被供给到晶圆W表面的活性种的浓度时,膜厚饱和的区域的面积的比例变大,而膜厚易于产生偏差的未处于饱和状态的区域的面积的比例降低,作为整体,不能提高晶圆W面间的膜厚的均匀性。
从以上情况可以确认:作为使处理气体活化的部件而具有加热器30的活化气体注入装置32d具有有助于提高所形成的膜的晶圆W的面内均匀性和面间均匀性、并提高成膜速度的效果。
实施例3-1
接着,说明为了验证使用了活化气体注入装置220的情况下的真空容器1中的气流进行的模拟。在该模拟中,根据前文所述的罩体221的有无,确认了从气体导入喷嘴34喷出的Ar气体在真空容器1内如何流动。作为模拟条件,采用了以下的条件。
模拟条件
压力(Pa(Torr)):400(2)
旋转台2的转速(rpm):240
第1反应气体:O2气体(10slm)
第2反应气体:O3气体(10slm)
活化(改性)用气体:Ar气体(2slm)
供给到中心部区域C的气体:N2气体(15slm)
供给到各分离区域D的气体:N2气体(3slm)
供给到旋转台2的下方的气体:N2气体(10slm)
模拟结果
由该模拟所得到的结果示于图40A~40D。该图40A、图40B表示从旋转台2的上方观察活化气体注入装置220附近的Ar气体(活化用气体)的浓度分布的俯视图,图40C、图40D是表示气体导入喷嘴34附近的N2气体(分离气体)的浓度分布的立体图。而且,图40A、图40C表示设置了罩体221的情况,图40B、图40D表示未设置罩体221的情况。从该结果可知,通过设置罩体221,Ar气体的气流被限制,Ar气体在活化气体注入装置220内部保持高的浓度。另一方面,在未设置罩体221的情况下,Ar气体朝着向活化气体注入装置220的下游侧较广地扩散。而且,即使在气体导入喷嘴34的附近,由于设置罩体221,N2气体的浓度变得非常低,所以N2气体自活化气体注入装置220的外部的流入被抑制,但在未设置罩体221的情况下,N2气体就进入到活化气体注入装置220内。
实施例3-2
在上述实施例3-1的模拟条件下,将活化用气体的流量变更为5slm而同样地进行了模拟。
结果可知,如图41所示,通过设置罩体221,活化气体注入装置220附近的Ar气体的浓度变高,N2气体的流入被抑制。从以上的实施例3-1,3-2的结果可知,为了抑制气体从外部流入到活化气体注入装置220内,活化用气体的流量以2slm左右这样少的量就足够。
实施例4
接着,为了确认由于改性处理SiO2膜的膜质如何改变、或者,为了确认通过调整图42所示的套管35a、35b与晶圆W之间的距离y,改性处理的程度有何种程度的变化而进行了实验。
为了实验,准备了在表面形成SiO2膜的实验用零件,将实验用零件设置在套管35a、35b的长度方向中央的下方位置,在以下的条件下进行了改性处理。之后,将这些件浸渍在氢氟酸水溶液中,测量了SiO2膜的湿蚀刻率。
实验条件
温度:室温
压力(Pa(Torr)):240(1.8)
高频的输出(W):200
活化用的气体:Ar(300sccm)
处理时间:5分钟
套管35a、35b和实验用零件的表面之间的距离y(mm):
6、9、12
实验结果
该结果表示到图43中。结果可知,与不进行改性处理的情况相比,通过进行改性处理,蚀刻率降低,从而SiO2膜致密化。而且,实验用零件和套管35a、35b之间的距离y越变小,蚀刻率越降低,更进一步进行改性处理,SiO2膜致密化。而且,从膜厚方向的蚀刻率的变化可知,越靠近零件的表层,越产生SiO2膜的致密化。因此,可知该改性处理在靠近SiO2膜的表层区域产生,如前文所述那样进行每个成膜处理,能在整个膜厚方向得到致密的膜。另外,该图43中一并表示了在950℃进行热处理而得到的热氧化膜的蚀刻率,可知在本发明中,上述的距离y越变小、蚀刻率越接近该热氧化膜的特性,能得到致密的膜。
实施例5
接着,对采用DIPAS气体作为用于形成前文所述的氧化硅膜的反应气体而进行的实验进行说明。在该实验中,采用图29~图35所示的成膜装置,在以下的表2所示的成膜条件下,在每次进行成膜循环(旋转台2的每次旋转)都进行改性处理来形成氧化硅膜,计算了此时的成膜速度(deposition rate沉积速度)。另外,作为比较例,示出了不进行改性处理而采用DIPAS气体和前文所述的BTBAS气体分别进行成膜的例子。而且,为了实验,采用了直径300mm的晶圆W。以下的各实施例也同样。
表2
Figure G200910169417XD00631
在该表2中,作为“高频电力”,表示被供给到电极36a、36b上的改性用的电力。而且,在任何实验中都采用DIPAS气体的情况下,该DIPAS气体的流量为275sccm,O3气体的浓度和流量分别为300g/Nm3和10slm,改性用气体(Ar气体/O2气体)的流量为5slm/0.1slm。另外,上述比较例5-1是在采用了BTBAS气体的情况下而以成膜速度最高的条件(BTBAS气体的流量:200sccm)进行的实验。
该实验的结果可知,如图44所示,通过采用DIPAS气体,在相同的成膜条件下,与采用BTBAS气体的情况相比,成膜速度提高。而且,采用DIPAS气体时,可知气体流量和处理压力的任一个比BTBAS气体的大致上限值都增加,成膜速度根据该增加量变快。并且,采用DIPAS气体,在成膜温度和处理压力分别为350℃和1.07kPa(8Torr)的情况下,旋转台2的转速为240rpm时,根据改性用的高频电力的大小的不同,不认为成膜速度产生大的变化,但旋转台2的转速为30rpm时,改性用的高频电力越大,成膜速度越慢,在最大(0W→400W)的条件下,可确认减少大约25.6%。
从该情况可知,通过使旋转台2的转速变慢,晶圆W接受改性处理的时间(活化气体注入装置220的下方区域的滞留时间)变长,因此氧化硅膜的改性的效果明显。而且可知,通过改性处理,发生了成膜速度的降低、即引起了氧化硅膜的收缩(致密化)。此时,成膜温度越高,或处理压力越低,氧化硅膜的收缩量越多。从以上结果可知,通过延长晶圆W在活化气体注入装置220的下方区域的滞留时间,或提高从活化用气体产生的离子的生成量、反应性,改性的程度增强。
实施例6
接着,对于与实施例5同样在以下的表3的成膜条件下形成的氧化硅膜,浸渍在1重量%的稀氢氟酸水溶液中,求出湿蚀刻率。
表3
Figure G200910169417XD00651
该实验结果表示在图45中。另外,作为参考例子6-1、6-2,表示以950℃的处理温度得到的热氧化膜和以780℃采用了二氯硅烷气体、N2O的CVD法形成的氧化硅膜的结果。而且,在该图45中,表示的是以参考例子6-1的热氧化膜所得到的数值为1而使各结果进行标准化的数值。
结果可知,采用DIPAS气体来进行成膜处理,之后进行改性处理,从而提高了湿蚀刻率的抗性,根据成膜条件,能得到与具有理想的特性的热氧化膜非常接近的结果。而且,从另外进行的实验可知,前文所述的采用BTBAS气体来进行成膜而未进行改性处理的氧化硅膜即使经过了800℃左右以上的热处理,若考虑到与热氧化膜相比只不过具有1/5左右的抗湿蚀刻性这样的事实,可以说采用DIPAS气体在每个成膜循环进行改性处理的方法是极其有效的。
此时可知,与前文所述的实施例5中的成膜速度(收缩量)所得到的结果同样,通过调整处理条件,使得晶圆W在活化气体注入装置220的下方区域的滞留时间延长,或从活化用气体产生的离子的生成量、反应性提高,从而湿蚀刻率的抗性提高。
另一方面,在不进行改性处理的情况下,通过采用DIPAS气体,湿蚀刻率比采用了BTBAS气体的结果稍微变大,原因在于,在采用BTBAS气体进行成膜的氧化硅膜中包含有作为杂质的氮,因此湿蚀刻抗性增加。也就是说,在采用了DIPAS气体的情况下,与采用BTBAS气体的情况相比,可以说即使在改性处理前,膜中的氮浓度也减小。
实施例7
接着,与实施例5同样地采用DIPAS气体来形成了氧化硅膜后,在氮气氛中进行850℃的退火处理,进行了确认氧化硅膜的膜厚收缩(缩小)到怎样的程度的实验。各实施例7-1~7-6和比较例7-1~7-7的成膜条件与实施例6-1~6-6和比较例6-1~6-7分别相同的条件进行成膜。而且,作为参考例子7-1,对于通过前文所述的CVD法进行成膜的氧化硅膜也一并记载结果。
结果,如图46所示,采用DIPAS气体进行成膜处理和改性处理的氧化硅膜与不进行改性处理的氧化硅膜相比,因退火处理产生的收缩率变小。此时,在处理压力、旋转台2的转速和成膜温度分别为0.5kPa(4Torr)、20rpm和350℃的条件下形成的氧化硅膜即使通过退火处理也不收缩。因此可知,通过进行改性处理能形成致密的薄膜,即使将该氧化硅膜蚀刻成微细的图案,在退火处理中也难以引起由于大幅地收缩的膜中的内部应力所产生的图案的倒伏。发现在该实施例7中得到的收缩率、成膜温度、旋转台2的转速、处理压力与上述实施例5,6结果一样的倾向。而且,通过另外进行的实验,在采用BTBAS气体进行成膜而不进行改性处理的情况下,可知为了使收缩率在5%以内,需要在500℃以上的成膜温度进行成膜,因此通过使用DIPAS气体并且进行改性处理,能以低温得到致密的薄膜。
实施例8
对于与实施例5同样地采用DIPAS气体进行了成膜和改性的氧化硅膜,进行了确认成膜后的膜中所包含的水分(Si-OH和OH基(H2O))的实验。成膜条件如以下的表4所示,处理压力为1.07kPa(8Torr),成膜温度为350℃。另外,氧化硅膜中的水分的测量,采用了FT-IR(傅立叶变换红外分光法)。
表4
Figure G200910169417XD00671
该结果如图47所示,通过进行改性处理,氧化硅膜中的水分减少,通过更进一步使旋转台2的转速变慢,氧化硅膜中的水分变得更低。
实施例9
接着,将旋转台2的转速的固定为30rpm,并且如以下的表5那样调整高频电力和处理压力,在350℃的成膜温度下,采用DIPAS气体来形成氧化硅膜,进行了与上述实施例8同样的实验。
表5
Figure G200910169417XD00672
Figure G200910169417XD00681
该结果如图48所示,通过改性处理,氧化硅膜中的水分减少,而且处理压力越低,膜中的水分越减少。
实施例10
对于将旋转台2的转速固定为30rpm,并且在以下的表6的成膜条件下采用DIPAS气体而形成的氧化硅膜,在成膜处理后进行退火处理(退火温度:850℃、退火时间:10分钟),与前文所述的实施例8、9同样地进行了膜中的水分的测量。
表6
Figure G200910169417XD00682
该结果如图49所示,通过在改性处理后进行退火处理,膜中的水分已是检测下限以下。另一方面,即使是在不进行改性处理的情况下,通过退火处理,膜中的水分已减少,但与对已改性处理的氧化硅膜进行退火处理的情况相比,该减少量小。所以,可知通过进行改性处理,并通过之后的退火处理,膜中的水分容易脱出。
实施例11
接着,对采用DIPAS气体而在与实施例9相同的成膜条件下进行了成膜处理和改性处理的氧化硅膜,通过RBS/HFS(卢瑟福背散射法/氢气正向散射法)对氧化硅膜的比重与膜中氢和氧的各自的量相当于硅的量的比例进行了测量。
如图50所示,可知与上述实施例8~10同样通过改性处理,使膜中的氢和氧的量减少,比重增加。而且,处理压力变低时,改性处理的效果变大。另外,对于比较例11-1,测量过程中确认了氢从氧化硅膜脱离,因此认为实际上含有比测量结果多的氢。
实施例12
对采用DIPAS气体而在以下的表7的条件下形成的氧化硅膜,采用SIMS(二次离子质量分析法)在膜厚方向直到50nm的深度测量了杂质的浓度。另外,成膜温度为350℃、旋转台2的转速为30rpm。
表7
Figure G200910169417XD00691
该结果如图51所示,通过进行改性处理,膜中的氢和氮的含有量降低。
实施例13
对在以下的表8所示的成膜条件下形成的氧化硅膜进行了与上述实施例12同样的实验。成膜温度为350℃,处理压力为0.5kPa(4Torr),旋转台2的转速为30rpm,退火处理为850℃、10分钟。
表8
Figure G200910169417XD00701
该实验结果如图52所示,可知通过进行改性处理和退火处理,氧化硅膜中的氢的量减少。
实施例14
接着,在晶圆W表面形成包括纵横比(=30)非常大的凹部(开口部)的图案(开口深度:10μm、开口宽度:0.3μm),通过DIPAS气体对该晶圆W进行了确认薄膜的埋入特性的实验。然后,对于形成在晶圆W上的薄膜的膜厚,计算了凹部的侧壁面的膜厚相对于晶圆W表面上的凹部以外的部位的膜厚的比例(膜厚比:R=侧壁面的膜厚÷凹部以外的部位的膜厚),用作对凹部的埋入特性的评价指标。此时的实验条件示出在以下的表9中。另外,成膜温度为350℃,处理压力为0.5kPa(4Torr),DIPAS气体的流量为275sccm,O3气体的浓度和流量分别为300g/Nm3,10000sccm。
表9
图53示意性地表示采用SEM(Scanning  ElectronMicroscope)观察的各晶圆的截面。可知通过进行上述改性处理,并进一步使旋转台2的转速变慢,薄膜被埋入凹部中,能得到自我选择的埋入特性。
实施例15
接着,在以下的表10的条件下,通过DIPAS气体形成氧化硅膜,进行了确认泄漏电流为何种程度的实验。为了实验,采用水银探测法,对氧化硅膜施加负偏压,测量了通过了该氧化硅膜的电流密度。因此,可以说电流密度越小,泄漏电流越小。另外,处理压力为1.07kPa(8Torr),DIPAS气体的流量为275sccm,O3气体的浓度和流量分别为300g/Nm3和10000sccm,改性处理用的气体(Ar/O2)的流量为5slm/0.1slcm,旋转台2的转速为240rpm,进行成膜。
表10
Figure G200910169417XD00711
如图54所示,通过改性处理,泄漏电流变小,成膜温度越高,泄漏电流越减少。
实施例16
对在表11的条件下形成的氧化硅膜,进行了与上述实施例15同样的实验。成膜温度为350℃,旋转台2的转速为30rpm,除此之外的条件以与实施例15相同的条件进行了成膜。
表11
  实验No.  高频电力(W)
  比较例16-1   0
  实施例16-1   200
  实施例16-2   400
其结果如图55所示,越提高改性处理用的高频电力,泄漏电流越减少。
实施例17
对与上述实施例15、16相同地在以下的表12的条件下形成的氧化硅膜测量了泄漏电流。除了高频电力为400W之外,以与实施例16相同的条件进行了成膜。
表12
  实验No.   旋转台的转速(rpm)
  实施例17-1   30
  实施例17-2   60
  实施例17-3   120
  实施例17-4   240
其结果如图56所示,旋转台2的转速越慢,泄漏电流越减少。
实施例18
对与上述各实施例15~17相同地在以下的表13的条件下形成的氧化硅膜测量了泄漏电流。除了旋转台2的转速为30rpm之外,以与实施例17相同的条件进行了成膜。
表13
  实验No.   处理压力(kPa(Torr)
  实施例18-1   0.50(4)
  实施例18-2   1.07(8)
其结果如图57所示,处理压力越低漏电流越减少。另外,在1.07kPa(8Torr)下形成的氧化硅膜所得到的特性显示出与采用前文所述的BTBAS气体而以350℃的成膜温度进行了成膜并之后进行了850℃的退火处理的氧化硅膜相同程度的数值。因此,可知通过采用DIPAS气体并且进行改性处理,能得到比采用了BTBAS气体的情况更好的泄漏电流低的成膜温度。
省略了图示和详细的说明,但通过另外进行的实验可知,通过采用DIPAS气体,循环比率(旋转台2的每次旋转所形成的氧化硅膜的膜厚)和晶圆W内的氧化硅膜的面内均匀性都比采用BTBAS气体有所提高。对于循环比率,采用了成批式的反应炉的实验的结果,DIPAS气体的循环比率为BTBAS气体的循环比率的1.34倍。而且,在DAPAS气体的情况下,确认为成膜速度在350℃~500℃的成膜温度范围内不变化。通常认为这表示了吸附在晶圆W上的DIPAS气体与其说是被来自晶圆W的热分解,不如说被O3气体氧化分解,因此,以ALD模式进行成膜。
本申请基于2008年8月29日、2009年3月13日、2009年7月24日分别向日本专利厅提交的日本专利申请2008-222740号、2009-061605号和2009-172948号主张优先权,在此引用其全部内容。

Claims (16)

1.一种活化气体注入装置,其包括:
流路形成构件,其由分隔壁划分成气体活化用流路和气体导入用流路;
气体导入件,用于将处理气体导入到上述气体导入用流路中;
一对电极,其沿着上述分隔壁互相平行地延伸设置在上述气体活化用流路内,施加有用于使处理气体活化的电力;
连通孔,其沿着电极的长度方向设置在上述分隔壁的上部,用于将上述气体导入用流路内的处理气体供给到上述气体活化用流路中;
以及气体喷出口,其为了喷出在上述气体活化用流路中被活化的气体而沿着上述电极的长度方向设置在上述气体活化用流路上,并且,该气体喷出口位于上述流路形成构件的底面上的一对电极之间的部位,
从上述一对电极的顶部到气体活化室的顶板面的距离h1与从上述一对电极中靠分隔壁侧的电极的侧壁面到与之相对的分隔壁的距离w1之间的关系为h1≥w1。
2.根据权利要求1所述的活化气体注入装置,其中,
上述一对电极分别由陶瓷覆盖。
3.根据权利要求1所述的活化气体注入装置,其中,
具有气体导入喷嘴,该气体导入喷嘴沿着上述分隔壁设置在上述气体导入用流路内,沿长度方向穿设有气孔,并且上述气体导入件形成在该气体导入喷嘴的基端侧。
4.一种活化气体注入装置,其包括:
流路形成构件,其由分隔壁划分成气体活化用流路和气体导入用流路;
气体导入件,用于将处理气体导入到上述气体导入用流路中;
加热器,其沿着上述分隔壁延伸地设置在上述气体活化用流路内,用于加热气体活化用流路内的处理气体而使处理气体活化;
连通孔,其沿着加热器的长度方向设置在上述分隔壁的上部,用于将上述气体导入用流路内的处理气体供给到上述气体活化用流路中;
以及气体喷出口,其为了喷出在上述气体活化用流路中被活化的气体而沿着上述加热器的长度方向设置在上述气体活化用流路上,并且,该气体喷出口位于上述流路形成构件的底面上的一对电极之间的部位,
从上述一对电极的顶部到气体活化室的顶板面的距离h1与从上述一对电极中靠分隔壁侧的电极的侧壁面到与之相对的分隔壁的距离w1之间的关系为h1≥w1。
5.一种成膜装置,其包括:
旋转台,设置在真空容器内;
基板载置区域,其是为了将基板载置在该旋转台上而设置的;
以及权利要求1所述的活化气体注入装置,为了将被活化的气体供给到载置在该基板载置区域的基板上来进行成膜,该活化气体注入装置设置成与上述旋转台上的基板载置区域侧相对且与该旋转台的移动路径交叉。
6.根据权利要求5所述的成膜装置,
上述活化气体注入装置的气体喷出口设置在距被载置在上述基板载置区域的基板的表面1mm~10mm的高度位置。
7.一种成膜装置,其包括:
旋转台,设置在真空容器内;
基板载置区域,其是为了将基板载置在该旋转台上而设置的;
以及权利要求1所述的活化气体注入装置,为了将被活化的气体供给到载置在该基板载置区域的基板上来进行该基板上的薄膜的改性,该活化气体注入装置设置成与上述旋转台上的基板载置区域侧相对且与该旋转台的移动路径交叉。
8.根据权利要求7所述的成膜装置,
上述活化气体注入装置的外面部构成为覆盖气体活化用流路、气体导入用流路的罩体,为了抑制气体自外部进入到该罩体内,该罩体的下端部构成为与旋转台平行且经由间隙向外伸出的气流限制构件。
9.根据权利要求7所述的成膜装置,
上述活化气体注入装置以能够调整其与上述旋转台上的基板的表面之间的距离的方式上下自如地气密地安装在上述真空容器的侧壁上。
10.根据权利要求7所述的成膜装置,
上述活化气体注入装置以能在上述旋转台径向上倾斜的方式倾斜自如且气密地安装在上述真空容器的侧壁上。
11.一种成膜装置,其通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠多个反应生成物的层而形成薄膜,
该成膜装置包括:
旋转台,设置在真空容器内;
基板载置区域,其是为了将基板载置在该旋转台上而设置的;
第1反应气体供给部件和第2反应气体供给部件,它们沿着上述旋转台的旋转方向互相离开地设置,第1反应气体供给部件用于将第1反应气体供给到上述旋转台上的基板的载置区域侧的面上,第2反应气体供给部件由权利要求1所述的活化气体注入装置构成,用于将第2反应气体供给到上述旋转台上的基板的载置区域侧的面上;
分离区域,其在上述旋转方向上位于被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域之间,用于使被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛分离;
以及排气口,用于将上述反应气体与扩散到上述分离区域的两侧的分离气体一起排出,
上述分离区域具有分离气体供给部件和顶面,该分离气体供给部件用于供给分离气体,上述顶面位于该分离气体供给部件的上述旋转方向两侧,用于在上述顶面和旋转台之间形成用于分离气体从该分离区域流到处理区域侧的狭窄的空间。
12.一种成膜装置,其通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠多个反应生成物的层而形成薄膜,
该成膜装置包括:
基板载置区域,其是用于将基板载置在上述真空容器内的旋转台上而设置的;
第1反应气体供给部件,用于将第1反应气体供给到上述基板上;
第2反应气体供给部件,其与上述第1反应气体供给部件隔开间隔地设置在该第1反应气体供给部件的上述旋转台的旋转方向下游侧,用于将第2反应气体供给到上述基板上;
权利要求1所述的活化气体注入装置,其被设置成与上述旋转台的基板载置区域相对,并且在上述旋转台的旋转方向上设置在第1反应气体供给部件和第2气体供给部件之间,为了进行上述基板上的反应生成物的改性,该活化气体注入装置将被活化的处理气体供给到上述基板上;
分离区域,其在上述旋转方向上位于被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域之间,用于使被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛分离;
以及排气口,用于将上述反应气体与扩散到上述分离区域的两侧的分离气体一起排出,
上述分离区域具有分离气体供给部件和顶面,该分离气体供给部件用于供给分离气体,该顶面位于该分离气体供给部件的上述旋转方向两侧,用于在该顶面和旋转台之间形成用于分离气体从该分离区域流到处理区域侧的狭窄的空间。
13.一种成膜装置,其通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠反应生成物的层而形成薄膜,该成膜装置包括:
工作台,其设置在上述真空容器内,用于载置基板;
第1反应气体供给部件,用于将第1反应气体供给到该工作台上的基板上;
第2反应气体供给部件,用于将第2反应气体供给到该工作台上的基板上;
活化部件,其为了进行上述基板上的反应生成物的改性而将被活化的处理气体供给到上述基板上;
以及旋转机构,用于使上述第1反应气体供给部件、第2反应气体供给部件以及活化部件与上述工作台之间相对旋转,
上述第1反应气体供给部件、第2反应气体供给部件和活化部件沿着工作台的周向配置,使得通过上述相对旋转,基板按顺序处于第1反应气体供给区域、第2反应气体供给区域和被活化了的处理气体的供给区域,
上述活化部件具有活化气体注入装置,该活化气体注入装置从上述基板的工作台中心侧的内缘部向工作台外方侧的外缘部延伸,在其长度方向上形成有气体喷出口,
上述活化部件由权利要求1所述的活化气体注入装置构成。
14.一种成膜方法,其通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠多个反应生成物的层而形成薄膜,
该成膜方法包括以下工序:
将基板载置在设置于真空容器内的旋转台上的基板载置区域,使该旋转台绕铅直轴线旋转的工序;
对上述真空容器内进行真空排气的工序;
将第1反应气体从第1反应气体供给部件供给到上述基板的表面上的工序;
将第2反应气体从第2反应气体供给部件供给到上述基板的表面上,使该第2反应气体与上述基板的表面上的第1反应气体的成分反应而生成反应生成物的工序,该第2反应气体供给部件与上述第1反应气体供给部件隔开间隔地设置在该第1反应气体供给部件的上述旋转台的旋转方向下游侧;
将被活化的处理气体从权利要求1所述的活化气体注入装置供给到上述基板的表面上,进行上述基板表面的反应生成物的改性的工序,上述活化气体注入装置设置成与上述旋转台的基板载置区域相对并且在上述旋转台的旋转方向上设置在上述第2反应气体供给部件和上述第1反应气体供给部件之间;
以及将分离气体分别供给到分离区域的工序,为了使被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛相互分离,该分离区域在上述旋转方向上分别设置在被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域之间。
15.根据权利要求14所述的成膜方法,
进行上述改性的工序是在上述旋转台的每次旋转的过程中进行的工序。
16.一种成膜方法,其通过在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并且执行该供给循环,从而层叠多个反应生成物的层而形成薄膜,
该成膜方法包括以下工序:
将基板载置在设置于真空容器内的旋转台上的基板载置区域,使该旋转台绕铅直轴线旋转的工序;
对上述真空容器内进行真空排气的工序;
将第1反应气体从第1反应气体供给部件供给到上述基板的表面上的工序;
将第2反应气体从第2反应气体供给部件供给到上述基板的表面上,使该第2反应气体与吸附在上述基板的表面上的第1反应气体的成分反应而生成反应生成物的工序,该第2反应气体供给部件与上述第1反应气体供给部件隔开间隔地设置在该第1反应气体供给部件的上述旋转台的旋转方向下游侧;
将被等离子化的处理气体从权利要求1所述的活化气体注入装置供给到上述基板的表面上,进行上述基板表面的反应生成物的改性的工序,上述活化气体注入装置设置成与上述旋转台的基板载置区域相对并且在上述旋转台的旋转方向上设置在上述第2反应气体供给部件和上述第1反应气体供给部件之间;
以及将分离气体分别供给到分离区域的工序,为了使被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛相互分离,该分离区域在上述旋转方向上分别设置被供给上述第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域之间。
CN200910169417.XA 2008-08-29 2009-08-31 活化气体注入装置、成膜装置和成膜方法 Active CN101660138B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP2008222740 2008-08-29
JP2008-222740 2008-08-29
JP2008222740 2008-08-29
JP2009-061605 2009-03-13
JP2009061605 2009-03-13
JP2009061605 2009-03-13
JP2009172948 2009-07-24
JP2009-172948 2009-07-24
JP2009172948A JP5423205B2 (ja) 2008-08-29 2009-07-24 成膜装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310016980.XA Division CN103088319B (zh) 2008-08-29 2009-08-31 成膜装置和成膜方法

Publications (2)

Publication Number Publication Date
CN101660138A CN101660138A (zh) 2010-03-03
CN101660138B true CN101660138B (zh) 2014-01-15

Family

ID=41725849

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200910169417.XA Active CN101660138B (zh) 2008-08-29 2009-08-31 活化气体注入装置、成膜装置和成膜方法
CN201310016980.XA Active CN103088319B (zh) 2008-08-29 2009-08-31 成膜装置和成膜方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310016980.XA Active CN103088319B (zh) 2008-08-29 2009-08-31 成膜装置和成膜方法

Country Status (5)

Country Link
US (1) US9053909B2 (zh)
JP (1) JP5423205B2 (zh)
KR (1) KR101535682B1 (zh)
CN (2) CN101660138B (zh)
TW (1) TWI433252B (zh)

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5460375B2 (ja) * 2010-02-22 2014-04-02 株式会社東芝 磁気抵抗効果素子の製造方法
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
CN102237246B (zh) * 2010-04-26 2013-06-05 北京北方微电子基地设备工艺研究中心有限责任公司 一种排气板及等离子体处理设备
CN102939659B (zh) 2010-06-11 2016-08-17 株式会社半导体能源研究所 半导体器件及半导体器件的制造方法
JP5787284B2 (ja) * 2010-06-30 2015-09-30 国立大学法人名古屋大学 反応種供給装置および表面等処理装置
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
JP5635378B2 (ja) 2010-11-30 2014-12-03 日東電工株式会社 半導体ウエハ搬送方法および半導体ウエハ搬送装置
KR101246170B1 (ko) * 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
JP5839804B2 (ja) * 2011-01-25 2016-01-06 国立大学法人東北大学 半導体装置の製造方法、および半導体装置
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
JP5963193B2 (ja) 2011-07-29 2016-08-03 日東電工株式会社 積層体の製造方法
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
JPWO2013137115A1 (ja) * 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR102070400B1 (ko) 2012-06-29 2020-01-28 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5947138B2 (ja) * 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
US9831067B2 (en) * 2012-10-11 2017-11-28 Tokyo Electron Limited Film-forming apparatus
CN103824801A (zh) * 2012-11-16 2014-05-28 光达光电设备科技(嘉兴)有限公司 Led外延片反应腔
JP6010451B2 (ja) * 2012-12-21 2016-10-19 東京エレクトロン株式会社 成膜方法
JP5839606B2 (ja) * 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5692337B2 (ja) * 2013-11-25 2015-04-01 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6307316B2 (ja) * 2014-03-19 2018-04-04 株式会社日立国際電気 基板処理装置、及び半導体装置の製造方法
JP6183965B2 (ja) * 2014-03-27 2017-08-23 Sppテクノロジーズ株式会社 シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
JP6086254B2 (ja) * 2014-09-19 2017-03-01 日新イオン機器株式会社 基板処理装置
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
KR102337807B1 (ko) * 2014-11-14 2021-12-09 삼성디스플레이 주식회사 박막 증착 장치
JP6320903B2 (ja) * 2014-11-19 2018-05-09 東京エレクトロン株式会社 ノズル及びこれを用いた基板処理装置
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
JP5968996B2 (ja) * 2014-12-18 2016-08-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6345104B2 (ja) * 2014-12-24 2018-06-20 東京エレクトロン株式会社 成膜方法
JP6297509B2 (ja) * 2015-01-26 2018-03-20 東京エレクトロン株式会社 基板処理装置
JP6569520B2 (ja) 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
JP6569521B2 (ja) 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
JP6723135B2 (ja) * 2015-12-25 2020-07-15 東京エレクトロン株式会社 保護膜形成方法
JP6523185B2 (ja) * 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10640870B2 (en) * 2016-04-25 2020-05-05 Applied Materials, Inc. Gas feedthrough assembly
US10260149B2 (en) 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
JP6602261B2 (ja) 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
WO2018003002A1 (ja) * 2016-06-28 2018-01-04 東芝三菱電機産業システム株式会社 活性ガス生成装置及び成膜処理装置
JP6740799B2 (ja) 2016-08-17 2020-08-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6747220B2 (ja) * 2016-09-28 2020-08-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
JP6680190B2 (ja) * 2016-11-14 2020-04-15 東京エレクトロン株式会社 成膜装置
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6698509B2 (ja) * 2016-12-14 2020-05-27 株式会社神戸製鋼所 ターゲット用シャッタ機構およびそれを備えた成膜装置
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
KR101905822B1 (ko) 2017-03-21 2018-10-08 주식회사 유진테크 기판 처리장치
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
CN107523808B (zh) * 2017-08-23 2019-05-10 江苏菲沃泰纳米科技有限公司 一种有机硅纳米防护涂层的制备方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
JP6981356B2 (ja) * 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
US11114287B2 (en) * 2018-06-14 2021-09-07 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
CN112334599B (zh) * 2018-06-25 2023-09-29 东芝三菱电机产业系统株式会社 活性气体生成装置及成膜处理装置
JP7249744B2 (ja) 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
DE112019000174B4 (de) * 2019-02-13 2024-02-01 Toshiba Mitsubishi-Electric Industrial Systems Corporation Aktivgas-Erzeugungsvorrichtung
JP7175375B2 (ja) * 2019-02-27 2022-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム。
WO2021059486A1 (ja) * 2019-09-27 2021-04-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102358843B1 (ko) * 2019-12-19 2022-02-08 한국과학기술연구원 연속식 카본나노튜브의 제조장치
KR102312364B1 (ko) * 2019-12-24 2021-10-13 주식회사 테스 기판처리장치
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
RU199340U1 (ru) * 2020-02-03 2020-08-28 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Устройство поджига импульсных разрядников
US20210395883A1 (en) * 2020-06-22 2021-12-23 Tokyo Electron Limited System and Method for Thermally Cracking Ammonia
CN114351116A (zh) * 2020-10-13 2022-04-15 中国科学院微电子研究所 原子层沉积装置及原子层沉积方法
KR20220113468A (ko) * 2020-12-24 2022-08-12 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 활성 가스 생성 장치
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
CN1791972A (zh) * 2003-05-19 2006-06-21 东京毅力科创株式会社 等离子体处理装置

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4879970A (en) * 1987-04-21 1989-11-14 M&T Chemicals Inc. Coating hood for applying coating compound on containers
JPH03144664A (ja) 1989-10-31 1991-06-20 Mita Ind Co Ltd 自動原稿送り装置
US5095300A (en) * 1990-03-28 1992-03-10 Nec Electronics Inc. Device for sensing side positioning of wafers
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JP2677913B2 (ja) * 1991-05-13 1997-11-17 三菱電機株式会社 半導体製造装置のシール機構および半導体装置の製造方法
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
JP4790914B2 (ja) * 1999-05-13 2011-10-12 ヴィーコ・プロセス・イクウィップメント・インコーポレーテッド 基板上に材料をエピタキシャル成長させるための方法と装置
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6235656B1 (en) * 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP2002170823A (ja) * 2000-09-19 2002-06-14 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法並びにそれに使用されるカバー部材
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR100452318B1 (ko) * 2002-01-17 2004-10-12 삼성전자주식회사 압력조절시스템 및 이를 이용하는 압력조절방법
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US20060124058A1 (en) * 2002-11-11 2006-06-15 Hitachi Kokusai Electric Inc. Substrate processing device
JP4226597B2 (ja) * 2003-03-04 2009-02-18 株式会社日立国際電気 基板処理装置およびデバイスの製造方法
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
DE102004056170A1 (de) 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
JP4480516B2 (ja) * 2004-08-23 2010-06-16 株式会社アルバック バリア膜の形成方法
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
KR100909750B1 (ko) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 디바이스의 제조 방법
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
SG136078A1 (en) * 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US8555808B2 (en) * 2006-05-01 2013-10-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP5310283B2 (ja) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP5195175B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5262452B2 (ja) * 2008-08-29 2013-08-14 東京エレクトロン株式会社 成膜装置及び基板処理装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5195676B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5173685B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラムおよびこれを記憶するコンピュータ可読記憶媒体
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5280964B2 (ja) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US7964858B2 (en) * 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010129666A (ja) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) * 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5068780B2 (ja) * 2009-03-04 2012-11-07 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5093162B2 (ja) * 2009-03-12 2012-12-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5524139B2 (ja) * 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
CN1791972A (zh) * 2003-05-19 2006-06-21 东京毅力科创株式会社 等离子体处理装置

Also Published As

Publication number Publication date
CN101660138A (zh) 2010-03-03
TWI433252B (zh) 2014-04-01
CN103088319A (zh) 2013-05-08
CN103088319B (zh) 2015-05-13
KR101535682B1 (ko) 2015-07-09
US20100055347A1 (en) 2010-03-04
US9053909B2 (en) 2015-06-09
JP2010239103A (ja) 2010-10-21
JP5423205B2 (ja) 2014-02-19
TW201029089A (en) 2010-08-01
KR20100027062A (ko) 2010-03-10

Similar Documents

Publication Publication Date Title
CN101660138B (zh) 活化气体注入装置、成膜装置和成膜方法
US9677174B2 (en) Film deposition method for producing a reaction product on a substrate
KR101381066B1 (ko) 성막 장치
KR101228728B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
KR101533464B1 (ko) 박막 증착 장치, 박막 증착 방법 및 컴퓨터로 판독가능한 기억 매체
CN105097459B (zh) 等离子体处理方法及等离子体处理装置
JP5375853B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP2020036015A (ja) ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ
CN102110572A (zh) 等离子处理装置
US20190214228A1 (en) Radical assisted cure of dielectric films
TWI721227B (zh) 成膜裝置及成膜方法
CN103155104A (zh) 通过对称型流入口和流出口供给反应气体的基板处理装置
KR20150121150A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP5549754B2 (ja) 成膜装置
CN103155719A (zh) 具备半圆形天线的基板处理装置
KR20180054448A (ko) 성막 장치
TWI813375B (zh) 具有氣體分佈及個別泵送的批次固化腔室
TWI837045B (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant