CN103155719A - 具备半圆形天线的基板处理装置 - Google Patents

具备半圆形天线的基板处理装置 Download PDF

Info

Publication number
CN103155719A
CN103155719A CN2011800482530A CN201180048253A CN103155719A CN 103155719 A CN103155719 A CN 103155719A CN 2011800482530 A CN2011800482530 A CN 2011800482530A CN 201180048253 A CN201180048253 A CN 201180048253A CN 103155719 A CN103155719 A CN 103155719A
Authority
CN
China
Prior art keywords
antenna
silicon
substrate
gas
cavity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800482530A
Other languages
English (en)
Other versions
CN103155719B (zh
Inventor
诸成泰
梁日光
宋炳奎
朴松焕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eugene Technology Co Ltd
Original Assignee
Eugene Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eugene Technology Co Ltd filed Critical Eugene Technology Co Ltd
Publication of CN103155719A publication Critical patent/CN103155719A/zh
Application granted granted Critical
Publication of CN103155719B publication Critical patent/CN103155719B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

根据本发明一实施例,基板处理装置具备:用于实行基板加工的腔体;设置在所述腔体的内部并用于放置所述基板的基板支撑台;以及设置在所述腔体上部且用于在所述腔体内部形成电场(electricfield)的天线,所述天线具备以已设定的中心线为基准对称配置的第一天线和第二天线,所述第一天线具备:分别具有第一半径和第二半径且以已设定的中心线为基准分别位于一侧和另一侧的半圆形的第一内侧天线和第一中间天线;连接所述第一内侧天线和所述第一中间天线的第一连接天线,所述第二天线具备:分别具有所述第一半径和第二半径且以所述中心线为基准分别位于一侧和另一侧的半圆形的第二中间天线和第二内侧天线;连接所述第二中间天线和所述第二内侧天线的第二连接天线。

Description

具备半圆形天线的基板处理装置
技术领域
本发明涉及一种基板处理装置,更详细而言涉及一种具备半圆形天线的基板处理装置。
背景技术
半导体装置在硅基板上具有多个阶层(layers),这样的阶层是通过沉积工序而沉积在基板上的。这样的沉积工序存在几种重要问题(issues),而这样的问题对于沉积膜的评价以及沉积方法的选择而言是十分重要的。
首先是关于沉积膜的“质量”(qulity)。其意味着组成(composition)、污染度(contamination levels)、损失度(defect ensity)以及机械-电气特性(mechanical and electrical properties)。膜的组成可根据沉积条件而变化,这对于特定组成(specific composition)的获得是十分重要的。
其次是关于晶片(wafer)的均匀厚度(uniform thickness)。特别是,在形成有阶梯部分(step)的非平面(nonplanar)形状图案上部上沉积的膜的厚度十分重要。沉积膜厚度均匀与否,可通过阶梯部分覆盖率(step coverage)来判断,所述阶梯覆盖率定义如下:沉积在阶梯部分的最小厚度除于沉积在图案上部面的厚度。
与沉积相关的另一问题是空间填充(filling space)问题。其包括用包含氧化膜的绝缘膜填充金属线之间的间隙填充(gap filling)。间隙是为了对金属线之间进行物理及电绝缘处理而提供的。
在这些问题中,均匀度是与沉积工序关联的重要问题中的一个,在金属配线(metal line)方面非均匀的膜会带来高的电阻(electricalresistance),并且增加机械损伤的可能性。
发明内容
所要解决的课题
本发明的目的在于提供一种能够确保加工均匀度的等离子体处理装置及等离子体天线。
通过下面详细的说明和附图进一步明确本发明的其他目的。
解决课题的方法
根据本发明一实施例,基板处理装置具备:用于实行基板加工的腔体;设置在所述腔体的内部并用于放置所述基板的基板支撑台;以及设置在所述腔体上部且用于在所述腔体内部形成电场(electricfield)的天线,所述天线具备以已设定的中心线为基准对称配置的第一天线和第二天线,所述第一天线具备:分别具有第一半径和第二半径且以已设定的中心线为基准分别位于一侧和另一侧的半圆形的第一内侧天线和第一中间天线;连接所述第一内侧天线和所述第一中间天线的第一连接天线,所述第二天线具备:分别具有所述第一半径和第二半径且以所述中心线为基准分别位于一侧和另一侧的半圆形的第二中间天线和第二内侧天线;连接所述第二中间天线和所述第二内侧天线的第二连接天线。
所述第一天线进一步具备半圆形的第一外侧天线,所述第一外侧天线具有第三半径并位于以所述中心线为基准的一侧位置,所述第二天线进一步具备半圆形的第二外侧天线,所述第二外侧天线具有第三半径并位于以所述中心线为基准的另一侧位置,所述第一中间天线配置在所述第二内侧天线和所述第二外侧天线之间,所述第二中间天线配置在所述第一内侧天线和所述第一外侧天线之间。
所述天线具有所述第一天线和所述第二天线位于同一平面上的平坦(flat)形状。
所述腔体具备上部开放的下部腔体;用于开闭所述下部腔体的上部且位于所述天线下部的腔体盖;位于天线和所述腔体盖之间,用于调节在所述腔体内部形成的电场的调节板。
所述调节板的厚度根据在所述腔体内部实行的加工率来决定。
所述基板处理装置具备流入口和流出口对称的喷头,所述流入口用于向所述腔体内部供给反应气体,所述流出口用于将已供给于所述腔体内部的所述反应气体排出,所述喷头具有连接于所述流入口且随所述反应气体的流动方向截面积增加的多个扩散流路以及将所述扩散流路相互连接的流入连接流路。
所述扩散流入流路上下配置。
所述喷头具备连接于所述流出口且随所述反应气体的流动方向截面积减小的多个收敛流路以及将所述收敛流路相互连接的流出连接流路。
发明的效果
根据本发明,能够在腔体内生成密度均匀的等离子体。另外能够确保对利用等离子体的被处理体的加工均匀度。
附图说明
图1和图2是概略表示本发明一实施例的基板处理装置图。
图3是概略表示图1中所示天线的俯视图。
图4是表示图1中所示调节板的厚度与基板沉积率关系的曲线图。
图5是对图2中所示喷头的流入口部分进行扩大的图。
图6是对图2中所示喷头的流出口部分进行扩大的图。
图7a至图7c是表示由图1中所示喷头引起的流动的图。
图8是表示本发明实施例的循环薄膜沉积法的流程图。
图9是表示本发明实施例的循环薄膜沉积法的图表(diagram)。
图10a至图10c表示本发明实施例的沉积硅的步骤的剖面图。
图11是表示本发明实施例的形成了硅薄膜的状态的剖面图。
图12a是本发明实施例的将硅薄膜形成为含硅绝缘膜的步骤的剖面图。
图12b是表示本发明实施例的实行了含硅的第二吹扫步骤的状态的剖面图。
图13是表示本发明另一实施例的形成了含硅绝缘膜的状态的剖面图。
图14是表示本发明又一实施例的循环薄膜沉积法的流程图。
图15是表示本发明又一实施例的循环薄膜沉积法的图表。
图16a至图16c是本发明又一实施例的沉积硅的步骤的剖面图。
图17a至图17c是表示本发明又一实施例的形成含硅绝缘膜的步骤的剖面图。
图18是表示本发明又一实施例的形成了多个含硅绝缘膜的状态的剖面图。
图19a和图19b是表示本发明又一实施例的使绝缘膜致密的步骤的剖面图。
图20是表示本发明又一实施例的形成了含硅绝缘膜的状态的剖面图。
具体实施方式
图1和图2是概略表示本发明一实施例的基板处理装置的图。如图1、图2所示,基板处理装置包括用于实行基板加工的腔体,腔体提供与外部隔绝的内部空间,从而在加工时将基板与外部隔绝。腔体具备上部开放的形状的下部腔体10和用于开闭上部腔体10上部的腔体盖12,腔体盖12通过固定环32固定在下部腔体10的上部。
下部腔体10具有形成在一侧壁的通路14,基板通过通路14进出下部腔体10的内部。通路14通过设置在下部腔体10外部的闸阀16进行开闭。另外,下部腔体10的另一侧壁形成有排气孔18,排气孔18与排气管路19a相连。排气管路19a与真空泵(未图示)相连,在基板传递至下部腔体10的内部后且加工前的阶段,能够通过排气孔18将下部腔体10内部的气体排出,使下部腔体10的内部形成真空。
通过由闸阀16而开放的通路14,基板向下部腔体10的内部移动,
被置于在内部空间内设置的支撑台11的上部。此时,如图1所示,在支撑台11上供有多个顶杆(lift pin)11a,顶杆11a在立起的状态下支撑移动至支撑台11上部的基板。在支撑台11位于下部腔体10的下部的情况下,顶杆11a的下端被下部腔体10的下部壁支撑,顶杆11a的上端维持从支撑台11上部面突出的状态,因此基板通过顶杆11a位于离支撑台11具有距离的位置。
支撑台11连接于升降轴13,升降轴13通过驱动部15进行升降。升降轴13可以通过下部腔体10的开放的下部与驱动部15相连,并通过驱动部15上下移动支撑台11。
如图2所示,能够使支撑台11上升移动至喷头40附近,从而支撑台11抵接在喷头40两侧突出部下端而能够形成由支撑台11和腔体盖12围绕的加工区域13a。支撑台11具备能够调节基板温度的温度调节系统(例如加热器)。如下文所述,关于放置在支撑台11的基板的加工只在加工区域13a内进行,而且能够将反应气体或吹扫气体只供应于加工区域13a内。此时,随着支撑台11的上升,顶杆11a的上端插入于支撑台11的内部,从而能够将基板安装在支撑台11的上部面。
另外,导杆19设置在支撑台11的外侧,并根据支撑台11的升降方向配置。导杆19具有与排气孔18连通的导孔18a,进行加工时通过导孔18a和排气孔18将下部腔体10内部的气体排出,从而调节下部腔体10内部的压力。
天线20设置在腔体盖12的上部。天线20分别连接在RF电源(未图示),从而在加工区域13a内形成电场(electric field),进而从供应于加工区域13a内的反应气体生成等离子体。图3是概略表示图1天线的俯视图。
如图3所示,天线20具有一体形成的第一、第二天线,第一、第二天线以中心线R为基准呈180°旋转对称。第一天线具有以中心为基准构成半圆形的第一内侧天线21、第一中间天线23、第一外侧天线25。第一内侧天线21具有第一半径r1,第一中间天线23具有第二半径r2,第一外侧天线25具有半径r3(r1<r2<r3)。此时,第一内侧连接天线21a连接第一内侧天线21和第一中间天线23,第一外侧连接天线23a连接第一中间天线23和第一外侧天线25。
同样,第二天线具有以中心为基准构成半圆形的第二内侧天线22、第二中间天线24、第二外侧天线26。第二内侧天线22具有第一半径r1,第二中间天线24具有第二半径r2,第二外侧天线26具有半径r3(r1<r2<r3)。此时,第二内侧连接天线22a连接第二内侧天线22和第二中间天线24,第二外侧连接天线24a连接第二中间天线24和第二外侧天线26。
第一、第二天线分别连接在另外RF电源(未图示),当通过RF电源在第一、第二天线通RF电流时,第一、第二天线在下部腔体10内形成电场。此时,第一、第二天线能够通过互补,在下部腔体10内形成均匀的电场。
如图3所示,从中心O向半径方向,第一、第二天线6相互交替配置。即,第一中间天线23位于第二内侧天线22和第二外侧天线26之间,第二中间天线24位于第一内侧天线21和第一外侧天线25之间。从而,当第一天线形成的电场弱于第二天线形成的电场时,能够通过邻接的第二天线形成的电场而得到加强,当第一天线形成的电场强于第二天线形成的电场时,能够通过邻接的第二天线形成的电场而被抵消。因此,即使第一、第二天线分别能够形成的电场的大小存在差异,也能够通过电场之间的相长干涉来得到均匀的电场。
另外,如图1所示,调节板30设置在腔体盖12和天线20之间。调节板30配置在腔体盖12和固定板34之间,固定板34被固定在固定环32,从而固定调节板30。调节板30由介电材料构成,通过调节板30的厚度来调节天线20形成的电场。
图4是表示图1调节板的厚度与基板沉积率关系的曲线图。当完成沉积工序后对沉积率D进行测定,则如图4上侧所示般,在基板中心O和基板边缘部分显示低值,在基板中心O和基板边缘之间显示高值。从而,通过调节板30来改善基板的沉积均匀度。
调节板30起到抵抗天线20形成的电场的作用。调节板30的厚度越增加,天线20所形成的电场就越弱,由此沉积率下降。利用这一点,通过调节调节板30的厚度来改善基板的沉积均匀度。如图4所示,将沉积率低的基板中心O和基板边缘部分的厚度d0、de设置得比基板中心O与基板边缘之间部分的厚度dm大,由此调节电场的大小,从而改善沉积均匀度。另外,图4所示的沉积率和调节板30的厚度是为了举例说明而已,沉积率和调节板30的厚度也可与此不同。
再次观察图1,基板处理装置进一步包括喷头40,喷头40设置在下部腔体10和腔体盖12之间。喷头40不仅用于向加工区域13a内供给反应气体或吹扫气体,而且还用于将供给的反应气体或吹扫气体向外部排出。为此,喷头40具有流入口41a和流出口41b,流入口41a和流出口41b分别形成在一侧和另一侧并相互对称。
为实施发明的方案
图5是对图2喷头的流入口部进行扩大的图。如图5所示,喷头40具有多个扩散流路42、44、46以及将扩散流路42、44、46相互连接的流入连接流路42a、44a。扩散流路42、44、46大体以水平形状并排而形成,并且上下层积而配置。下部扩散流路42通过入口48与形成在下部腔体10的连接管路40a连接,连接管路40a与供给管路50连接。
在原子层沉积(Atomoic Layer Deposition:ALD)中,为一次性以单层形成膜,在加热基板的过程中轮番依次导入如膜前驱体和还原气体般两种以上加工气体。第一步骤中在基板表面吸收膜前驱体,在第二步骤中进行还原以形成规定的膜。这样,在腔体内轮番使用两种加工气体,从而能够以较缓慢的沉积速度进行沉积。在等离子体增强原子层沉积(PEALD)中,在导入还原气体过程中形成等离子体,从而形成还原等离子体。至今为止,对ALD和PEALD加工而言,即便这些加工具有比CVD及PECVD加工慢的缺点,但已确认能够提供改善的层厚度均匀性和对于层被沉积的主要部分的适合性。
供给管路50包括第一、第二反应气体管路52、54,吹扫气体管路56以及等离子体管路58,它们通过连接管路40a供给于喷头40。上部扩散流路46与流入口41a连接,通过供给管路50供给的反应气体或吹扫气体依次经过扩散流路42、44、46后通过流入口41a供给于加工区域13a。
第一反应气体管路52供给第一反应气体,第一反应气体能够包含如下组成物般的膜前驱体,该组成物具有从基板上形成的膜中发现的主要原子或分子种。例如,膜前驱体可以是固相、液相或气相,并以气相供给于喷头40。进行加工时,以一定周期向加工区域13a供给第一反应气体,第一反应气体以单层形式吸收于基板。之后,吹扫气体通过下文所述的吹扫气体管路56吹入加工区域13a。
第二反应气体管路54供给第二反应气体,第二反应气体可包含还原剂。例如,还原剂可以是固相、液相或气相,可以以气相供给于喷头40。进行加工时,若先前的吹扫结束,则以一定周期向加工区域13a供给还原气体,从而向天线20供给RF电流。由此,引起通过第二反应气体管路54供给的第二反应气体的离子化和离解,其与膜前驱体反应以使基于第一反应气体的膜前驱体被还原,从而形成能够形成膜的离解的物种(dissociated species)。另外,可轮番供给第一反应气体和第二反应气体,并且可周期性地进行轮番供给,也可改变第一、第二反应气体供给之间的时间周期而非周期性地进行。
吹扫气体管路56能够在第一反应气体和第二反应气体的供给之间向喷头40供给吹扫气体。吹扫气体可包含惰性气体,如稀有气体(noblegas)(即氦、氖、氩、氙、氪)、氮气(或含氮气体)、氢气(或含氢气体)。等离子体管路58能够向喷头40选择性地供给远程等离子体(remote plasma),远程等离子体被供给于腔体内部,用于清洁腔体内部。
图6是对图2喷头的流出口部分进行扩大的图。如图6所示,喷头40具有多个收敛流路43、45、47以及将收敛流路43、45、47相互连接的流出连接流路43a、45a。收敛流路43、45、47大体以水平形状并排而形成,并且上下层积而配置。下部收敛流路43通过出口49与形成在下部腔体10的连接管路40b连接,连接管路40b与排气管路19a连接。上部收敛流路47连接在流出口41b,供给于加工区域13a内的反应气体或吹扫气体通过流出口41b依次经过收敛流路43、45、47之后,经由排气管路19a排出。
图7a至图7c是表示由图1中所示喷头引起的流动的图。参照图5至图7c,对上文中说明的扩散流路42、44、46和收敛流路43、45、47的形状以及其中的流动进行说明。
首先,如上所述般,在原子层沉积(Atomoic Layer Deposition:ALD)中,供给第一反应气体而在基板上吸附第一反应气体,供给吹扫气体而去除第一反应气体或副产物,之后,供给第二反应气体使第二反应气体与第一反应气体反应,从而沉积原子层,再次供给吹扫气体来去除第二反应气体或副产物。即,必须依次供给并去除两种加工气体。
通常,化学气相沉积(CVD)设计成同时供给反应气体而形成薄膜,因此不适合于如下方法:非连续性地供给反应气体而形成薄膜或,为使依次供给的反应气体在腔体内不发生气相反应而通过吹扫来去除的同时进行反应的方法。另外,在使用化学气相沉积的装置中,通常使用喷头(showerhead)将反应气体以自上而下的方向均匀地供给于基板上。但是,这样的结构使加工气体的流程变复杂,并要求大的反应体积,因此难以快速转换反应气体的供给。
图7a是沿图2的A-A构成的剖面图。如图7a所示,喷头40呈中央部分空的环形状,中央部分以与基板S的位置相对应地形成。上文中说明的天线20能够通过喷头40的中央部分,在基板S的上部形成电场。下部扩散流路42和入口48位于与下部收敛流路43和出口49相反的位置,在它们之间置有基板S。入口48与供给管路50连接,反应气体或吹扫气体通过供给管路50流入。出口49与排气管路19a连接,反应气体或吹扫气体通过排气管路50排出。从而,如图7a所示,从入口48向出口49的流动形成在基板S的上部,如下文所述般,通过扩散流路42、44、46以及收敛流路43、45、47的形状均匀地形成流动。
如图7a所示,下部扩散流路42与入口48连通,从供给管路50供给的气体通过入口48流入后,通过下部扩散流路42向箭头方向扩散。此时,下部扩散流路42沿着气体的流动方向(或箭头方向)其截面积逐渐(或连续)增加,由此气体能够沿着流动方向扩散。另外,如图7a所示,下部收敛流路43与出口49连通,通过流出口41b流入的气体通过下部收敛流路43向箭头方向收敛并流向出口49。此时,下部收敛流路43沿着气体流动方向(或箭头方向)其截面积逐渐(或连续)减小,由此气体能够沿着流动方向收敛。
图7b是沿图2的B-B线的剖面图。如图7b所示,中间扩散流路44通过流入连接流路42a与下部扩散流路42连通,通过下部扩散流路42流入的气体通过中间扩散流路44向箭头方向扩散。此时,中间扩散流路44沿着气体流动方向(或箭头方向)截面积逐渐(或连续)增加,由此,气体能够沿着流动方向扩散。另外,如图7b所示,中间收敛流路45通过流出连接流路43a与下部收敛流路43连通,通过流出口41b流入的气体通过中间收敛流路45向箭头方向收敛而流向流出连接流路43a。此时,中间收敛流路45沿着气体流动方向(或箭头方向)其截面积逐渐(或连续)减小,由此气体能够沿着流动方向收敛。
图7c是沿图2的C-C构成的剖面图。如图7c所示,上部扩散流路46通过流入连接流路44a与中间扩散流路44连通,通过中间扩散流路44流入的气体通过上部扩散流路46向箭头方向扩散。此时,上部扩散流路46沿着气体流动方向(或箭头方向)截面积逐渐(或连续)增加,由此,气体能够沿着流动方向扩散。扩散的气体通过流入口41a供给于基板S的上部,形成流向流出口41b的并排的平行流动。另外,如图7c所示,上部收敛流路47通过流出连接流路45a与中间收敛流路45连通,通过流出口41b流入的气体通过上部收敛流路47向箭头方向收敛而流向流出连接流路45a。此时,上部收敛流路47沿着气体流动方向(或箭头方向)截面积逐渐(或连续)减小,由此,气体能够沿着流动方向收敛。
再次观察图5和图7a至图7c,从供给管路50供给的气体通过入口48流入喷头40,气体经过下部扩散流路42、中间扩散流路44以及上部扩散流路46,随此气体流动方向也换成右→左→右,同时气体能够沿着流路截面积的增加而扩散。即,气体在通过扩散流路42、44、46的同时能够被充分扩散,由此,通过流入口41a而供给于加工区域13a的气体能够具有与基板S相对应的流动宽度。
另外,再次观察图6和图7a至图7c,流出口41b和上部收敛流路47具有与基板S相对应的流动宽度,通过出口49而提供的排气压力通过收敛流路43、45、47均匀地施加在流出口41b的所有面。从而,基板S位于上部扩散流路46和上部收敛流路47之间,通过流入口41a流入的气体在基板S的上部形成流向流出口41b的均匀的平行流动。之后,气体经过上部收敛流路47、中间收敛流路45以及下部收敛流路43,随此气体流动方向变成右→左→右,同时气体能够沿着流路截面积的减小而缓慢收敛,进而通过出口49沿着排气管路19a而排出。
根据上述说明,由于能够使气体在加工区域13a内形成均匀的流动,因此能够快速供给和排出气体,特别是,能够快速转换两种以上反应气体和吹扫气体而供给。另外,将加工区域13a的体积最小化时,能够最大限度地快速转换气体。
图8是表示本发明实施例的循环薄膜沉积法的流程图。参照图8,在半导体制造装置的腔体内部装载基板(S100)。并且在所述腔体内部装载的基板上形成硅薄膜(S200),其中一并实行为形成硅薄膜而沉积硅的步骤(S210)和第一吹扫步骤(S220)。
为了沉积硅,在所述腔体内部注入硅前驱体,从而能够将硅沉积在所述基板上(S210)。在所述基板上沉积硅之后,实行去除未反应的硅前驱体和反应副产物的第一吹扫步骤(S220)。之后,重复沉积硅的步骤(S210)和第一吹扫步骤(S220)(S230),从而在所述基板上形成硅薄膜。
沉积硅的步骤(S210)和第一吹扫步骤(S220)例如可以重复实行3至10次。在各沉积硅的步骤(S210)中,能够在基板上形成一个至多个硅原子层。当重复实行沉积硅的步骤(S210)和第一吹扫步骤(S220)(S230)时,能够在所述基板上形成由无定形硅或具有多晶性的多晶硅构成的硅薄膜。具有无定形硅或多晶性的硅薄膜具有数至数十
Figure BDA00003009090800101
的厚度。
之后,将形成在所述基板上的硅薄膜形成为含硅的绝缘膜(S300)。含硅绝缘膜例如可以为硅氧化膜或硅氮化膜。
为了将硅薄膜形成为含硅绝缘膜,在所述腔体内部形成等离子体气氛并注入反应气体。反应气体例如可以为选自O2、O3、N2以及NH3群中的一种以上气体。
含硅绝缘膜为硅氧化膜时,所述反应气体可以为O2或O3这样的含氧原子的气体。含硅绝缘膜为硅氮化膜时,所述反应气体可以为N2或NH3这样的含氮原子的气体。
另外,为了将硅薄膜形成为含硅绝缘膜,例如形成为硅氧化膜,能够在所述腔体内部将O2或O3用作点火气体形成等离子体气氛。
另外,为了将硅薄膜形成为含硅绝缘膜,例如形成为硅氮化膜,能够在所述腔体内部将N2或NH3用作点火气体形成等离子体气氛。
之后,可以实行在腔体内部去除反应副产物和反应气体或点火气体的第二吹扫步骤(S400)。
为获得具有期望厚度的含硅绝缘膜,能够根据需要重复实行形成硅薄膜的步骤(S200),形成为含硅绝缘膜的步骤(S300)以及第二吹扫步骤(S400)(S500)。
当形成了期望厚度的含硅绝缘膜时,能够从腔体卸载基板(S900)。
图9是表示本发明实施例的循环薄膜沉积法的图表。参照图9,硅(Si)前驱体的注入与吹扫(purge)被重复实行。分别数次重复实行硅前驱体的注入和吹扫之后,形成了等离子体气氛。在形成了等离子体气氛的情况下,可以根据需要注入反应气体。
这样,将重复实行硅前驱体的注入和吹扫而形成等离子体气氛的步骤为止作为一次循环动作。即,将如下过程作为一次循环动作:重复实行硅前驱体的注入和吹扫而形成硅薄膜之后,形成等离子体气氛,从而形成含硅绝缘膜。
因此,循环薄膜沉积法不仅能够重复实行硅前驱体的注入和吹扫,而且还能够重复实行硅薄膜的形成和绝缘膜的形成。
图10a至图13以上述内容为基础,按步骤对本发明实施例的循环薄膜沉积法进行详细说明。在图10a至图13的说明中,可以根据需要一并使用图8和图9中的附图标记。
图10a至图10c是表示本发明实施例的沉积硅的步骤的剖面图。图10a是表示本发明实施例的注入硅前驱体的步骤的剖面图。
参照图10a,向装载有基板100的腔体内注入硅前驱体50。基板100例如可以包括硅或化合物半导体晶片这样的半导体基板。或者,基板100可以包括如玻璃、金属、陶瓷、石英这样的不同于半导体的基板物质等。
硅前驱体50例如可以为BEMAS(bisethylmethylaminosilane:二乙基甲基氨基硅烷)、BDMAS(bisdimethylaminosilane:二(二甲基氨基)硅烷,)、BEDAS、TEMAS(tetrakisethylmethylaminosilane:四乙基甲基氨基硅烷,)、TDMAS(tetrakisidimethylaminosilan:四(二甲基氨基)硅烷,e)、TEDAS这样的基于氨基的硅烷,或HCD(hexachlorinedisilan:六氯二硅烷,)这样的基于氯化物的硅烷,包含硅和氢的基于硅烷的前驱体。
可将基板100维持在50至600℃的温度,以使基板100与硅前驱体50反应。另外,可将装载有基板100的腔体内部的压力维持在0.05至10Torr。
图10b是表示本发明实施例的在基板上沉积了硅的状态的剖面图。参照图10b,通过硅前驱体50中与基板100的反应的部分,硅原子被沉积在基板100上,从而能够形成硅层112。硅层112由单个至数个硅原子层构成。
硅前驱体50与基板100反应后,会形成反应副产物52。另外,硅前驱体50中一部分不与基板100反应,会以未反应的状态留存。
图10c是表示本发明实施例的实行了第一吹扫步骤的状态的剖面图。参照图10c,在基板100上形成硅层112后,可实行在腔体11内部去除残留的未反应状态的硅前驱体50和反应副产物52的吹扫(purge)。可以将在腔体11内部去除未反应硅前驱体50和反应副产物52的吹扫(purge)步骤称为第一吹扫步骤。
在所述第一吹扫步骤期间,可将基板100维持在50至600℃的温度。另外,可将装载有基板100的腔体11内部的压力维持在0.05至10Torr。即,在沉积硅层112的步骤和所述第一吹扫步骤期间,可将基板100的温度和腔体11内部的压力维持在一定水平。
图11是表示本发明实施例的沉积了硅薄膜的状态的剖面图。参照图11,重复图10a至图10c中的步骤,而在基板100上沉积多个硅层112、114、116,从而形成由无定形硅或具有多晶性的多晶硅构成的硅薄膜110。
硅薄膜110可以具有数至数十
Figure BDA00003009090800132
的厚度。可以重复实行3至10次沉积硅层112的步骤和所述第一吹扫步骤,以使硅薄膜110具有3至10个硅层112、114、116。
这样,若以多个硅层112形成硅薄膜110,则硅薄膜110可具有优秀的膜质和阶梯覆盖率(step coverage)。
图12a是表示本发明实施例的将硅薄膜形成为含硅绝缘膜的步骤的剖面图。参照图12a,在形成有硅薄膜110的基板100上提供等离子体。即,将装载有基板100的腔体内部形成为等离子体气氛。为形成等离子体气氛,可以采用ICP(电感耦合等离子体,Inductively CoupledPlasma),CCP(电容耦合等离子体,Capacitively Coupled Plasma)或MW(微波,Microwave)等离子体(Plasma)方式。此时,为形成等离子体气氛,可以施加100W至3kW的电力。
为形成等离子体气氛,可注入例如选自Ar、He、Kr及Xe群中的一种以上点火气体(ignition gas)和例如选自O2、O3、N2及NH3群中的一种以上反应气体60。此时,可以以100至3000sccm的流量注入点火气体。
或者,为形成等离子体气氛,可以注入例如选自O2、O3、N2及NH3群中的一种以上反应气体60。此时,反应气体60起到点火气体的作用,因此可以不用另行注入点火气体。
作为反应气体60例如使用O2、O3这样的含氧原子的气体时,硅薄膜110会与反应气体60中含有的氧原子产生反应而形成为硅氧化膜。或者,作为反应气体60例如使用N2和NH3这样的含氮原子的气体时,硅薄膜110会与反应气体60中含有的氮原子产生反应而形成为硅氮化膜。
为了在等离子体气氛下将硅薄膜110变化并形成为硅氧化膜或硅氮化膜这样的后述含硅绝缘膜,可将装载有基板100的腔体11的压力维持在0.05至10Torr。
图12b是表示本发明实施例的实行了含硅的第二吹扫步骤的状态的剖面图。参照图12a和图12b,实行去除残留的反应气体60或反应副产物的第二吹扫步骤,形成含硅绝缘膜120a。含硅绝缘膜120a例如可以为硅氧化膜或硅氮化膜。
如果在等离子体气氛下形成硅氧化膜或硅氮化膜这样的含所述硅的绝缘膜120a,就能够得到优秀的膜质。特别是,即使含硅绝缘膜120a以具有薄的厚度的方式形成,也能够得到优秀的膜质。
另外,如上所述般,由于硅薄膜110可以具有优秀的膜质和阶梯覆盖率(step coverage),因此含硅绝缘膜120a也能够具有优秀的膜质和阶梯覆盖率。特别是,含硅绝缘膜120a是在等离子体气氛下形成的,因此具有更好的膜质。
可以将在腔体11内部去除残留的未反应状态的反应气体60或反应副产物的吹扫步骤称为第二吹扫步骤。
图13是表示本发明另一实施例的形成了含硅绝缘膜的状态的剖面图。参照图13,重复图10a至图12b中说明的步骤,从而可形成具有多个含硅绝缘膜120a、120b的绝缘膜120。
将图12a中的硅薄膜110形成为含硅绝缘膜120a时,硅薄膜110从露出的表面开始变为绝缘膜。因此,当硅薄膜110厚的时候,用于与硅薄膜110反应的氧气或氮气必须穿过形成在硅薄膜110表面的绝缘膜而进行扩散。从而,硅薄膜110的厚度越厚,则绝缘膜的形成速度就越慢。
若欲形成的绝缘膜120相对较厚时,在形成相对较薄的硅薄膜后,重复形成为含硅绝缘膜的过程,这样与将相对较厚的硅薄膜一次性地形成为绝缘膜的相比,能够缩短加工时间。
而且,可以通过考虑加工时间和含硅绝缘膜的期望厚度来决定图10a至图12b中说明的步骤的重复次数。
另外,虽然绝缘膜120被图示成具有两个含硅绝缘膜120a、120b的形式,但也可具有三个或三个以上含硅绝缘膜。
图14是表示本发明又一实施例的循环薄膜沉积法的流程图。
参照图14,在半导体制造装置的腔体内部装载基板(S100)。并且在所述腔体内部装载的基板上沉积绝缘膜(S200),其中一并实行为沉积绝缘膜而沉积硅的步骤(S210)、第一吹扫步骤(S220)、反应步骤(S230)及第二吹扫步骤(S240)。
为了沉积硅,在所述腔体内部注入硅前驱体,从而能够将硅沉积在所述基板上(S210)。在所述基板上沉积硅之后,实行去除未反应的硅前驱体和反应副产物的第一吹扫步骤(S220)。
之后,实行反应步骤(S230),即:将形成在所述基板上的硅与反应气体进行反应,从而形成为含硅绝缘膜。含硅绝缘膜例如可以为硅氧化膜或硅氮化膜。
为了将硅形成为含硅绝缘膜,可以在所述腔体内部注入第一反应气体。第一反应气体例如可以为选自O2、O3、N2及NH3群中的一种以上气体。
含硅绝缘膜为硅氧化膜时,所述第一反应气体可以为O2或O3这样的含氧原子的气体,或者可以为在O2气氛下使用等离子体而形成的O2-(氧阴离子)或O*(负氧离子)。含硅绝缘膜为硅氮化膜时,所述第一反应气体可以为N2或NH3这样的含氮原子的气体。
之后,可以实行在腔体内部去除反应副产物和反应气体或点火气体的第二吹扫步骤(S240)。
可以重复实行沉积硅的步骤(S210)、第一吹扫步骤(S220)、反应步骤(S230)及第二吹扫步骤(S240)(S250)。沉积硅的步骤(S210)、第一吹扫步骤(S220),反应步骤(S230)及第二吹扫步骤(S240)例如可以重复实行3至10次。
在包含沉积硅的步骤(S210)、第一吹扫步骤(S220)、反应步骤(S230)及第二吹扫步骤(S240)的绝缘膜沉积步骤(S200)期间,可将基板温度和腔体内部压力维持在一定水平。
在各沉积硅的步骤(S210)中,在所述基板上形成至少一个硅原子层。含硅绝缘膜以能够具有数
Figure BDA00003009090800151
至数十的厚度的方式形成。形成含硅绝缘膜之后,实行致密化步骤(S300)。
为使含硅绝缘膜致密,可以在所述腔体内部形成等离子体气氛。另外,与等离子体气氛一并注入追加的第二反应气体。第二反应气体例如可以为选自H2、O2、O3,N2及NH3群中的一种以上气体。
为获得期望厚度的含硅绝缘膜,能够根据需要重复实行绝缘膜沉积步骤(S200)和致密化步骤(S300)(S400)。
当形成了期望厚度的含硅绝缘膜时,能够从腔体卸载基板(S900)。
图15是表示本发明又一实施例的循环薄膜沉积法的图表。
参照图15,硅(Si)前驱体的注入与吹扫(purge)、第一反应气体的注入与吹扫被重复实行。在重复实行硅(Si)前驱体的注入后的吹扫(purge)以及第一反应气体的注入后的吹扫之后,形成等离子体气氛。在形成有等离子体气氛的情况下,可以根据需要注入第二反应气体。
这样,将重复实行硅前驱体的注入与吹扫、第一反应气体的注入与吹扫后,形成等离子体气氛的步骤为止作为一次循环动作。即,重复实行硅前驱体的注入与吹扫以及反应气体的注入与吹扫而形成含硅绝缘膜之后,形成等离子体气氛使含硅绝缘膜致密。
另外,可以通过重复上述过程来形成期望厚度的含硅绝缘膜。
因此,循环薄膜沉积法不仅能够重复实行硅前驱体的注入与吹扫以及第一反应气体的注入与吹扫,而且还能够重复实行含硅绝缘膜的形成与致密化。
图16a至图20以上述内容为基础,按步骤对本发明又一实施例的循环薄膜沉积法进行详细说明。在图16a至图20的说明中,可以根据需要一并使用图14和图15中的附图标记。
图16a至图16c是表示本发明实施例的沉积硅的步骤的剖面图。图16a是表示本发明的注入硅前驱体的步骤的剖面图。
参照图16a,向装载有基板100的腔体内注入硅前驱体50。
基板100例如可以包括硅或化合物半导体晶片这样的半导体基板。或者,基板100可以包括玻璃、金属、陶瓷、石英这样的不同于半导体的基板物质等。
硅前驱体50例如可以为BEMAS(bisethylmethylaminosilane:二乙基甲基氨基硅烷)、BDMAS(bisdimethylaminosilane:二(二甲基氨基)硅烷)、BEDAS、TEMAS(tetrakisethylmethylaminosilane:四乙基甲基氨基硅烷)、TDMAS(tetrakisidimethylaminosilane:四(二甲基氨基)硅烷)、TEDAS这样的基于氨基的硅烷,或HCD(hexachlorinedisilan:六氯二硅烷)这样的基于氯化物的硅烷。
可将基板100维持在50至600℃的温度,以使基板100与硅前驱体50反应。另外,可将装载有基板100的腔体内部的压力维持在0.05至10Torr。
图16b是表示本发明实施例的在基板上沉积了硅的状态的剖面图。参照图16b,通过硅前驱体50中与基板100的反应的部分,硅原子被沉积在基板100上,从而能够形成硅层112。硅层112由至少一个硅原子层构成。
硅前驱体50与基板100反应后,会形成反应副产物52。另外,硅前驱体50中的一部分不与基板100反应,会以未反应的状态留存。
图16c是表示本发明实施例的实行了第一吹扫步骤的状态的剖面图。参照图16c,在基板100上形成硅层112后,可实行在腔体内部去除残留的未反应状态的硅前驱体50和反应副产物52的吹扫(purge)。可以将在腔体内部去除未反应硅前驱体50和反应副产物52的吹扫(purge)步骤称为第一吹扫步骤。
在所述第一吹扫步骤期间,可将基板100维持在50至600℃的温度。另外,可将装载有基板100的腔体内部的压力维持在0.05至10Torr。即,在沉积硅层112的步骤和所述第一吹扫步骤期间,可将基板100的温度和腔体内部的压力维持在一定水平。
图17a至图17c是表示本发明实施例的形成含硅绝缘膜的步骤的剖面图。图17a是表示本发明实施例的注入反应气体的步骤的剖面图。
参照图17a,向装载有基板100的腔体内注入第一反应气体60。第一反应气体60例如可以为选自O2、O3、N2以及NH3群中的一种以上气体。或者第一反应气体60例如可以为在O2气氛下使用等离子体而形成的O2-(氧阴离子)或O*(负氧离子)。
可将基板100维持在50至600℃的温度,以使基板100与第一反应气体60反应。另外,可将装载有基板100的腔体内部的压力维持在0.05至10Torr。
图17b是表示本发明实施例的在基板上沉积了含硅绝缘膜的状态的剖面图。参照图17b,通过第一反应气体60中与硅层112反应的部分,能够在基板100上形成含硅绝缘膜122a。
第一反应气体60与硅层112反应后,会形成反应副产物62。另外,第一反应气体60中的一部分不与硅层112反应,会以未反应的状态留存。
作为第一反应气体60例如使用O2、O3这样的含氧原子的气体或在O2气氛下使用等离子体而形成的O2-(氧阴离子)或O*(负氧离子)时,硅层112会与第一反应气体60中含有的氧原子产生反应而形成为硅氧化膜。或者,作为第一反应气体60例如使用N2和NH3这样的含氮原子的气体时,硅层112会与第一反应气体60中含有的氮原子产生反应而形成为硅氮化膜。
图17c是表示本发明实施例的实行了第二吹扫步骤的状态的剖面图。参照图17c,可在基板100上形成含硅绝缘膜122a后,实行在腔体内部去除残留的未反应状态的第一反应气体60和反应副产物62的吹扫(purge)。可以将在腔体内部去除未反应的状态的第一反应气体60和反应副产物62的吹扫(purge)步骤称为第二吹扫步骤。
在所述第二吹扫步骤期间,可将基板100维持在50至600℃的温度。另外,可将装载有基板100的腔体内部的压力维持在0.05至10Torr。
图18是表示本发明实施例的形成了多个含硅绝缘膜的状态的剖面图。参照图18,重复图16a至图16c中所示的步骤,从而可形成具有多个含硅绝缘膜122a、122b、122c的绝缘膜层122。
绝缘膜层122可具有数至数十
Figure BDA00003009090800182
的厚度。可重复实行3至10次沉积各含硅绝缘膜122a、122b或122c的过程,以使绝缘膜层122具有3至10个含硅绝缘膜122a、122b、122c。
这样,若以多个含硅绝缘膜122a、122b、122c形成绝缘膜层122,则绝缘膜层122可具有优秀的膜质和阶梯覆盖率(step coverage)。
图19a和图19b是表示本发明实施例的使绝缘膜致密的步骤的剖面图。图19a是表示本发明实施例的向绝缘膜层供给等离子体气氛的状态的剖面图。
参照图19a,在形成有绝缘膜层122的基板100上提供等离子体。即,将装载有基板100的腔体内部形成为等离子体气氛。为形成等离子体气氛,可以采用ICP(Inductively Coupled Plasma:电感耦合等离子体)、CCP(Capacitively Coupled Plasma:电容耦合等离子体)或MW(Microwave:微波)等离子体(Plasma)方式。此时,为形成等离子体气氛,可以施加100W至3kW的电力。
为形成等离子体气氛,可注入例如选自Ar、He、Kr及Xe群中的一种以上的点火气体(ignition gas)。此时,可以以100至3000sccm的流量注入点火气体。
为使绝缘膜层122在等离子体气氛下变得更致密,可追加注入第二反应气体64。第二反应气体64例如可以为选自H2、O2、O3、N2及NH3群中的一种以上气体,或者为在O2气氛下使用等离子体而形成的O2-(氧阴离子)或O*(负氧离子)。
绝缘膜层122为硅氧化膜时,作为第二反应气体64例如使用O2、O3这样的含氧原子的气体,在O2气氛下使用等离子体而形成的O2+(氧阳离子)或O*(负氧离子),或H2
绝缘膜层122为硅氮化膜时,作为第二反应气体64例如使用N2和NH3这样的含氮原子的气体或H2
图19b是表示本发明实施例的形成了致密化的绝缘膜层122D的状态的剖面图。参照图19a、图19b,在等离子体气氛下绝缘膜层122实现致密化(densification),从而可形成致密化的绝缘膜层122D。为形成致密化的绝缘膜层122D,可将装载有基板100的腔体的压力维持在0.05至10Torr。
另外,在等离子体气氛下处理绝缘膜层122而获得的致密化的绝缘膜层122D,其绝缘特性等优良,因而膜质也会优秀。特别是,即使致密化的绝缘膜层112D以具有薄的厚度的方式形成,也能够得到优秀的膜质。
图20是表示形成了本发明又一实施例的含硅绝缘膜的状态的剖面图。参照图20,可通过重复图16a至图19b中说明的步骤,形成具有多个致密化的绝缘膜层122D、124D的绝缘膜120。
图19a所示的绝缘膜层122相对较厚时,绝缘膜层122的下部受到等离子体或第二反应气体64的影响的程度相对较小。因此,为进一步提高绝缘膜120的膜质,可形成具有相对较薄的多个致密化的绝缘膜层122D、124D的绝缘膜120。
另外,虽然绝缘膜120被图示成具有两个致密化的绝缘膜层122D、124D的形式,但也可具有三个以上致密化的绝缘膜层。即,可以根据所期望的绝缘膜120的厚度来决定绝缘膜120所具有的致密化的绝缘膜层的个数。即,可以根据所期望的绝缘膜120的厚度来决定图4a至图19b中说明的步骤的重复次数。
产业上利用的可能性
本发明可用于各种形状的基板处理装置。

Claims (8)

1.一种基板处理装置,其特征在于,
所述基板处理装置具备:用于实行基板加工的腔体;设置在所述腔体的内部并用于放置所述基板的基板支撑台;以及设置在所述腔体上部且用于在所述腔体内部形成电场的天线,
所述天线具备以已设定的中心为基准旋转对称地配置的第一天线和第二天线,
所述第一天线具备:分别具有第一半径和第二半径且以已设定的中心线为基准分别位于一侧和另一侧的半圆形的第一内侧天线和第一中间天线;连接所述第一内侧天线和所述第一中间天线的第一连接天线,
所述第二天线具备:分别具有所述第一半径和第二半径且以所述中心线为基准分别位于一侧和另一侧的半圆形的第二中间天线和第二内侧天线;连接所述第二中间天线和所述第二内侧天线的第二连接天线。
2.权利要求1所述的基板处理装置,其特征在于,
所述第一天线进一步具备半圆形的第一外侧天线,所述第一外侧天线具有第三半径并位于以所述中心线为基准的一侧位置,
所述第二天线进一步具备半圆形的第二外侧天线,所述第二外侧天线具有第三半径并位于以所述中心线为基准的另一侧位置,
所述第一中间天线配置在所述第二内侧天线和所述第二外侧天线之间,
所述第二中间天线配置在所述第一内侧天线和所述第一外侧天线之间。
3.权利要求1所述的基板处理装置,其特征在于,所述天线具有所述第一天线和所述第二天线位于同一平面上的平坦(flat)形状。
4.权利要求1所述的基板处理装置,其特征在于,所述腔体具备上部开放的下部腔体;用于开闭所述下部腔体的上部且位于所述天线下部的腔体盖;位于天线和所述腔体盖之间,用于调节在所述腔体内部形成的电场的调节板。
5.权利要求4所述的基板处理装置,其特征在于,所述调节板的厚度根据在所述腔体内部实行的加工率来决定。
6.权利要求1所述的基板处理装置,其特征在于,所述基板处理装置具备流入口和流出口对称的喷头,所述流入口用于向所述腔体内部供给反应气体,所述流出口用于将已供给于所述腔体内部的所述反应气体排出,
所述喷头具有连接于所述流入口且随所述反应气体的流动方向截面积增加的多个扩散流路以及将所述扩散流路相互连接的流入连接流路。
7.权利要求1所述的基板处理装置,其特征在于,所述扩散流入流路上下配置。
8.权利要求1所述的基板处理装置,其特征在于,所述喷头具备连接于所述流出口且随所述反应气体的流动方向截面积减小的多个收敛流路以及将所述收敛流路相互连接的流出连接流路。
CN201180048253.0A 2010-10-06 2011-10-06 具备半圆形天线的基板处理装置 Active CN103155719B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020100097150A KR20120035559A (ko) 2010-10-06 2010-10-06 반원 형상의 안테나를 구비하는 기판 처리 장치
KR10-2010-0097150 2010-10-06
PCT/KR2011/007399 WO2012047034A2 (ko) 2010-10-06 2011-10-06 반원 형상의 안테나를 구비하는 기판 처리 장치

Publications (2)

Publication Number Publication Date
CN103155719A true CN103155719A (zh) 2013-06-12
CN103155719B CN103155719B (zh) 2015-08-26

Family

ID=45928232

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180048253.0A Active CN103155719B (zh) 2010-10-06 2011-10-06 具备半圆形天线的基板处理装置

Country Status (6)

Country Link
US (1) US9416451B2 (zh)
JP (1) JP5629829B2 (zh)
KR (1) KR20120035559A (zh)
CN (1) CN103155719B (zh)
TW (1) TWI453809B (zh)
WO (1) WO2012047034A2 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102014175B1 (ko) * 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
CN112771645A (zh) * 2018-07-31 2021-05-07 应用材料公司 用于3d nand的氧化物/氮化物(on)堆叠覆盖改良
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
CN1638599A (zh) * 2003-10-28 2005-07-13 三星电子株式会社 用于产生等离子体的天线和包括其的等离子体处理装置
CN1696768A (zh) * 2004-05-12 2005-11-16 应用材料股份有限公司 采用气体扩散板通道设计的等离子体均匀度控制
CN1848380A (zh) * 2005-04-11 2006-10-18 三星电子株式会社 化学气相沉积装置及其方法
CN101505574A (zh) * 2008-02-08 2009-08-12 东京毅力科创株式会社 等离子体处理设备
CN101587825A (zh) * 2008-05-23 2009-11-25 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3254069B2 (ja) * 1993-01-12 2002-02-04 東京エレクトロン株式会社 プラズマ装置
JPH0773997A (ja) * 1993-06-30 1995-03-17 Kobe Steel Ltd プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
JP3043217B2 (ja) * 1994-02-22 2000-05-22 東京エレクトロン株式会社 プラズマ発生装置
JP3150027B2 (ja) * 1993-12-17 2001-03-26 東京エレクトロン株式会社 プラズマ発生装置及びこのプラズマ発生装置を用いたプラズマ処理装置
JP3501910B2 (ja) * 1996-04-23 2004-03-02 東京エレクトロン株式会社 プラズマ処理装置
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
WO2000079576A1 (en) * 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
KR100338057B1 (ko) * 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
KR100391063B1 (ko) * 2000-11-06 2003-07-16 주식회사 플라즈마트 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
JP3974553B2 (ja) * 2003-05-07 2007-09-12 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理装置用アンテナおよびプラズマ処理方法
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050233092A1 (en) 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US20060019502A1 (en) 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060005771A1 (en) 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20090255759A1 (en) 2008-04-10 2009-10-15 Barnes Gregory K Ladder stabilizing and standoff system
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
KR100895689B1 (ko) * 2007-11-14 2009-04-30 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 전기 장치
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
CN1638599A (zh) * 2003-10-28 2005-07-13 三星电子株式会社 用于产生等离子体的天线和包括其的等离子体处理装置
CN1696768A (zh) * 2004-05-12 2005-11-16 应用材料股份有限公司 采用气体扩散板通道设计的等离子体均匀度控制
CN1848380A (zh) * 2005-04-11 2006-10-18 三星电子株式会社 化学气相沉积装置及其方法
CN101505574A (zh) * 2008-02-08 2009-08-12 东京毅力科创株式会社 等离子体处理设备
CN101587825A (zh) * 2008-05-23 2009-11-25 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法

Also Published As

Publication number Publication date
CN103155719B (zh) 2015-08-26
JP2014505349A (ja) 2014-02-27
TW201230172A (en) 2012-07-16
WO2012047034A2 (ko) 2012-04-12
US9416451B2 (en) 2016-08-16
US20130180453A1 (en) 2013-07-18
WO2012047034A3 (ko) 2012-06-21
KR20120035559A (ko) 2012-04-16
TWI453809B (zh) 2014-09-21
JP5629829B2 (ja) 2014-11-26

Similar Documents

Publication Publication Date Title
CN103155104A (zh) 通过对称型流入口和流出口供给反应气体的基板处理装置
KR101535682B1 (ko) 활성화 가스 인젝터, 성막 장치 및 성막 방법
KR101913443B1 (ko) 컨포멀 막들의 플라즈마 활성화된 성막
KR102490992B1 (ko) 고온 실리콘 옥사이드 원자 층 증착 기술
US7825039B2 (en) Vertical plasma processing method for forming silicon containing film
TWI547586B (zh) 膜沉積方法與膜沉積設備
US9279184B2 (en) Method of forming a pattern and substrate processing system
US8753984B2 (en) Method and apparatus for forming silicon nitride film
US7906168B2 (en) Film formation method and apparatus for forming silicon oxide film
US10900121B2 (en) Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device
KR101582720B1 (ko) 성막 장치 및 성막 방법
CN104831255A (zh) 基板处理方法和基板处理装置
CN105097459A (zh) 等离子体处理方法及等离子体处理装置
CN110265298B (zh) 半导体器件的制造方法、衬底处理装置
US10535501B2 (en) Film forming apparatus, film forming method and non-transitory storage medium
CN103155719B (zh) 具备半圆形天线的基板处理装置
TW201725280A (zh) 原子層沉積設備和半導體製程
US20220028678A1 (en) Thin film deposition process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant