CN102110572A - 等离子处理装置 - Google Patents

等离子处理装置 Download PDF

Info

Publication number
CN102110572A
CN102110572A CN2010106218098A CN201010621809A CN102110572A CN 102110572 A CN102110572 A CN 102110572A CN 2010106218098 A CN2010106218098 A CN 2010106218098A CN 201010621809 A CN201010621809 A CN 201010621809A CN 102110572 A CN102110572 A CN 102110572A
Authority
CN
China
Prior art keywords
plasma
mentioned
gas
rotating platform
generating unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010106218098A
Other languages
English (en)
Inventor
加藤寿
田村辰也
牛窪繁博
菊地宏之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102110572A publication Critical patent/CN102110572A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供利用等离子体对基板进行处理的等离子处理装置,其特征在于,包括:真空容器,在其内部利用上述等离子体对上述基板进行处理;旋转台,设于上述真空容器内,形成用于载置基板的至少1个基板载置区域;旋转机构,使该旋转台旋转;气体供给部,向上述基板载置区域供给等离子体产生用的气体;主等离子体产生部,在与上述基板载置区域的通过区域相对的位置的、上述旋转台的中央部侧和外周侧之间呈棒状延伸地设置,用于向上述气体供给能量而使其等离子化;辅助等离子体产生部,在上述真空容器的周向上相对于该主等离子体产生部分开地设置,用于补偿由该主等离子体产生部产生的等离子体的不足的部分;真空排气部件,将上述真空容器内排成真空。

Description

等离子处理装置
技术领域
本发明涉及在真空容器内利用等离子体对基板进行处理的等离子处理装置。
背景技术
作为半导体制造工艺之一的、用于在真空气氛下利用反应气体在基板上形成薄膜的装置,公知有在载置台上载置多张半导体晶圆等基板,使基板相对于反应气体供给部件一边相对地公转一边进行成膜处理的成膜装置。例如,在美国专利公报7153542号、日本专利3144664号公报和美国专利公报6634314号中记载有这种所谓的小批量方式的成膜装置,这样的成膜装置例如从反应气体供给部件对基板供给多种反应气体,并且在分别供给上述多种反应气体的处理区域彼此之间例如设有物理的分隔壁,或作为气帘喷射惰性气体,从而以防止上述多种反应气体互相混合的方式进行成膜处理。然后,用该成膜装置,进行交替地向基板供给第1反应气体和第2反应气体而层叠原子层或分子层的例如ALD(Atomic Layer Deposition)和MLD(Molecular Layer Deposition)等。
另一方面,在利用上述的ALD(MLD)法进行薄膜的成膜时,在成膜温度较低的情况下,例如有时反应气体所含有的有机物、水分等杂质被吸入薄膜中。为了从膜中向外部排出这样的杂质而形成致密且杂质少的薄膜,需要对晶圆例如进行用等离子体等的改性处理,但是若在层叠薄膜之后进行该改性处理,则由于工序增加而导致成本提高。因此,也考虑到在真空容器内进行这样的等离子处理的方法,但是在该情况下,因为使产生等离子体的等离子体产生部与反应气体供给部件一起相对于载置台相对地旋转,所以在载置台的径向上晶圆与等离子体接触的时间产生时间差,例如有可能在载置台的中央侧和周缘侧,改性的程度不一致。在该情况下,在晶圆的面内,膜质、膜厚产生偏差,或对晶圆造成部分损坏。此外,在对等离子体产生部供给大的电力的情况下,也有可能该等离子体产生部会立刻劣化。
发明内容
根据本发明的一技术方案,提供一种等离子处理装置,利用等离子体对基板进行处理,其特征在于,包括:真空容器,在其内部利用上述等离子体对上述基板进行处理;旋转台,设于上述真空容器内,形成用于载置基板的至少1个基板载置区域;旋转机构,使该旋转台旋转;气体供给部,向上述基板载置区域供给等离子体产生用的气体;主等离子体产生部,在与上述基板载置区域的通过区域相对的位置的、上述旋转台的中央部侧和外周侧之间呈棒状延伸地设置,用于向上述气体供给能量而使其等离子化;辅助等离子体产生部,在上述真空容器的周向上相对于该主等离子体产生部分开地设置,用于补偿由该主等离子体产生部产生的等离子体的不足的部分;真空排气部件,将上述真空容器内排成真空。
附图说明
图1是表示本发明的实施方式的成膜装置的纵截面的下述图3的I-I’纵剖视图。
图2是表示本发明的实施方式的成膜装置的内部的概略构成的立体图。
图3是本发明的实施方式的成膜装置的横截俯视图。
图4是表示本发明的实施方式的成膜装置的内部的一部分的概略构成的纵剖视图。
图5是表示本发明的实施方式的成膜装置的内部的一部分的概略构成的纵剖视图。
图6A~6B是表示本发明的实施方式的活化气体喷射器的一个例子的放大立体图。
图7是表示设于本发明的实施方式的成膜装置的活化气体喷射器的纵剖视图。
图8是表示本发明的实施方式的活化气体喷射器的成膜装置的纵剖视图。
图9是表示本发明的实施方式的活化气体喷射器的各尺寸的纵剖视图。
图10是表示在本发明的实施方式的活化气体喷射器中产生的等离子体的浓度的示意图。
图11是表示在图1的上述成膜装置中通过改性生成的薄膜的状态的示意图。
图12是表示本发明的实施方式的成膜装置中的气体的流动的示意图。
图13是表示本发明的实施方式的成膜装置的其他的例子的立体图。
图14是表示本发明的实施方式的成膜装置的其他的例子的立体图。
图15是表示本发明的实施方式的成膜装置的其他的例子的俯视图。
图16是表示本发明的实施方式的成膜装置的其他的例子的俯视图。
图17是概略地表示本发明的实施方式的改性装置的俯视图。
图18是表示本发明的实施方式的成膜装置的其他的例子的俯视图。
图19是表示本发明的实施方式的成膜装置的其他的例子的立体图。
图20是本发明的实施方式的其他的例子的成膜装置的剖视图。
图21是本发明的实施方式的其他的例子的成膜装置的示意图。
图22是表示本发明的实施方式的成膜装置的其他的例子的立体图。
图23是本发明的实施方式的其他的例子的成膜装置的立体图。
图24是表示本发明的实施方式的其他的例子的成膜装置的侧视图。
图25是表示本发明的实施方式的其他的例子的成膜装置的主视图。
图26是表示本发明的实施方式的其他的例子的成膜装置的概略图。
图27是表示本发明的实施方式的成膜装置的其他的例子的立体图。
图28是表示本发明的实施方式的成膜装置的其他的例子的剖视图。
图29是表示本发明的实施方式的成膜装置的其他的例子的剖视图。
图30是在本发明的实施例中得到的特性图。
图31是在本发明的实施例中得到的特性图。
图32A~32G是在本发明的实施例中得到的特性图。
图33A~33B是在本发明的实施例中得到的特性图。
图34A~34B是在本发明的实施例中得到的特性图。
图35A~35D是在本发明的实施例中得到的特性图。
图36是在本发明的实施例中得到的特性图。
图37是用于说明本发明的实施例的俯视图。
图38是在本发明的实施例中得到的特性图。
图39是用于说明本发明的实施例的俯视图。
图40是在本发明的实施例中得到的特性图。
图41是用于说明在本发明的实施例中得到的结果的示意图。
图42A~42C是用于说明本发明的实施例的俯视图。
图43是在本发明的实施例中得到的特性图。
图44是在本发明的实施例中得到的特性图。
图45是在本发明的实施例中得到的特性图。
具体实施方式
实施例的说明
图1(下述的图3的I-I’剖视图)表示作为本发明的一实施方式的等离子处理装置的一个例子的成膜装置1000的构成。成膜处理装置1000包括:平面(俯视)形状是大致圆形且扁平的真空容器1;设于该真空容器1内,在该真空容器1的中心具有旋转中心的旋转台2。真空容器1构成为顶板11能从容器主体12分离。顶板11利用内部的减压状态借助设于容器主体12的上端面的密封构件例如O型密封圈13被按压于容器主体12侧,维持气密状态,而在将顶板11从容器主体12分离时,被未图示的驱动机构向上方抬起。
旋转台2的中心部被固定在圆筒形状的芯部21,该芯部21固定在沿铅垂方向延伸的旋转轴22的上端。旋转轴22贯穿真空容器1的底面部14,其下端安装在作为旋转机构的驱动部23上,该驱动部23使该旋转轴22绕铅垂轴线在该例子中绕顺时针旋转。旋转轴22和驱动部23被收纳在上表面开口的筒状的壳体20内。设于该壳体20的上表面的凸缘部分气密地安装在真空容器1的底面部14的下表面,维持壳体20的内部气氛和外部气氛的气密状态。
如图2和图3所示,在旋转台2的表面部沿着旋转方向(周向)设有用于载置多张例如5张作为基板的半导体晶圆(以下称为“晶圆”)W的圆形状的凹部24。另外,为了便于图示,仅在图3中的1个凹部24中画出晶圆W。该凹部24被设定为其直径稍大于晶圆W的直径例如大4mm,而且其深度与晶圆W的厚度同等的大小。因此,在晶圆W落入凹部24时,晶圆W的表面和旋转台2的表面(未载置有晶圆W的区域)对齐。在凹部24的底面形成有通孔(未图示),该通孔供用于支承晶圆W的背面地使该晶圆W升降的例如后述3根升降销贯穿。凹部24为了对晶圆W进行定位,防止晶圆W由于随着旋转台2旋转而产生的离心力飞出,相当于本发明的基板载置区域的部位。
如图2和图3所示,在旋转台2的分别与凹部24的通过区域相对的位置,分别沿着真空容器1的周向(旋转台2的旋转方向)互相隔有间隔地呈放射状配置有例如由石英构成的第1反应气体喷嘴31和第2反应气体喷嘴32、2个分离气体喷嘴41、42、活化气体喷射器220。在本例中,从后述的输送口15起来看,按顺序顺时针(旋转台2的旋转方向)排列有活化气体喷射器220、分离气体喷嘴41、第1反应气体喷嘴31、分离气体喷嘴42和第2反应气体喷嘴32,上述活化气体喷射器220和喷嘴31、32、41、42例如以从真空容器1的外周壁导入真空容器1内,且朝向旋转台2的旋转中心与晶圆W相对地水平延伸的方式安装。作为各喷嘴31、32、41、42的基端部的气体导入件31a、32a、41a、42a贯穿真空容器1的外周壁。反应气体喷嘴31、32分别作为第1反应气体供给部件、第2反应气体供给部件,分离气体喷嘴41、42分别作为分离气体供给部件。上述活化气体喷射器220在后详述。
第1反应气体喷嘴31和第2反应气体喷嘴32分别经由未图示的流量调整阀等,分别与作为含有Si(硅)的第1反应气体的双叔丁基氨基硅烷气体的气体供给源和作为第2反应气体的O3(臭氧)气体和O2(氧)气体的混合气体的气体供给源(均未图示)连接,分离气体喷嘴41、42均经由流量调整阀等与作为分离气体的N2气体(氮气)的气体供给源(未图示)连接。另外,以下为了方便说明,将第2反应气体作为O3气体而说明。
在反应气体喷嘴31、32上,气体喷出孔33朝向正下方并在喷嘴的长度方向上例如隔有10mm的间隔地等间隔排列。此外,反应气体喷嘴31、32的下方区域分别成为用于使含Si气体吸附于晶圆W的第1处理区域P1和用于使O3气体吸附于晶圆W的第2处理区域P2。
在上述的图1~图3中省略了图示,但是如图4所示,反应气体喷嘴31、32分别具有喷嘴罩120,该喷嘴罩120分别与处理区域P1、P2的顶面45分开地设于晶圆W的附近,沿着喷嘴31、32的长度方向从上方侧覆盖上述喷嘴31、32,并且下方侧开口。分离气体的大部分在整流构件121和顶面45之间流动,而几乎不在旋转台2和反应气体喷嘴31(32)之间流动,由此,能够抑制在各处理区域P1、P2的、从反应气体喷嘴31(32)向晶圆W供给的反应气体浓度的降低,高效率地进行对晶圆W表面的成膜,该整流构件121从喷嘴罩120的下端侧沿着长度方向向旋转台2的周向两侧延伸。
分离气体喷嘴41、42用于形成用于分离上述第1处理区域P1和第2处理区域P2的分离区域D,如图2和图3所示,在该分离区域D的真空容器1的顶板11上设有以旋转台2的旋转中心为中心且在周向上分割沿着真空容器1的内周壁的附近描画的圆而成的、平面形状为扇型且向下方突出的凸状部4。分离气体喷嘴41、42收纳于槽部43内,该槽部43在该凸状部4的上述圆的周向中央以沿着该圆的径向延伸的方式形成。
在上述分离气体喷嘴41、42的上述周向两侧存在作为上述凸状部4的下表面的例如平坦且低的顶面44(第1顶面),在该顶面44的上述周向两侧存在比顶面44高的顶面45(第2顶面)。该凸状部4的作用在于形成作为狭窄空间的分离空间,该分离空间用于阻止第1反应气体和第2反应气体进入该分离空间与旋转台2之间,从而阻止上述反应气体混合。即,以分离气体喷嘴41为例,阻止O3气体从旋转台2的旋转方向上游侧进入,还阻止含Si气体从旋转方向下游侧进入。另外,作为分离气体,不限于氮(N2)气体,也可以用氩(Ar)气体等惰性气体等。
另一方面,如图5所示,在顶板11的下表面,以与旋转台2的芯部21的外周侧的部位相对的方式,且沿着该芯部21的外周设有突出部5。该突出部5与凸状部4的上述旋转中心侧的部位连续地形成,其下表面和凸状部4的下表面(顶面44)形成为相同的高度。图2和图3在比上述顶面45低且比分离气体喷嘴41、42高的位置水平地剖视顶板11而表示。
真空容器1的顶板11的下表面、即从旋转台2的晶圆载置区域(凹部24)来看的顶面,如上所述在周向上存在的第1顶面44和比第1顶面44高的第2顶面45,图1表示设有高的顶面45的区域的纵截面,图5表示设有低的顶面44的区域的纵截面。如图2和图5所示,扇型的凸状部4的周缘部(真空容器1的外缘侧的部位)以与旋转台2的外端面相对的方式弯曲成L字型,形成弯曲部46。扇型的凸状部4设于顶板11侧,能从容器主体12卸下,所以上述弯曲部46的外周面和容器主体12之间有微小的间隙。弯曲部46也和凸状部4相同,是以防止反应气体从两侧进入,防止两反应气体混合为目的而被设置的,弯曲部46的内周面和旋转台2的外端面的间隙、以及弯曲部46的外周面和容器主体12的间隙例如被设定为与顶面44相对于旋转台2表面的高度相同的尺寸。
如图5所示,在分离区域D,容器主体12的内周壁与上述弯曲部46的外周面接近,形成垂直面,然而在分离区域D以外的部位,如图1所示,例如从与旋转台2外端面相对的部位起到底面部14(俯视看为围着底面部14)切掉纵断面形状为矩形的部分而形成向外方侧凹陷的构造。该凹陷的部位的连通上述第1处理区域P1和第2处理区域P2的区域分别称为第1排气区域E1和第2排气区域E2时,如图1和图3所示,在上述第1排气区域E1和第2排气区域E2的底部,分别形成有第1排气口61和第2排气口62。如图1所示,第1排气口61和第2排气口62分别经由排气管63与作为真空排气部件的例如真空泵64连接。另外,图1中附图标记65是压力调整部件。
如图1和图5所示,在上述旋转台2和真空容器1的底面部14之间的空间设有作为加热部件的加热单元7,隔着旋转台2将旋转台2上的晶圆W加热到由工艺制程程序决定的温度例如300℃。在上述旋转台2的周缘附近的下方侧,为了划分从旋转台2的上方空间到排气区域E1、E2的气氛和载置有加热单元7的气氛,以沿着整周围绕加热单元7的方式设有覆盖构件71。覆盖构件71的上缘向外侧弯曲,形成凸缘形状,通过减小该弯曲面和旋转台2的下表面之间的间隙,抑制气体从外方进入覆盖构件71内。
底面部14的比配置有加热单元7的空间靠旋转中心的部位接近旋转台2的下表面的中心部附近、芯部21,在该底面部14的比配置有加热单元7的空间靠旋转中心的部位的上表面与旋转台2的下表面的中心部附近之间、在该底面部14的比配置有加热单元7的空间靠旋转中心的部位的上表面与芯部21之间形成狭窄空间,贯穿底面部14的旋转轴22的通孔的内周面与旋转轴22之间的间隙也是狭窄的,上述狭窄空间连通到上述壳体20内。而且,在上述壳体20上设有吹扫气体供给管72,该吹扫气体供给管72用于将作为吹扫气体的N2气体供给到上述狭窄空间内而进行吹扫。此外,在真空容器1的底面部14,在加热单元7下方侧位置的周向的多个部位,设有用于对加热单元7的配置空间进行吹扫的吹扫气体供给管73。
此外,在真空容器1的顶板11的中心部连接有分离气体供给管51,向顶板11和芯部21之间的空间52供给作为分离气体的N2气体。向该空间52供给的分离气体经由上述突出部5和旋转台2之间的狭小的间隙50,沿着旋转台2的晶圆载置区域侧的表面朝向周缘喷出。被该突出部5围绕的空间中充满分离气体,所以防止反应气体(含Si气体和O3气体)经由旋转台2的中心部在第1处理区域P1和第2处理区域P2之间混合。
如图2、图3所示,在真空容器1的侧壁,还形成有用于在外部的输送臂10和旋转台2之间进行作为基板的晶圆W的交接的输送口15,该输送口15由未图示的闸阀打开或关闭。此外,在旋转台2的晶圆载置区域即凹部24的面对输送口15的位置,与输送臂10之间进行晶圆W的交接,所以在旋转台2下方侧的与交接位置相对应的部位,设有用于贯穿凹部24并从背面顶起晶圆W的交接用的升降销及其升降机构(均未图示)。
接着,详述上述的活化气体喷射器220。活化气体喷射器220遍及载置有晶圆W的基板载置区域的旋转台2的中心侧的内缘和旋转台2的外周侧的外缘之间产生等离子体,在每次利用该等离子体进行例如成膜循环(旋转台2旋转)时,利用含Si气体和O3气体的反应对在晶圆W上成膜的作为反应生成物的氧化硅膜(SiO2膜)进行改性。如图6A、6B所示,该活化气体喷射器220包括:气体导入喷嘴34,用于向真空容器1内供给等离子体产生用的处理气体、例如作为由石英构成的气体供给部;等离子体产生部80,为了将从气体导入喷嘴34导入的处理气体等离子化,配置在该气体导入喷嘴34的旋转台2的旋转方向下游侧,由互相平行的1对棒状的鞘管35a、35b构成;罩体221,从上方侧覆盖上述气体导入喷嘴34和等离子体产生部80,由绝缘体例如石英构成。等离子体产生部80设有多组例如6组。另外,图6A表示卸下了罩体221的状态,图6B表示配置有罩体221的外观。
气体导入喷嘴34和各等离子体产生部80以与旋转台2上的晶圆W分别平行的方式或与该旋转台2的旋转方向正交的方式,从设在真空容器1的外周面的基端部80a朝向旋转台2的中心部侧分别气密地插入该真空容器1内。此外,为了改变各等离子体产生部80上的、在旋转台2的径向上产生的等离子体的长度,上述等离子体产生部80在旋转台2外周部侧的从晶圆W的端部的上方位置到向中心部侧延伸的顶端部之间的长度尺寸R根据各组等离子体产生部80互不相同。关于上述等离子体产生部80的长度尺寸(详细后述的电极36a、36b的长度尺寸)R,列举其一个例子,从旋转台2的旋转方向上游侧起例如分别是50、150、245、317、194、97mm。作为上述等离子体产生部80(后述的辅助等离子体产生部82)的长度尺寸R,也可以如后述的实施例所示那样,例如根据制程程序、成膜的膜种进行各种改变。
将从旋转台2的旋转方向上游侧起的第4组的等离子体产生部80称为主等离子体产生部81,该主等离子体产生部81像上述那样被设定成长度尺寸R比晶圆W的直径(300mm)长,所以构成为在载置有晶圆W的基板载置区域的旋转台2中心侧的内缘和旋转台2外周侧的外缘之间产生等离子体。另一方面,将该主等离子体产生部81以外的5组等离子体产生部80称为各辅助等离子体产生部82,如上述那样上述辅助等离子体产生部82的长度尺寸R被设定成比主等离子体产生部81短,所以在各辅助等离子体产生部82的顶端部(旋转台2的中心部侧)和中心部区域C之间,不存在等离子体或等离子体从外周部侧稍微地扩散而来。因此,如后所述,各辅助等离子体产生部82补偿由主等离子体产生部81产生的旋转台2的外周部侧的等离子体的不足部分,在活化气体喷射器220的下方区域,为了使旋转台2的中心部侧和外周部侧的改性的程度一致,该各辅助等离子体产生部82被设定成与该中心部侧相比外周部侧等离子体的浓度浓(量多)。
各等离子体产生部80包括互相接近配置的1组鞘管35a、35b。上述鞘管35a、35b例如由石英、矾土(氧化铝)或氧化钇(yttria、Y2O3)构成。此外,如图7所示,在上述鞘管35a、35b内,例如分别插入有由镍合金、钛等构成的电极36a、36b而形成平行电极,如图3所示,例如13.56MHz、例如500W以下的高频电力从真空容器1的外部的高频电源224经由匹配器225并列地供给上述电极36a、36b。上述鞘管35a、35b被配置成分别插入该鞘管35a、35b的内部的电极36a、36b之间的分开距离为10mm以下例如4.0mm。另外,鞘管35a、35b例如也可以在石英表面例如涂敷上述的氧化钇等。
此外,上述等离子体产生部80以能调整与旋转台2上的晶圆W之间的分开距离的方式自上述的基端部80a分别气密地安装在真空容器1的侧壁。图7中附图标记37是连接于鞘管35a、35b的基端侧(真空容器1的内壁侧)的保护管,在图6等中省略绘画。另外,在图6以外的图中简略地表示鞘管35a、35b。
如上述的图3所示,在气体导入喷嘴34上连接有供给等离子体产生用的处理气体的等离子体气体导入通路251的一端侧,该等离子体气体导入通路251的另一端侧分支成2支,分别经由阀252和流量调整部253分别与等离子体生成气体源254和添加气体源255连接,该等离子体生成气体源254存储有用于产生等离子体的等离子体生成气体(放电气体)例如Ar(氩)气体;该添加气体源255存储有用于抑制等离子体的产生(连锁)的、电子亲和力比放电气体大的局部放电抑制用气体(添加气体)例如O2气体。而且,将这些放电气体和添加气体作为处理气体对上述的气体导入喷嘴34供给。图6A中附图标记341是沿着气体导入喷嘴34的长度方向设于多个部位的气孔。作为该处理气体,除了Ar气体、O2气体以外,也可以用例如He(氦)气体、H2气体和含O气体中的任一种。
图6B中附图标记221是上述的罩体,配置成从上方侧和侧面(长边方向和短边方向的两侧面)侧覆盖配置有气体导入喷嘴34和鞘管35a、35b的区域。此外,图6B中附图标记222是沿着活化气体喷射器220的长度方向从罩体221的两侧面的下端部朝向外侧,呈凸缘状水平地延伸出的气流限制面,为了抑制从旋转台2上游侧流来的O3气体、N2气体进入罩体221的内部区域,以缩小该气流限制面222的下端面和旋转台2的上表面之间的间隙的方式,且以越从旋转台2的中心部侧朝向气流变快的旋转台2的外周侧,其宽度尺寸u越宽的方式形成。在旋转台2外周侧的罩体221的侧壁面上形成有导入口280,上述的各等离子体产生部80在该导入口280内插入有基端侧的保护管37的状态下安装在真空容器1的侧壁面上。在罩体221的长度方向的两侧面的上端部,例如为了利用顶板11支承罩体221,以互相分开的方式例如在2个部分形成爪部300。图8中附图标记223是为了用爪部300支承罩体221而设于该罩体221和真空容器1的顶板11之间的多个部位的支承构件223,示意地表示其位置。
如图7所示,上述气流限制面222的下端面和旋转台2的上表面之间的间隙尺寸t例如被设定为1mm左右。此外,关于气流限制面222的宽度尺寸u列举一个例子,在晶圆W位于罩体221的下方位置时,与旋转台2的旋转中心侧的晶圆W的外缘相对的部位的宽度尺寸u例如为80mm,与真空容器1的内周壁侧的晶圆W的外缘相对的部位的宽度尺寸u例如为130mm。另一方面,罩体221的上端面和真空容器1的顶板11的下表面之间的尺寸以大于上述间隙t的方式设定为20mm以上例如30mm。因此,从旋转台2旋转方向上游侧流来的气体即反应气体和分离气体的混合气体在罩体221和顶板11之间流动。
此外,关于上述的电极36a(36b)、旋转台2上的晶圆W和罩体221之间的位置关系进行说明,在该例子中,如图9所示,罩体221的上表面的厚度尺寸h1、旋转台2外周侧的罩体221的侧壁面的宽度尺寸h2、罩体221内的上表面和电极36a(36b)之间的分开距离h3、电极36a(36b)和旋转台2上的晶圆W之间的分开距离h4分别例如为4mm、8mm、9.5mm、7mm。此外,保护管37和旋转台2上的晶圆W之间的距离例如是2mm。
此外,在该成膜装置1000中设有由用于进行装置整体的动作的控制的计算机构成的控制部100,在该控制部100的存储器内收纳有用于进行后述的成膜处理和改性处理的程序。该程序为了执行后述的装置的动作而装入有步骤群,从硬盘、光盘、光磁盘、存储卡、软盘等存储部101安装到控制部100内。
接着,关于上述实施方式的成膜装置1000的作用进行说明。首先,打开未图示的闸阀,利用输送臂10从外部经由输送口15将晶圆W交接到旋转台2的凹部24内。在凹部24停止在面对输送口15的位置时,通过未图示的升降销经由凹部24的底面的通孔从真空容器1的底部侧升降而进行该交接。使旋转台2间歇性地旋转而进行这样的晶圆W的交接,分别将晶圆W载置于旋转台2的5个凹部24内。接着,关闭闸阀,利用真空泵64使真空容器1内成为抽取状态,之后利用压力调整部件65将真空容器1内调整为预先设置的处理压力,并且一边使旋转台2顺时针旋转一边利用加热单元7将晶圆W加热到例如300℃。此外,从反应气体喷嘴31、32分别喷出含Si气体和O3气体,并且从气体导入喷嘴34以成为100∶2~200∶20左右的流量比的方式例如分别以8.0slm、2slm喷出Ar气体和O2气体,向鞘管35a、35b之间施加13.56MHz、电力为400W的高频。此外,从分离气体喷嘴41、42以规定的流量喷出作为分离气体的N2气体,也从分离气体供给管51和吹扫气体供给管72、72以规定的流量喷出N2气体。
此时,在活化气体喷射器220中,从气体导入喷嘴34经由各气孔341分别向鞘管35a、35b喷出的Ar气体和O2气体被供给到鞘管35a、35b之间的区域的高频活化,例如生成Ar离子、Ar自由基等的等离子体。关于该等离子体(活性种),因为像上述那样调整在各等离子体产生部80中的自基端部侧(旋转台2的外周部侧)起的电极36a、36b的长度尺寸R,所以如图10所示,在与旋转台2的中心部侧相比外周部侧的量多(浓度浓)地产生,朝向在活化气体喷射器220的下方与旋转台2一起移动(旋转)的晶圆W下降。此时,例如由于旋转台2的旋转而造成等离子体不稳定,欲局部产生等离子体,但是因为在处理气体中混合O2气体,所以Ar气体的等离子化的连锁被抑制,等离子体的状态稳定。另外,像上述那样每组等离子体产生部80产生的等离子体的长度尺寸不同,但是图10概略地表示在上述等离子体产生部80中产生的等离子体的量(密度)。
另一方面,利用旋转台2的旋转,在晶圆W表面,含Si气体吸附在第1处理区域P1,接着在第2处理区域P2,吸附在晶圆W上的含Si气体被氧化,氧化硅膜的分子层形成1层或多层。在该氧化硅膜中,例如由于含Si气体中含有的残留基,有时含有水分(OH基)、有机物等杂质。而且,在该晶圆W到达活化气体喷射器220的下方区域时,利用上述的等离子体进行氧化硅膜的改性处理。具体而言,例如Ar离子与晶圆W表面碰撞,上述的杂质被从氧化硅膜放出,或氧化硅膜内的元素再排列而谋求氧化硅膜的致密化(高密度化)。因此,改性处理后的氧化硅膜通过致密化而提高相对于湿蚀刻的抗性。
此时,因为旋转台2旋转,所以晶圆W通过活化气体喷射器220的下方区域时的周向速度在该旋转台2的外周部侧比中心部侧快。因此,在旋转台2的外周部侧与中心部侧相比,供给等离子体的时间变短,改性处理的程度例如欲变弱成1/3左右,但是像上述那样,以在该外周部侧等离子体的量比中心部侧多的方式配置各等离子体产生部80,所以如后述的实施例所示那样,从旋转台2的中心部侧遍及到外周部侧均匀地进行改性处理。因此,氧化硅膜的膜厚(收缩量)和膜质在晶圆W的整个面内一致。这样,利用旋转台2的旋转,在每个成膜循环中进行含Si气体的吸着、含Si气体的氧化和改性处理,依次层叠氧化硅膜时,因为在上下方向(第N层和第(N+1)层)上层叠的反应生成物之间也产生上述的元素的再排列,所以如图11所示,在膜厚方向上膜厚和膜质遍及面内和面间地形成均匀的薄膜。
此外,在该真空容器1内,因为在活化气体喷射器220和第2反应气体喷嘴32之间未设置分离区域D,所以O3气体、N2气体被旋转台2的旋转引导,从上游侧朝向活化气体喷射器220流来。但是,因为如上所述以覆盖各等离子体产生部80和气体导入喷嘴34的方式设置罩体221,所以罩体221的上方侧的区域比罩体221的下方侧(气流限制面部222和旋转台2之间的间隙t)大。此外,因为从气体导入喷嘴34对罩体221的内部区域供给处理气体,所以该内部区域与外部(真空容器1内)相比成为较小的正压。因此,从旋转台2的旋转方向上游侧流来的气体难以进入罩体221的下方侧。此外,因为朝向活化气体喷射器220流动的气体被旋转台2的旋转引导而从上游侧流来,所以从旋转台2的径向内周侧越朝向外周侧去而流速越快,然而,旋转台2的外周侧的气流限制面部222的宽度u比内周侧宽,所以能够抑制气体遍及活化气体喷射器220的长度方向进入罩体221的内部。因此,如上述的图7所示,朝向活化气体喷射器220从上游侧流来的气体经由罩体221的上方区域流到下游侧的排气口62。因此,因为这些O3气体、N2气体几乎不受由于高频而造成的活化等影响,所以例如能够抑制NOx等的产生,抑制构成真空容器1的构件等的腐蚀。此外,晶圆W也几乎不受这些气体的影响。另外,由于改性处理而从氧化硅膜排出的杂质在之后气体化,与Ar气体、N2气体等一起朝向排气口62被排出。
此时,因为向第1处理区域P1和第2处理区域P2之间供给N2气体,而且也向中心部区域C供给作为分离气体的N2气体,所以如图12所示,以含Si气体和O3气体不混合的方式排出各气体。
此外,在该例子中,在沿着配置有反应气体喷嘴31、32和活化气体喷射器220的第2顶面45的下方侧的空间的容器主体12的内周壁,像上述那样内周壁凹陷而空间变大,排气口61、62位于该大的空间的下方,所以第2顶面45的下方侧的空间的压力比第1顶面44的下方侧的狭窄空间和上述中心部区域C的各压力低。另外,利用N2气体吹扫旋转台2的下方侧,所以流入排气区域E的气体完全不可能通过旋转台2的下方侧,例如含Si气体完全不可能流入O3气体的供给区域。
在这里,记载了处理参数的一个例子,旋转台2的转速在以直径300mm的晶圆W为被处理基板的情况下例如为1rpm~500rpm,工艺压力例如为1067Pa(8Torr)、含Si气体和O3气体的流量例如分别以100sccm和10000sccm、来自分离气体喷嘴41、42的N2气体的流量例如为20000sccm、来自真空容器1的中心部的分离气体供给管51的N2气体的流量例如为5000sccm。此外,对1张晶圆W供给反应气体的循环数、即晶圆W分别通过处理区域P1、P2的次数根据目标膜厚而变化,但是例如是1000次。
根据上述的实施方式的成膜装置(等离子处理装置)1000,在使旋转台2旋转而使含Si气体吸附在晶圆W上,接着向晶圆W表面供给O3气体与吸附在晶圆W表面的含Si气体反应而形成氧化硅膜时,在形成氧化硅膜之后,从在旋转台2的周向上具有多组等离子体产生部80的活化气体喷射器220对晶圆W上的氧化硅膜供给处理气体的等离子体,在每个成膜循环中进行改性处理,因此,能获得致密且杂质少的薄膜。此时,因为能改变各等离子体产生部80(辅助等离子体产生部82)的长度尺寸R,所以例如能够根据工艺的种类等调整从旋转台2的中心部侧到外周部侧的晶圆W的改性的程度(等离子体的量)。
因此,如在上述的例子中说明的那样,根据通过活化气体喷射器220的下方区域的速度,旋转台2的中心部侧与外周部侧相比等离子体的供给时间长,改性处理强的情况下,在旋转台2的中心部侧将不产生等离子体或等离子体的产生(扩散)量少的辅助等离子体产生部82与主等离子体产生部81一起配置,从而能够使该外周部的等离子体的量比中心部侧多,所以能够进行改性处理使得在面内膜厚和膜质均匀。因此,如后述的实施例所示那样,能够抑制由于进行过强的改性处理而产生损坏晶圆W、或产生改性处理不充分的部位。即,在从旋转台2的中心部侧朝向外周部侧改性处理的程度变弱时,若在旋转台2外周部侧进行良好的改性处理,则有时在中心部侧改性处理过强而对晶圆W造成损坏,若欲在中心部侧进行良好的改性处理,则在外周部侧改性处理有可能不充分。因此,在这样的情况下,若欲从旋转台2的中心部侧直到外周部侧进行良好的改性处理,则处理条件等参数的设定范围变狭小。另一方面,在本发明中,因为在旋转台2的径向改性处理的程度一致,所以能遍及晶圆W面内进行良好的改性处理。因此,在本发明中,因为能较宽地确保能进行良好的改性处理的参数的设定范围,所以能得到自由度高的成膜装置。
此外,在进行改性处理时,通过配置多组等离子体产生部80,使氧化硅膜的改性所需的能量分散于这些多组等离子体产生部80上。因此,与利用1组等离子体产生部80进行改性处理的情况相比,能够减少在各等离子体产生部80中所产生的等离子体的量,所以通过大范围地形成所谓稳健状态的等离子体,花费较长时间缓慢地进行改性处理,因此能降低对晶圆W的损坏。从另外的方面来看,为了以短时间完成这样的改性处理:例如用1组等离子体产生部80设定为稳健的等离子体条件并且使旋转台2以低速旋转、在稳健的条件下花费较长时间进行,可以说需要采用较宽的供给等离子体的区域来使旋转台2以高速旋转。因此,能够一边抑制由等离子体造成的损坏地进行良好的改性处理,一边迅速地进行薄膜的成膜处理和改性处理。
此外,通过配置多组等离子体产生部80,因为与用1组等离子体产生部80的情况相比供给各等离子体产生部80的能量变少,所以能抑制各等离子体产生部80的例如由发热、等离子体的溅射而产生的劣化。因此,例如能够抑制由鞘管35a、35b的溅射而产生的杂质(石英)混入晶圆W。
而且,因为在真空容器1的内部每次进行成膜循环时都进行改性处理,所谓在旋转台2的周向上,晶圆W通过各处理区域P1、P2的路径的中途不与成膜处理产生干涉地进行改性处理,所以例如能够以比在薄膜的成膜完成后进行改性处理短的时间进行改性处理。
此外,因为利用罩体221能抑制从上游侧流来的气体进入该罩体221的内部,所以能够抑制这些气体的影响,在成膜循环的中途进行改性处理。因此,例如也可以在第2反应气体喷嘴32和活化气体喷射器220之间不设置专用的分离区域D,所以能抑制成膜装置的成本地进行改性处理,还能抑制NOx等副生成气体的产生,抑制例如构成装置的构件的腐蚀。此外,因为由绝缘体构成该罩体221,所以在罩体221和等离子体产生部80之间不形成等离子体,因此,能够将该罩体221接近等离子体产生部80地配置,因而能使装置小型化。
而且,与Ar气体一起供给O2气体,抑制Ar气体的等离子化的连锁,从而在活化气体喷射器220的长度方向上且在进行改性处理(成膜处理)的整个时间内抑制等离子体的局部产生,所以能在晶圆W的面内和面间均匀地进行改性处理。此外,因为像上述那样将电极36a、36b的分开距离设定得狭小,所以即使不是气体电离最佳的高的压力范围(成膜处理的压力范围),也能够以低输出将Ar气体活化(电离)成改性处理所需的程度。
在上述的例子中,每次进行成膜处理都进行了改性处理,但是也可以进行多次例如20次的成膜处理(循环)而进行一次改性处理。在该情况下,进行改性处理时,具体而言,停止供给含Si气体、O3气体和N2气体,从气体导入喷嘴34向活化气体喷射器220供给处理气体,并且向鞘管35a、35b供给高频。然后,使5张晶圆W依次通过活化气体喷射器220的下方区域地使旋转台2例如旋转200次。这样进行了改性处理之后,再次恢复各气体的供给,进行成膜处理,依次反复改性处理和成膜处理。在该例子中,也与上述的例子相同,获得致密且杂质浓度低的薄膜。在该情况下,因为进行改性处理时停止供给O3气体、N2气体,所以如上述的图6A所示,也可以不设置罩体221。
此外,在设有多组等离子体产生部80时,在上述的例子中将上述等离子体产生部80中的1组设为主等离子体产生部81,对于其他的等离子体产生部80,配置了长度尺寸R比该主等离子体产生部81的长度尺寸R短的辅助等离子体产生部82,然而有关这些长度尺寸R,也能够如后述的实施例所示那样进行各种改变,例如也可以如图13所示那样,将6组等离子体产生部80全部设为相同长度的主等离子体产生部81,不设置辅助等离子体产生部82。此外,作为辅助等离子体产生部82,为了在与旋转台2外周部侧相比在中心部侧进行较强的改性处理而在调整等离子体的量的情况下,例如也可以使辅助等离子体产生部82的一端侧从中心部区域C沿旋转台2水平地向外周部侧延伸,使另一端侧呈L字型朝向上方弯曲地与高频电源224连接。此外,也可以使这样的辅助等离子体产生部82与从上述的旋转台2的外周部侧延伸的辅助等离子体产生部82共同配置,对于主等离子体产生部81,也可以使其从中心部区域C延伸。而且,在旋转台2的中心部侧和外周部侧之间以与旋转台2的周向正交的方式配置了各等离子体产生部80,但是例如也可以使等离子体产生部80的一端侧从真空容器1的内壁朝向中心部区域C延伸,并且使该一端侧例如在旋转台2的径向中央部沿着旋转台2的周向,例如朝向上游侧呈圆弧状弯曲,在该中央部等离子体的产生量较多。因此,所谓“棒状的”等离子体产生部80,不只是直线状,也包含圆弧状或圆形。
而且,在上述的例子中用平行电极(电极36a、36b)产生电容耦合型等离子体,但是也可以用线圈型的电极产生感应耦合型的等离子体。在该情况下,具体而言,如图14所示,将多个从真空容器1的侧面朝向旋转台2的中心部侧平行地呈棒状延伸且在该中心部侧连接成U字型的电极(天线)400平行地配置,这些电极400的长度尺寸R也可以互不相同。在该例子中,配置3组电极400,并且这些电极400的长度尺寸R从旋转台2旋转方向上游侧朝向下游侧依次变短(例如分别为310mm、220mm、170mm)。图14中附图标记401是分别连接这些电极400的两端部的用于产生感应耦合型的等离子体的共用的电源。在该例子中,因为能在旋转台2的径向上调整等离子体的量,所以能调整晶圆W面内的改性的程度。在该图14中,也设有覆盖这些电极400和气体导入喷嘴34的罩体221,但是省略图示。
而且,在设有多组等离子体产生部80时,将上述等离子体产生部80收纳在一个罩体221内,并且共用气体导入喷嘴34,但是既可以对每组等离子体产生部80分别配置气体导入喷嘴34,也可以例如如图15所示,进一步设有覆盖各等离子体产生部80和气体导入喷嘴34的罩体221。另外,在该图15中,表示配置有多组例如2组等离子体产生部80的例子,1组配置主等离子体产生部81,作为另一组等离子体产生部80,配置辅助等离子体产生部82。
此外,说明了用上述的成膜装置通过ALD法、MLD法等成膜方法进行成膜的例子,然而例如也可以通过改变成膜温度、反应气体,通过CVD法形成薄膜,在该情况下,如图16所示,也可以将2种混合气体例如SiH4气体和O2气体用作反应气体而形成由SiO2构成的薄膜。
而且,在真空容器1内,通过CVD法或ALD法等形成薄膜并且进行了改性处理,然而例如也可以在外部的装置中,用上述的活化气体喷射器220对形成有薄膜的晶圆W进行改性处理。在该情况下,代替上述的成膜装置1000,作为图17示意性地表示的等离子处理装置的其他的例子,用改性装置1000’。在该改性装置1000’中进行薄膜的改性处理的情况下,在真空容器1内的旋转台2上载置形成有薄膜的晶圆W,使旋转台2旋转,并且将真空容器1内排成真空。然后,在活化气体喷射器220中产生等离子体而进行薄膜的改性。这样,例如通过使旋转台2旋转多次,得到面内膜厚和膜质均匀的薄膜。另外,在该图17中,示意表示改性装置1000’的各部,例如上述的输送口15等省略记载。
而且,在上述的例子中配置多组等离子体产生部80时,对于上述等离子体产生部80中的至少1组,设置了从旋转台2的中心部侧延伸到外周部侧地产生等离子体的主等离子体产生部81,但是也可以由多组等离子体产生部80中的多组例如2组构成主等离子体产生部81。具体而言,如图18所示,使多组等离子体产生部80中的至少1组像上述那样从中心部区域C朝向旋转台2外周部侧地延伸,使该等离子体产生部80(辅助等离子体产生部82)的一端侧延伸并且使其另一端侧例如弯曲成L字型,经由匹配器225与高频电源224连接。此外,为了使该辅助等离子体产生部82和该多组等离子体产生部80中的至少1组的顶端部在旋转台2的旋转方向上互相重叠,即为了从旋转台2的中心部侧遍及到外周部侧地产生等离子体,在偏向该辅助等离子体产生部82的旋转台2的旋转方向上游侧或下游侧的位置,使等离子体产生部80(辅助等离子体产生部82)从真空容器1的外周侧朝向旋转台2的中心部侧延伸。这样地利用上述2组等离子体产生部80、80构成主等离子体产生部81。在该情况下,也能调整旋转台2的中心部侧和外周部侧的改性的程度,并且与利用1组等离子体产生部80进行改性处理的情况相比能降低对晶圆W的损坏。此外,也能降低各等离子体产生部80的劣化(损坏)。
作为用于形成上述的氧化硅膜的处理气体,作为第1反应气体也可以采用BTBAS(双叔丁基氨基硅烷)、DCS[二氯硅烷]、HCD[六氯乙硅烷]、3DMAS[三(二甲氨基)硅烷]、单氨基硅烷等,也可以将TMA[三甲基铝]、TEMAZ[四(二乙基氨基)锆]、TEMAH[四(乙基甲基氨基)铪]、Sr(THD)2[双(四甲基庚二酮酸)锶]、Ti(MPD)(THD)[(甲基戊二酮酸)双(四甲基庚二酮酸)钛]等用作第1反应气体,形成氧化铝膜、氧化锆膜、氧化铪膜、氧化锶膜、氧化钛膜等。作为氧化这些原料气体的氧化气体的第2反应气体,也可以采用水蒸气等。此外,在作为第2反应气体而不用O3气体的工艺例如对TiN(氮化钛)膜等进行该TiN膜的改性的情况下,作为从气体导入喷嘴34供给的等离子体产生用的处理气体,也可以用NH3气体、含N(氮)气体。
作为上述的各等离子体产生部80的配置的顺序,既可以随着长度尺寸R变长而从旋转台2的旋转方向上游侧起向下游侧排列,或也可以随着长度尺寸R变短从旋转台2的旋转方向上游侧起排列。作为该等离子体产生部80的数量,除了6组以外,只要是2组以上即可。而且,作为向活化气体喷射器220供给处理气体的气体导入喷嘴34,因为像上述那样罩体221内的区域与该罩体221的外侧的区域相比成为正压,所以既可以配置在多组等离子体产生部80的下游侧,或也可以在罩体221的顶面、旋转台2的外周部侧的壁面形成气体喷出孔,从该气体喷出孔供给处理气体。此外,作为等离子体产生部80,用棒状的电极36a(400)产生等离子体,但是例如也可以是利用激光等光能或热能等产生等离子体的部件。
作为上述的等离子体产生部80,也可以构成为能够使该等离子体产生部80的长度方向在旋转台2的中心侧和外周侧之间倾斜。具体而言,如图19和图20所示,各等离子体产生部80从真空容器1的侧壁部插入该真空容器1内。在该等离子体产生部80(保护管37)的插入部处的真空容器1的侧壁上,贯穿有第1套筒550,在该第1套筒550内插入有保护管37。第1套筒550的真空容器1的内部区域侧的顶端部的内周面沿着保护管37的外周面地形成,真空容器1的外部侧的基端部的内周面扩径。而且,在该第1套筒550的扩径部和保护管37之间,以沿整个周向围绕该保护管37的方式,设有例如由树脂等构成的密封构件(O型密封圈)500。在这些第1套筒550和保护管37之间的区域,配置有能够从真空容器1的外侧相对于密封构件500进退地设置的环状的第2套筒551。利用该第2套筒551向真空容器1侧按压密封构件500,保护管37借助密封构件500相对于真空容器1被保持为气密状态。因此,保护管37(等离子体产生部80)可以认为是以该密封构件500为基点,真空容器1侧的顶端部能够移动(升降)地被支承。另外,在图19中,省略上述套筒550、551。
在等离子体产生部80的真空容器1的外侧,设有使从第2套筒551朝向该外侧延伸出的保护管37的基端部上下位移的倾斜调整机构501。该倾斜调整机构501在保护管37的上下2个部分具有沿着该保护管37的长度方向分别设置的主体部505、505。各主体部505的基端侧(真空容器1侧)固定在上述的第1套筒550或真空容器1的外壁面,在另一端侧在上下方向上贯穿该主体部505地形成有供螺纹部502螺纹配合的螺纹配合部503。而且,通过从上方或下方使螺纹部502与主体部505的螺纹配合部503螺纹配合,在使保护管37的基端部相对于真空容器1上升或下降的状态下能固定等离子体产生部80的姿势。
而且,在利用倾斜调整机构501使保护管37的基端侧上下位移时,利用密封构件500,真空容器1的内部区域被气密地保持,如图21所示,以该密封构件500对保护管37的支承部为支点,真空容器1内的等离子体产生部80的顶端部侧上下位移。在该例子中,旋转台2上的晶圆W的上表面和等离子体产生部80的下端之间的尺寸H在旋转台2外周侧被设定为9mm,在旋转台2的中央侧能够在8~12mm之间进行调整。另外,在图21中示意性地描绘等离子体产生部80。
通过这样地使等离子体产生部80在长度方向上倾斜,因为能在旋转台2的径向上调整晶圆W和等离子体产生部80之间的尺寸H,所以如后述的实施例所示,能调整旋转台2的径向的改性的程度(等离子体的量)。即,在上述的真空容器1内的压力范围(66.66Pa(0.5Torr)以上),由于真空度低(压力高),所以等离子体中的离子、自由基等的活性种容易成为非活化(无效)状态。因此,等离子体产生部80和晶圆W之间的尺寸H越长,到达旋转台2上的晶圆W的等离子体的量(浓度)越少。因此,能够通过使等离子体产生部80倾斜而调整在旋转台2的径向上的到达晶圆W的活性种的量。
因此,例如在旋转台2中心侧与外周侧相比改性的程度大的情况下,通过抬起等离子体产生部80的顶端部而使该顶端部和旋转台2上的晶圆W分开,能遍及旋转台2的中心侧和外周侧地使改性的程度一致。此外,在旋转台2的中心侧与外周侧相比改性的程度小的情况下,通过使等离子体产生部80的顶端部下降,使该等离子体产生部80的顶端部和旋转台2上的晶圆W接近。此时,通过利用倾斜调整机构501调整等离子体产生部80的倾斜角度,并且调整多组等离子体产生部80的长度尺寸R,能进一步使旋转台2的径向上的改性的程度一致。
作为该倾斜调整机构501,既可以设置在所有的等离子体产生部80上,也可以设置在上述等离子体产生部80中的一组或多组上。此外,在真空容器1的外侧设有倾斜调整机构501,但是,也可以使从该真空容器1的内周面朝向中心部区域C延伸的保护管37的下端部能够升降地支承在真空容器1的内部区域。另外,在图19中,放大地剖切表示真空容器1的一部分,以6组等离子体产生部80中的一组等离子体产生部80为例而表示。
此外,如上述的图7所示,在互相相邻的等离子体产生部80、80中,沿着旋转台2的旋转方向相对的电极36a、36b彼此之间的分开距离A为了抑制这些互相相邻的等离子体产生部80、80彼此之间的放电而优选较长。该分开距离A例如有时根据从高频电源224对等离子体产生部80供给的高频电力值,优选的范围产生变动,但是列举其一个例子,例如设有2组等离子体产生部80,并且在向上述等离子体产生部80、80供给的高频电源224的电力值为800W的情况下为45mm以上,具体而言,大约80mm以上。
而且,在调整活化气体喷射器220的旋转台2的径向上的改性的程度时,在上述的图6A中,设有6组等离子体产生部80,并且根据每个上述等离子体产生部80(辅助等离子体产生部82)调整了等离子体产生部80的长度尺寸R,然而也可以如图22所示,使上述等离子体产生部80的长度尺寸R互相相等,并且根据每个辅助等离子体产生部82设置扩散抑制板(扩散抑制部)510,该扩散抑制板(扩散抑制部)510用于抑制等离子体从该辅助等离子体产生部82向旋转台2上的晶圆W扩散。
如图23~图25所示,扩散抑制板510是沿着辅助等离子体产生部82的长度方向沿水平延伸的例如由石英等绝缘体构成的板状体,具有抑制等离子体向晶圆W侧(自由基、离子等的活性种)的扩散的作用。该扩散抑制板510在各辅助等离子体产生部82的顶端部侧(旋转台2的中心部侧),分别被设置成从该辅助等离子体产生部82的下方侧面对等离子体产生的区域(电极36a、36b之间的区域)。而且,扩散抑制板510从以下的位置朝向辅助等离子体产生部82的基端部分别延伸,该位置为比辅助等离子体产生部82的顶端部靠旋转台2的中心稍微例如5mm左右的位置。从各扩散抑制板510的旋转台2的中心侧起的长度尺寸G从旋转台2旋转方向上游侧朝向下游侧,分别例如是220、120、120、220、270mm。因此,关于各辅助等离子体产生部82,将旋转台2外周侧的、从晶圆W的端部的上方位置到扩散抑制板510的端部的上方位置的长度即辅助等离子体产生部82的有效长度称为J(参照图22),该有效长度J分别设定成与上述图6的各辅助等离子体产生部82的尺寸R相同的长度。因此,与上述的例子相同地,为了补偿由主等离子体产生部81产生的旋转台2的外周部侧的等离子体的不足部分,各辅助等离子体产生部82被设定成与旋转台2的中心部侧相比外周部侧的等离子体的浓度浓(量多)。
又如图23所示,各扩散抑制板510沿着等离子体产生部80的长度方向在多个部位例如2个部分利用固定部511自鞘管35a、35b悬挂。各固定部511由绝缘体例如石英等构成,从旋转台2的旋转方向上的扩散抑制板510的两端部的上表面位置分别向上方侧延伸,并且以从上方侧覆盖鞘管35a、35b的方式水平地弯曲并互相连接。在该例子中,旋转台2的旋转方向的扩散抑制板510的宽度尺寸B例如被设定为70mm左右。图25中附图标记F是各等离子体产生部80的电极36a、36b的各中心线彼此之间的分开距离,该分开距离F是10mm以下例如7mm。另外,在图23~图25中省略罩体221。
通过设有该扩散抑制板510,在各辅助等离子体产生部82中的旋转台2的中央侧的区域与旋转台2的周缘部相比供给晶圆W的等离子体的量变少。即,如图26示意性地所示,在电极36a、36b之间产生处理气体的等离子体(离子和自由基)时,该等离子体欲朝向在辅助等离子体产生部82下方侧移动(公转)的晶圆W下降。但是,因为在辅助等离子体产生部82和旋转台2上的晶圆W之间设有扩散抑制板510,所以利用该扩散抑制板510抑制等离子体向旋转台2侧扩散,等离子体沿着抑制板510的上表面朝向水平方向(旋转台2的旋转方向上游侧、下游侧、旋转台2的中心侧和周缘侧)扩散。如上述那样,因为等离子体中的活性种容易成为非活化状态,所以被扩散抑制板510抑制向下方扩散的等离子体随着沿水平方向扩散,一部分成为非活化(气体化)状态。因此,即使该成为非活化状态的等离子体(气体)与晶圆W接触,与活性的(扩散未被扩散抑制板510抑制)等离子体相比改性的程度也小。因此,在扩散抑制板510下方侧,与未设有扩散抑制板510的基端部侧相比,等离子体的改性的程度被抑制得较小。在此,如后述的实施例那样,因为等离子体中的自由基寿命比离子长(难以成为非活化状态),所以也有时从侧方围绕扩散抑制板510地保持活性的状态地到达晶圆W。即使在该情况下,也通过设有扩散抑制板510,能够抑制等离子体中的离子带来的改性。
利用该扩散抑制板510,得到与上述图6所示的活化气体喷射器220同样的效果。此外,通过使各等离子体产生部80的长度尺寸R成为互相相同的长度,能够使供给到各等离子体产生部80的高频电力一致。即,在各等离子体产生部80的长度尺寸R互不相同的情况下,即使欲从共用的高频电源224向上述等离子体产生部80分别供给相等的电力,各等离子体产生部80的静电容量值也不同,因此,有时在长度尺寸R长的等离子体产生部80中与长度尺寸R短的等离子体产生部80相比,会供给有较多的电力。因此,在将以从晶圆W的载置区域的通过区域的内缘(旋转台2的中心侧的端部)延伸到上述通过区域的外缘(旋转台2的外周侧)的方式地设置的1组等离子体产生部80作为主等离子体产生部81时,对于比该主等离子体产生部81短、相对于主等离子体产生部81的长度的寸法差大的辅助等离子体产生部82而言,与主等离子体产生部81相比等离子体较弱(等离子体的密度较薄)。因此,在欲适当地补偿由主等离子体产生部81产生的晶圆W的载置区域的靠外方区域的等离子体的不足部分时,难以进行高频电源224的电力值的大小等的调整作业。因此,辅助等离子体产生部82也与主等离子体产生部81设定为相同的长度,通过调整扩散抑制板510的配置区域,在外观上使辅助等离子体产生部82的长度尺寸较短是上策。
即,如图22所示,通过将各等离子体产生部80的长度尺寸R设定为互相相同的长度,并且用扩散抑制板510,只要调整各辅助等离子体产生部82的有效长度J,就能够一边根据每个辅助等离子体产生部82而调整旋转台2的径向上的等离子体的量,一边使供给到这些等离子体产生部80的高频电力值一致。因此,能根据每组等离子体产生部80简便地调整旋转台2的径向上的等离子体的量。而且,因为能用相同的长度尺寸R的等离子体产生部80作为主等离子体产生部81和辅助等离子体产生部82,所以能够通过仅更换扩散抑制板510而简单地调整长度尺寸R,此外在成本上也是有利的。
此外,也可以与该扩散抑制板510一起设有上述的倾斜调整机构501。在该情况下,因为在除了所谓能够数字式地调整等离子体的有无的扩散抑制板510之外,还设有沿着旋转台2的径向逐渐地所谓能够模拟式地调整等离子体的量的倾斜调整机构501,所以能进一步扩大旋转台2的径向上的等离子体的量(改性的程度)的调整宽度。
在上述的图22~图26中,在等离子体产生部80下方侧设有扩散抑制板510,但是如图27所示,也可以以覆盖等离子体产生部80的周围(下表面、两侧面、上表面和顶端侧)的方式设置大致箱型的扩散抑制板510。此外,在真空容器1内设置扩散抑制板510时,既可以从真空容器1的顶板11悬挂,也可以固定在真空容器1的内壁侧上。作为扩散抑制板510的材质,除了石英以外还可以用例如氧化铝(Al2O3)等绝缘体。
此外,作为设于上述的加热单元7的周围的罩构件71,也可以像图28和图29那样构成。即,罩构件71包括:内侧构件71a,以从下方侧与旋转台2的外缘部和该外缘部的外周侧面对的方式设置;外侧构件71b,设于该内侧构件71a和真空容器1的内壁面之间。为了在上述的排气口61、62的上方侧使这些排气口61、62和旋转台2的上方区域连通,例如呈圆弧状地对该外侧构件71b进行切削而形成排气区域E1、E2,在弯曲部46下方侧,该外侧构件71b的上端面接近该弯曲部46地配置。此外,在加热单元7和旋转台2之间,为了抑制气体进入设有该加热单元7的区域,从外侧构件71b的内周壁到形成于真空容器1的底面部14的中央的突出部12a的上端部之间设有沿整个周向连续的例如由石英构成的覆盖构件7a。
实施例
接着,为了确认本发明的效果,以下说明实施例。
(实施例1)
首先,在上述的成膜装置中,与设有1组等离子体产生部80的情况相比较,通过设有多组在该例子中为6组等离子体产生部80,进行了改性程度在旋转台2的径向上如何变化的实验。在设有6组等离子体产生部80时,在将所有的等离子体产生部80的长度尺寸R设定为相同的长度(300mm)的情况(作为6对记载)下和例如分别将各等离子体产生部80的长度尺寸R从旋转台2上游侧起设定为50、150、245、317、194、97mm的情况下进行了实验。此外,评价改性的程度时,不用活化气体喷射器220而预先在晶圆W上形成150nm的氧化硅膜,之后对该晶圆W进行改性处理,算出处理前后的膜厚差,在旋转台2的径向上的多个部位求出了收缩率(=(改性处理前的膜厚-改性处理后的膜厚)÷改性处理前的膜厚×100)。在以下的条件下进行了改性处理。
(改性条件)
处理气体:He(氦)气体/O2气体=2.7/0.3升/分钟
处理压力:533Pa(4Torr)
高频电力:400W
旋转台2的转速:30rpm
处理时间:5分钟
(实验结果)
如图30所示,在等离子体产生部80为1组的情况下,在旋转台2的中心部侧进行较强地改性处理,随着向外周部侧去改性处理变弱。因此,在欲用1组等离子体产生部80在旋转台2外周部侧进行良好的改性处理时,一般认为有可能像上述那样在中心部侧改性处理变得过强,晶圆W受到损坏。另一方面,可知在用6组等离子体产生部80的情况下,改性处理从旋转台2的中心部侧遍及到外周部侧地均匀地进行。一般认为这是由于像上述那样利用6组等离子体产生部80分散了氧化硅膜的改性所需的能量。此外可知,通过改变等离子体产生部80的长度尺寸R,能调整在旋转台2的径向上的改性的程度。
(实施例2)
接着,在与实施例1相同的条件下,进行氧化硅膜的改性处理,同样地进行了评价,如图31所示可知,通过改变各等离子体产生部80的长度尺寸R,同样能调整旋转台2的径向上的改性处理的程度。在该例子中,与设置相同的长度尺寸R的等离子体产生部80的情况相比,调整各等离子体产生部80的长度尺寸R均匀性良好。
(实施例3)
接着,如以下的表所示那样,通过对各等离子体产生部80的长度尺寸R进行各种改变,进行了同样的实验和评价。在该实验中,将所得到的结果也一并表示于该表中。
表1
Figure BSA00000411367100341
其结果可知,通过分别调整等离子体产生部80的长度尺寸R,能调整从旋转台2的中心部侧到外周部侧的等离子体的量,其结果,例如能够将膜厚改性成偏差较小。在该表中,表示在改性处理的前后,在旋转台2的径向上的多个部位测量的膜厚差的汇总结果。此外,等离子体产生部80(电极)的长度尺寸R以从旋转台2上游侧起向下游侧排列的顺序记载。另外,在该表中的偏差表示标准偏差的3倍除以总体平均值而得到的数值。
(实施例4)
接着,在如上述实施例3那样对各等离子体产生部80的长度尺寸R进行了各种改变时,测量了膜厚的收缩率在晶圆W的面内如何分布。将该结果表示于图32A~32G。另外,在该图32A~32G中,还记载了晶圆W上的各等离子体产生部80的概略的配置状态和各等离子体产生部80的长度尺寸。
从该图32A~32G可知,通过调整等离子体产生部80的长度尺寸R,膜厚的收缩率在面内产生了变化。因此,一般认为通过调整各等离子体产生部80的长度尺寸R,等离子体的量在旋转台2的径向上也产生变化。此外可知,将各等离子体产生部80的长度尺寸R设定为50、150、245、317、194、97mm的情况下和设定为97、194、317、245、150、50mm的情况下,即在改变等离子体产生部80的排列的顺序时,均匀性几乎没有变化。另外,在将等离子体产生部80的长度尺寸R全部作为300mm的情况下和将6组等离子体产生部80从旋转台2的旋转方向下游侧起分别设定为50、150、245、317、194、97mm的情况下,将通过改变膜厚的收缩率的色调(tone)而得到的结果一并表示在图33A和33B。
(实施例5)
接着,对晶圆W因等离子体而受到的损坏进行了评价。该实验用在表面形成多个由掺杂磷的多结晶硅膜构成的含有天线部的测试芯片的实验用的晶圆W,对该晶圆W在以下的条件下供给等离子体,之后评价了各测试芯片所受到的损坏(等离子体照射前的天线部的面积÷等离子体照射后的有效天线面积)。另外,为了防止形成在实验用的晶圆W上的损坏层不被氧化硅膜覆盖,代替成膜用的气体而用N2气体。
(等离子体供给条件)
处理气体:Ar气体/O2气体=5/0.1slm
处理压力:533Pa(4Torr)
高频电力:400W(13.56Mz)
旋转台2的转速:240rpm
处理时间:10分钟
成膜温度:350℃
成膜用的气体:N2气体/O3气体=200sccm/6slm
等离子体产生部80的组数:6组(各长度尺寸R:50、150、245、317、194、97),1组(300mm)
等离子体的暴露宽度:约2cm(在旋转台2每次旋转时,每1组等离子体产生部80通过2cm的等离子体区域)
(实验结果)
其结果,如图34A、图34B所示,在等离子体产生部80为1组的情况下,从旋转台2的外周部侧越朝向中心部侧去损坏越大,越增强供给晶圆W的等离子体的能量该倾向越增加。另一方面,在设有6组等离子体产生部80的情况下,在旋转台2的径向上几乎没有发现损坏的偏差。此外,即使在增加了等离子体的能量的情况下,也没有出现特别的差异。
因此可知,像上述那样用1组等离子体产生部80的情况下,在旋转台2的径向上改性的程度产生偏差,若欲遍及面内进行均匀地改性处理,则参数(例如等离子体的能量)的选择范围被限制,但是若配置多组例如6组等离子体产生部80,则在旋转台2的径向上改性的偏差变小,参数的选择范围变宽。另外,在图34A、图34B中,示意性地呈格栅状表示上述的测试芯片。
(实施例6)
在以下的条件下,对利用上述的罩体221能够以何种程度抑制气体进入该罩体221内进行了模拟。
(模拟条件)
处理气体:Ar气体=20slm
处理压力:533Pa(4Torr)
高频电力:400W(13.56Mz)
旋转台2的转速:30rpm
处理时间:10分钟
成膜温度:450℃
成膜用的气体:含Si气体/O3气体=300sccm/10slm(200g/Nm3)
向各分离区域D供给的分离气体:N2=20slm
从中心部区域C的上方供给的分离气体:3slm
从中心部区域C的下方和吹扫气体供给管73供给的分离气体:10slm
(实验结果)
如图35a、35b所示可知,从气体导入喷嘴34供给的Ar气体在罩体221内均匀地分散。此外,如图35C、35D所示可知,防止从旋转台2上游侧朝向罩体221流来的N2气体进入该罩体221内。因此,像上述那样,在罩体221内,防止从喷嘴32、34喷出的O3气体和向分离区域D等供给的N2气体的混合,可以说能够抑制NOx的生成。
(实施例7)
在该罩体221内,在处理压力为533Pa(4Torr)、处理气体的流量为3slm的条件下,对处理气体(He气体)的分布、流速的状态进行了模拟,如图36所示可知,处理气体在该罩体221内均匀地分布,没有发现局部的紊乱。
(实施例8)
接着,设置上述的倾斜调整机构501,对在调整了等离子体产生部80的顶端部的高度位置的情况下所得到的薄膜的特性进行了评价。在该实验中,如图37所示,在设有上述的6组等离子体产生部80的部位中的、从旋转台2的上游侧起的第1位置、第3位置和第5位置上设置等离子体产生部80,用上述3组等离子体产生部80进行了薄膜的改性。而且,分别将从旋转台2的上游侧起第3位置的等离子体产生部80的顶端部的高度位置(尺寸H)设定为8mm、10mm、11mm、12mm,并且测量了在各自的条件下所得到的膜厚。
此时,将从旋转台2上游侧起第1位置和第5位置的等离子体产生部80的顶端部的尺寸H分别设定为17.5mm和16.5mm。上述等离子体产生部80的基端侧(真空容器1的侧壁侧)的与晶圆W之间的尺寸均设定为9mm。另外,在从旋转台2的上游侧起的第2位置、第4位置和第6位置上不配置等离子体产生部80的部位的真空容器1的侧壁省略说明,但是气密地堵住。此外,成膜条件和改性条件如下。
(成膜条件和改性条件)
成膜温度(℃):450
处理压力(Pa(Torr)):533.29(4)
旋转台2的转速(rpm):20
高频电力值(W):1200
其结果,如图38所示可知,通过调整等离子体产生部80的顶端部的高度位置,能调整旋转台2的径向上的薄膜的膜厚。此外,在该例子中,在尺寸H为11mm的情况下,得到了在旋转台2的径向上的膜厚最均匀的薄膜。另外,在该图38中,膜厚越薄,可以说改性进行地越强。
(实施例9)
接着,像图39那样在从旋转台2上游侧起第1位置和第2位置上配置等离子体产生部80、80,用这些2组等离子体产生部80、80进行了薄膜的改性。在此时的等离子体产生部80、80中,互相接近的电极36彼此之间的分开距离F设定为45mm。此外,关于这些等离子体产生部80、80的尺寸H,从旋转台2上游侧起,在顶端部分别设定为14mm和12mm,并且在基端侧分别设定为10.5mm和10mm。实验条件如下,在进行了一次实验之后,取下等离子体产生部80再次重新安装,再次进行了相同的内容的实验。
(实验条件)
成膜温度(℃):350
处理压力(Pa(Torr)):533.29(4)
第1反应气体流量(sccm):600
第2反应气体(O3)流量:300g/Nm3(O2:6slm)
改性用的气体(O2)流量(slm):10
旋转台2的转速(rpm):20
高频电力值(W):800
其结果,如图40所示,关于成膜量(旋转台2每转一圈成膜的成膜量),尽管在相同的实验条件下也会出现互不相同的结果,无法得到再现性。可知该理由为,目视确认另外进行的实验,如图41所示,在互相相邻的等离子体产生部80、80间产生放电,向晶圆W侧供给的等离子体的量不足。在从图40的旋转台2的中心侧起100mm左右的区域中,对于膜厚变厚的部分,通过利用该目视的实验,与在互相相邻的等离子体产生部80、80间产生放电的区域相对应。因此,可以说使互相相邻的等离子体产生部80、80间的距离(分开距离A)较长是优选。
(实施例10)
在该实验中,确认了由于有无扩散抑制板510而得到的薄膜的膜质的状态。作为等离子体产生部80,如图42A所示,设于从旋转台2上游侧起的第1位置和第2位置。此外,对在从旋转台2上游侧起的第1位置设有尺寸G为200mm的扩散抑制板510的情况(图42B)和在从旋转台2上游侧起的第1位置和第2位置设有尺寸G分别为200mm和100mm的扩散抑制板510的情况(图42C)进行了实验。实验条件如下。
(实验条件)
成膜温度(℃):350(在不供给高频的例子中为450)
处理压力(Pa(Torr)):533.29(4)
第1反应气体流量(sccm):600
第2反应气体(O3)流量:300g/Nm3(O2:6slm)
改性用的气体(O2)流量(slm):10
旋转台2的转速(rpm):20
高频电力值(W):1200
其结果,如图43所示,通过利用等离子体产生部80进行改性,与不供给高频的情况(不进行改性的情况)相比,膜厚变薄,得到致密的薄膜。此外,在2组等离子体产生部80、80均设有扩散抑制板510的情况(图42C)下,在等离子体产生部80的顶端侧(旋转台的中央侧)与基端侧(旋转台的周缘侧)相比膜厚厚。因此可知,在图42C的构成中,在等离子体产生部80的顶端侧与基端侧相比改性效果弱,利用扩散抑制板510抑制等离子体向晶圆W扩散。此时,即使是旋转台的中央侧的改性效果弱的区域,与不供给高频地进行实验的情况相比,膜厚也较薄,其理由一般认为,是因为如上所述那样等离子体中的自由基绕到扩散抑制板510的侧方侧到达晶圆W,或等离子体从旋转台2的周缘部侧扩散到中央部侧。
此外可知,在旋转台2的径向上的扩散抑制板510的外周侧,与不设有扩散抑制板510的情况相比,膜厚薄,较强地进行了改性。该理由一般认为,是因为设有扩散抑制板510的区域的等离子体绕到旋转台2的外周侧。
此外,只在2组等离子体产生部80、80中的、旋转台2的上游侧设有扩散抑制板510的情况(图42B)下,在旋转台2的径向上成为与不设有扩散抑制板510的情况(图42A)下大致相同程度的膜厚。其理由一般认为,是因为在从旋转台2的上游侧起的第2位置的等离子体产生部80未设有扩散抑制板510,所以利用该等离子体产生部80充分地进行了改性。
关于此时的旋转台2的径向上的膜厚分布和膜厚,成为图44所示的结果。因此可知,通过设有扩散抑制板510,能调整旋转台2的径向上的膜厚分布(改性的程度)。此外,如图45所示,旋转台2的切线方向上的膜厚在任一例子中都是均匀的。
根据上述本发明的实施方式的等离子处理装置,在使载置有多张基板的旋转台旋转而进行等离子处理时,能对基板进行面内均匀性高的处理。
更加具体而言,根据上述本发明的实施方式的等离子处理装置,在使载置有多张基板的旋转台旋转而进行等离子处理时,在基板的载置区域的通过区域的相对的位置,利用在上述旋转台的中央部和外周侧之间呈棒状延伸并且在上述真空容器的周向上相互分开地设置的多组等离子体产生部,使等离子体产生用的气体等离子化,所以能对基板进行面内均匀性高的处理。
一边参照上述的实施方式一边说明了本发明,然而本发明不限定于所公开的实施方式,在权利要求保护的本发明的范围内可以进行各种的变形、变更。
本申请基于2009年12月25日和2010年6月17日提出申请的日本专利申请2009-295110号和2010-138669主张优先权,在此引用其全部内容。

Claims (10)

1.一种等离子处理装置,利用等离子体对基板进行处理,其特征在于,包括:
真空容器,在其内部利用上述等离子体对上述基板进行处理;
旋转台,设于上述真空容器内,形成用于载置基板的至少1个基板载置区域;
旋转机构,使该旋转台旋转;
气体供给部,向上述基板载置区域供给等离子体产生用的气体;
主等离子体产生部,在与上述基板载置区域的通过区域相对的位置的、上述旋转台的中央部侧和外周侧之间呈棒状延伸地设置,用于向上述气体供给能量而使其等离子化;
辅助等离子体产生部,在上述真空容器的周向上相对于该主等离子体产生部分开地设置,用于补偿由该主等离子体产生部产生的等离子体的不足的部分;
真空排气部件,将上述真空容器内排成真空。
2.根据权利要求1所述的等离子处理装置,其特征在于,
该等离子处理装置具有反应气体供给部件,该反应气体供给部件在周向上相对于上述主等离子体产生部和上述辅助等离子体产生部分开地设置,用于对基板进行成膜。
3.根据权利要求2所述的等离子处理装置,其特征在于,
上述真空容器具有分离区域,该分离区域设于在旋转台的周向上相互分开地形成的多个处理区域以及上述多个处理区域之间,
上述反应气体供给部件分别供给互不相同的反应气体,
在上述多个处理区域之间供给有用于防止互不相同的反应气体混合的分离气体,上述成膜通过依次向基板表面供给互不相同的反应气体而进行。
4.根据权利要求1所述的等离子处理装置,其特征在于,
上述主等离子体产生部、上述辅助等离子体产生部和气体供给部被共用的罩体覆盖,使得从旋转台的旋转方向上游侧流来的气体在上述主等离子体产生部和上述辅助等离子体产生部与该主等离子体产生部和该辅助等离子体产生部的上方的顶部之间流动。
5.根据权利要求4所述的等离子处理装置,其特征在于,
在上述罩体的上述旋转方向上游侧设有气流限制部,该气流限制部通过使沿长度方向延伸的侧面部的下缘以向该上游侧延伸的方式呈凸缘状地弯曲而形成。
6.根据权利要求1所述的等离子处理装置,其特征在于,
上述辅助等离子体产生部为了补偿由上述主等离子体产生部产生的基板载置区域的外缘侧的等离子体的不足的部分而设置。
7.根据权利要求6所述的等离子处理装置,其特征在于,
上述主等离子体产生部和上述辅助等离子体产生部共用作为用于产生等离子体的电力供给源的高频电源,上述辅助等离子体产生部为了在上述旋转台的中央侧部位抑制等离子体向基板载置区域扩散,在下方侧具有扩散抑制部。
8.根据权利要求1所述的等离子处理装置,其特征在于,
上述主等离子体产生部和上述辅助等离子体产生部中的至少一个等离子体产生部从上述旋转台外周侧的上述真空容器的侧壁气密地插入该真空容器内,为了使上述至少一个等离子体产生部的长度方向相对于上述旋转台上的基板的表面倾斜,在上述至少一个等离子体产生部的基端部侧设有倾斜调整机构。
9.根据权利要求1所述的等离子处理装置,其特征在于,
上述主等离子体产生部和上述辅助等离子体产生部是互相沿长度方向平行地延伸、用于产生电容耦合型等离子体的平行电极。
10.根据权利要求1所述的等离子处理装置,其特征在于,
上述主等离子体产生部和上述辅助等离子体产生部相当于用于产生感应耦合型等离子体的天线中的、棒状的天线部分。
CN2010106218098A 2009-12-25 2010-12-24 等离子处理装置 Pending CN102110572A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009295110 2009-12-25
JP2009-295110 2009-12-25
JP2010-138669 2010-06-17
JP2010138669A JP5327147B2 (ja) 2009-12-25 2010-06-17 プラズマ処理装置

Publications (1)

Publication Number Publication Date
CN102110572A true CN102110572A (zh) 2011-06-29

Family

ID=44174692

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010106218098A Pending CN102110572A (zh) 2009-12-25 2010-12-24 等离子处理装置

Country Status (5)

Country Link
US (1) US20110155057A1 (zh)
JP (1) JP5327147B2 (zh)
KR (1) KR101380985B1 (zh)
CN (1) CN102110572A (zh)
TW (1) TW201135801A (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102978586A (zh) * 2011-09-05 2013-03-20 东京毅力科创株式会社 成膜装置和成膜方法
CN103215567A (zh) * 2012-01-18 2013-07-24 东京毅力科创株式会社 成膜装置
CN103243309A (zh) * 2012-02-02 2013-08-14 东京毅力科创株式会社 成膜装置及成膜方法
CN103243314A (zh) * 2012-02-09 2013-08-14 东京毅力科创株式会社 成膜装置
CN103526180A (zh) * 2012-07-06 2014-01-22 东京毅力科创株式会社 成膜方法
CN103866297A (zh) * 2012-12-14 2014-06-18 东京毅力科创株式会社 成膜装置、基板处理装置及成膜方法
CN103874315A (zh) * 2012-11-29 2014-06-18 格里高利·迪拉吉 具有移动转盘的等离子体产生装置及其使用方法
CN104561936A (zh) * 2013-10-25 2015-04-29 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN104831255A (zh) * 2014-02-10 2015-08-12 东京毅力科创株式会社 基板处理方法和基板处理装置
CN103966575B (zh) * 2013-02-06 2017-09-05 东京毅力科创株式会社 基板处理装置及成膜方法
US9932674B2 (en) 2011-05-12 2018-04-03 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer-readable recording medium
CN108811290A (zh) * 2017-04-28 2018-11-13 北京北方华创微电子装备有限公司 等离子体产生装置和半导体设备
CN111962045A (zh) * 2019-05-20 2020-11-20 东京毅力科创株式会社 成膜方法
WO2021031487A1 (zh) * 2019-08-19 2021-02-25 西安交通大学 基于混合型等离子体结构的平板式等离子体发生装置

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20130233828A1 (en) * 2010-11-10 2013-09-12 Masashi Matsumori Plasma processing apparatus and plasma processing method
KR101246170B1 (ko) * 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712889B2 (ja) * 2011-10-07 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
JP6125247B2 (ja) * 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
KR102070400B1 (ko) * 2012-06-29 2020-01-28 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP5861583B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6051788B2 (ja) * 2012-11-05 2016-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ発生装置
WO2014144377A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Plasma source for rotating platen ald chambers
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6146160B2 (ja) * 2013-06-26 2017-06-14 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜装置
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6332746B2 (ja) * 2013-09-20 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2015180768A (ja) * 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
JP6183965B2 (ja) * 2014-03-27 2017-08-23 Sppテクノロジーズ株式会社 シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
JP6361495B2 (ja) * 2014-12-22 2018-07-25 東京エレクトロン株式会社 熱処理装置
JP6600990B2 (ja) * 2015-01-27 2019-11-06 東京エレクトロン株式会社 プラズマ処理装置
JP6412466B2 (ja) * 2015-06-02 2018-10-24 東京エレクトロン株式会社 基板処理装置及び基板処理方法
WO2017014179A1 (ja) * 2015-07-17 2017-01-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びプログラム
TWI715645B (zh) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積
JP6569520B2 (ja) * 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
JP6569521B2 (ja) * 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
JP6523185B2 (ja) * 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
JP6602261B2 (ja) 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6930382B2 (ja) * 2017-11-06 2021-09-01 東京エレクトロン株式会社 成膜装置及び成膜方法
CN112292755A (zh) * 2018-06-18 2021-01-29 应用材料公司 成对的动态平行板电容耦合等离子体
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
JP6894482B2 (ja) * 2019-09-12 2021-06-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP7500450B2 (ja) 2021-01-21 2024-06-17 東京エレクトロン株式会社 プラズマ処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
CN101572208A (zh) * 2004-04-08 2009-11-04 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3204527B2 (ja) * 1992-03-09 2001-09-04 日本真空技術株式会社 Ito薄膜形成用プレーナマグネトロンスパッタ装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
JP2000299198A (ja) * 1999-02-10 2000-10-24 Tokyo Electron Ltd プラズマ処理装置
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
JP2004055600A (ja) * 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
EP1992007A4 (en) * 2006-03-03 2010-05-05 Prasad Gadgil APPARATUS AND METHOD FOR THIN FILM CHEMICAL PROCESSING BY MULTIPLE ATOMIC LAYER OVER AN EXTENDED AREA
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101572208A (zh) * 2004-04-08 2009-11-04 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9932674B2 (en) 2011-05-12 2018-04-03 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer-readable recording medium
CN102978586A (zh) * 2011-09-05 2013-03-20 东京毅力科创株式会社 成膜装置和成膜方法
US9453280B2 (en) 2011-09-05 2016-09-27 Tokyo Electron Limited Film deposition apparatus, film deposition method and storage medium
CN102978586B (zh) * 2011-09-05 2016-03-09 东京毅力科创株式会社 成膜装置和成膜方法
CN103215567B (zh) * 2012-01-18 2016-05-11 东京毅力科创株式会社 成膜装置
CN103215567A (zh) * 2012-01-18 2013-07-24 东京毅力科创株式会社 成膜装置
CN103243309A (zh) * 2012-02-02 2013-08-14 东京毅力科创株式会社 成膜装置及成膜方法
CN103243314A (zh) * 2012-02-09 2013-08-14 东京毅力科创株式会社 成膜装置
CN103243314B (zh) * 2012-02-09 2015-12-09 东京毅力科创株式会社 成膜装置
CN103526180A (zh) * 2012-07-06 2014-01-22 东京毅力科创株式会社 成膜方法
CN103874315A (zh) * 2012-11-29 2014-06-18 格里高利·迪拉吉 具有移动转盘的等离子体产生装置及其使用方法
CN103866297A (zh) * 2012-12-14 2014-06-18 东京毅力科创株式会社 成膜装置、基板处理装置及成膜方法
US9583312B2 (en) 2012-12-14 2017-02-28 Tokyo Electron Limited Film formation device, substrate processing device, and film formation method
CN103866297B (zh) * 2012-12-14 2017-06-09 东京毅力科创株式会社 成膜装置、基板处理装置及成膜方法
CN103966575B (zh) * 2013-02-06 2017-09-05 东京毅力科创株式会社 基板处理装置及成膜方法
CN104561936A (zh) * 2013-10-25 2015-04-29 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN104561936B (zh) * 2013-10-25 2018-04-24 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN104831255A (zh) * 2014-02-10 2015-08-12 东京毅力科创株式会社 基板处理方法和基板处理装置
CN104831255B (zh) * 2014-02-10 2018-09-07 东京毅力科创株式会社 基板处理方法和基板处理装置
CN108811290A (zh) * 2017-04-28 2018-11-13 北京北方华创微电子装备有限公司 等离子体产生装置和半导体设备
CN111962045A (zh) * 2019-05-20 2020-11-20 东京毅力科创株式会社 成膜方法
CN111962045B (zh) * 2019-05-20 2023-12-01 东京毅力科创株式会社 成膜方法
WO2021031487A1 (zh) * 2019-08-19 2021-02-25 西安交通大学 基于混合型等离子体结构的平板式等离子体发生装置

Also Published As

Publication number Publication date
KR101380985B1 (ko) 2014-04-04
TW201135801A (en) 2011-10-16
KR20110074713A (ko) 2011-07-01
JP5327147B2 (ja) 2013-10-30
JP2011151343A (ja) 2011-08-04
US20110155057A1 (en) 2011-06-30

Similar Documents

Publication Publication Date Title
CN102110572A (zh) 等离子处理装置
CN103088319B (zh) 成膜装置和成膜方法
TWI718131B (zh) 超薄原子層沉積膜精度厚度控制
CN104831255B (zh) 基板处理方法和基板处理装置
US9177786B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
US9677174B2 (en) Film deposition method for producing a reaction product on a substrate
CN101994101B (zh) 成膜装置
KR100481441B1 (ko) 반도체 장치의 제조방법 및 반도체 제조장치
US7825039B2 (en) Vertical plasma processing method for forming silicon containing film
US10242866B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
JP3265042B2 (ja) 成膜方法
CN105097459B (zh) 等离子体处理方法及等离子体处理装置
CN102134709A (zh) 成膜装置
KR20080033965A (ko) 균일한 배치식 막 증착법 및 그 방법에 의해 제조된 막
TW201324663A (zh) 用於改良之沉積均勻性的前驅物分配特性
KR20100010906A (ko) 기판 처리 장치
TWI721227B (zh) 成膜裝置及成膜方法
CN103155104A (zh) 通过对称型流入口和流出口供给反应气体的基板处理装置
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
JP2020043221A (ja) 基板処理装置、半導体装置の製造方法および基板処理装置の電極
US20060196417A1 (en) Gas distribution systems for deposition processes
CN103155719B (zh) 具备半圆形天线的基板处理装置
CN101519771A (zh) 原子层沉积设备
KR20030002776A (ko) 박막 증착 장비

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110629