CN103866297B - 成膜装置、基板处理装置及成膜方法 - Google Patents

成膜装置、基板处理装置及成膜方法 Download PDF

Info

Publication number
CN103866297B
CN103866297B CN201310686986.8A CN201310686986A CN103866297B CN 103866297 B CN103866297 B CN 103866297B CN 201310686986 A CN201310686986 A CN 201310686986A CN 103866297 B CN103866297 B CN 103866297B
Authority
CN
China
Prior art keywords
mentioned
plasma
bias electrode
substrate
turntable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310686986.8A
Other languages
English (en)
Other versions
CN103866297A (zh
Inventor
山涌纯
舆水地盐
山泽阳平
立花光博
加藤寿
小林健
三浦繁博
木村隆文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN103866297A publication Critical patent/CN103866297A/zh
Application granted granted Critical
Publication of CN103866297B publication Critical patent/CN103866297B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供成膜装置、基板处理装置及成膜方法。成膜装置包括:旋转台;成膜区域,于其中在基板上依次层叠分子层或原子层而形成薄膜;等离子体处理部,在等离子体产生区域中利用等离子体对分子层或原子层进行改性处理,等离子体产生区域在旋转台的旋转方向上与成膜区域分开地设置;下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入基板的表面,该下侧偏压电极设于旋转台上的基板的高度位置的下方侧,该上侧偏压电极配置在与高度位置相同的位置或配置于该高度位置的上方侧;高频电源部,与下侧偏压电极和上侧偏压电极中的至少一方相连接,使下侧偏压电极和上侧偏压电极隔着等离子体产生区域进行电容耦合而在基板上形成偏压电位;排气机构。

Description

成膜装置、基板处理装置及成膜方法
技术领域
本发明涉及成膜装置、基板处理装置及成膜方法。
背景技术
作为在半导体晶圆等基板(下称“晶圆”)上形成例如硅氧化膜(SiO2)等薄膜的手法,公知有例如使用日本国特开第2010-239102号所述的装置的Atomic LayerDeposition(ALD;原子层沉积)法。在该装置中,在旋转台上沿周向排列5张晶圆,并且在该旋转台的上方侧配置多个气体喷嘴。然后,向公转中的各个晶圆依次供给互相发生反应的多种反应气体,层叠反应生成物。
在这样的ALD法中,为了对层叠于晶圆上的各反应生成物进行等离子体改性,公知有如日本国特开第2011-040574号那样在沿周向与气体喷嘴相分离的位置上设置了用于进行等离子体改性的构件的装置。但是,当在晶圆的表面上形成有具有例如数十至过百那样大的纵横比(aspect ratio)的孔、槽(沟道)等凹部时,该凹部的深度方向上的改性程度可能不均匀。
即,当这样形成有纵横比较大的凹部时,等离子体(详细而言是氩离子)难以进入到凹部内。此外,由于在真空容器内与等离子体改性处理一同进行成膜处理,因此,该真空容器内的处理压力高于能够使等离子体良好地维持活性的真空气氛的压力。因此,在等离子体接触凹部的内壁面时,该等离子体容易失去活性,因此,这也容易导致凹部的深度方向上的改性程度不均匀。此外,即使是未形成有凹部的晶圆,为了在旋转台旋转一周的期间内进行改性处理,即,为了在彼此相邻的气体喷嘴之间的狭小区域良好地进行改性,需要预先在晶圆的附近形成高密度的等离子体。
日本国特开第8-213378号中记载了对下部电极施加偏压电压的装置,但是未记载利用旋转台使晶圆公转的技术。
发明内容
根据本发明的一技术方案,提供一种成膜装置,其构成为在真空容器内对基板进行成膜处理,其中,该成膜装置包括:旋转台,其构成为使基板载置区域进行公转,该基板载置区域构成为载置上述基板;成膜区域,其包括构成为向上述基板载置区域供给处理气体的处理气体供给部,且构成为伴随上述旋转台的旋转在上述基板上依次层叠分子层或原子层而形成薄膜;等离子体处理部,其构成为在等离子体产生区域中利用由等离子体产生用气体的等离子体化生成的等离子体对上述分子层或原子层进行改性处理,上述等离子体产生区域在上述旋转台的旋转方向上与上述成膜区域分开地设置;下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入上述基板的表面,该下侧偏压电极设于上述旋转台上的上述基板的高度位置的下方侧,该上侧偏压电极配置在与上述高度位置相同的位置或配置于该高度位置的上方侧;高频电源部,其构成为与上述下侧偏压电极和上述上侧偏压电极中的至少一方相连接,使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在上述基板上形成偏压电位;排气机构,其构成为对上述真空容器内进行排气。
根据本发明的另一技术方案,提供一种基板处理装置,其中,该基板处理装置包括:旋转台,其为了使载置基板的基板载置区域公转而设于真空容器内;等离子体处理部,其构成为为了在等离子体产生区域对基板进行等离子体处理,而向上述基板载置区域供给使等离子体产生用气体等离子体化而生成的等离子体;下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入基板的表面,该下侧偏压电极设于上述旋转台上的基板的高度位置的下方侧,该上侧偏压电极配置在与上述高度位置相同的位置或配置于该高度位置的上方侧;高频电源部,其构成为与上述下侧偏压电极和上述上侧偏压电极中的至少一方相连接,使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在上述基板上形成偏压电位;排气机构,其构成为对上述真空容器内进行排气。
本发明的另一技术方案,提供一种成膜方法,其构成为在真空容器内对基板进行成膜处理,其中,该成膜方法包括如下工序:将表面形成有凹部的上述基板载置于旋转台上的基板载置区域,并使该基板载置区域公转;接着,向上述基板载置区域的上述基板供给处理气体,在该基板上形成分子层或原子层;接着,向上述真空容器内的等离子体产生区域供给等离子体产生用气体,并使上述等离子体产生用气体等离子体化而利用等离子体进行上述分子层或原子层的改性处理;通过向设于上述旋转台上的基板的高度位置的下方侧的下侧偏压电极和配置在与上述高度位置相同的位置或配置于该高度位置的上方侧的上侧偏压电极中的至少一方供电,使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在上述基板上形成偏压电位,而向该基板的表面引入等离子体中的离子;对上述真空容器内进行排气。
附图说明
图1是表示本发明的实施方式的成膜装置的一个例子的纵剖视图。
图2是表示上述成膜装置的立体图。
图3是表示上述成膜装置的横剖俯视图。
图4是表示上述成膜装置的横剖俯视图。
图5是表示上述成膜装置的旋转台的立体图。
图6是表示上述成膜装置的等离子体处理部的分解立体图。
图7是表示上述成膜装置的下侧偏压电极的分解立体图。
图8是表示自里面侧观察上述成膜装置时的样子的平面视图。
图9是放大表示等离子体处理部及下侧偏压电极的纵剖视图。
图10是示意性地表示形成于上述成膜装置中的偏压空间的纵剖视图。
图11是示意性地表示作为在上述成膜装置中进行成膜处理的对象的基板的纵剖视图。
图12是示意性地表示对基板进行成膜的样子的纵剖视图。
图13是示意性地表示对基板进行成膜的样子的纵剖视图。
图14是示意性地表示对基板进行等离子体改性处理的样子的纵剖视图。
图15是示意性地表示上述成膜装置的另一例子的纵剖视图。
图16是示意性地表示上述成膜装置的另一例子的纵剖视图。
图17是示意性地表示上述成膜装置的另一例子的纵剖视图。
图18是示意性地表示上述成膜装置的另一例子的纵剖视图。
图19是示意性地表示上述成膜装置的另一例子的纵剖视图。
图20是表示上述成膜装置的另一例子的一部分的纵剖视图。
图21是示意性地表示上述成膜装置的另一例子的纵剖视图。
图22是表示上述成膜装置的另一例子的一部分的立体图。
图23是表示上述成膜装置的另一例子的横剖俯视图。
图24是表示上述成膜装置的另一例子的立体图。
图25是示意性地表示上述成膜装置的另一例子的纵剖视图。
具体实施方式
(成膜装置、基板处理装置及成膜方法)
本发明的实施方式涉及对基板进行等离子体处理的成膜装置、基板处理装置及成膜方法。
本发明的实施方式的目的在于,提供在对利用旋转台公转的基板进行等离子体处理时,能够进行在基板的表面的凹部的深度方向上均匀性高的等离子体处理的成膜装置、基板处理装置及成膜方法。
本发明的实施方式的成膜装置用于在真空容器内对基板进行成膜处理,其特征在于,
该成膜装置包括:
旋转台,其用于使载置基板的基板载置区域公转;
成膜区域,其包括向上述基板载置区域供给处理气体的处理气体供给部,且用于伴随上述旋转台的旋转在上述基板上依次层叠分子层或原子层而形成薄膜;
等离子体处理部,其用于在等离子体产生区域中利用由等离子体产生用气体的等离子体化生成的等离子体对上述分子层或原子层进行改性处理,上述等离子体产生区域在上述旋转台的旋转方向上与该成膜区域分开地设置;
下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入基板的表面,该下侧偏压电极设于上述旋转台上的基板的高度位置的下方侧,该上侧偏压电极配置在与上述高度位置相同的位置或配置于该高度位置的上方侧;
高频电源部,其与上述偏压电极中的至少一方相连接,用于使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在基板上形成偏压电位;
排气机构,其用于对上述真空容器内进行排气。
上述成膜装置也可以如下这样构成。
也可以构成为:
上述等离子体处理部为了在等离子体产生区域产生感应耦合等离子体,而具有绕铅垂轴线卷绕并与等离子体产生用高频电源相连接的天线;
上述上侧偏压电极是设于上述天线和上述等离子体产生区域之间的导电板,该导电板阻断由上述天线形成的电磁场的电场,且为了使磁场通过而沿着天线的长度方向排列有多条狭缝,该多条狭缝以与上述天线的延伸方向交叉的方式形成。
也可以构成为:
上述下侧偏压电极和上述上侧偏压电极分别以与上述旋转台上的基板之间存在间隙区域的方式配置。
也可以构成为:
上述成膜装置包括:
其他处理气体供给部,其设于在旋转台的旋转方向上与上述处理气体供给部分开的位置,且用于供给与自该处理气体供给部供给的处理气体发生反应的气体;
分离气体供给部,其用于向为了使被分别自上述处理气体供给部和上述其他处理气体供给部供给了气体的处理区域之间彼此分离而设于上述处理区域之间的分离区域分别供给分离气体。
上述等离子体处理部可以采用具有用于使等离子体产生用气体等离子体化的等离子体产生用高频电源、该等离子体产生用高频电源兼作上述高频电源部的结构。在该情况下,上述等离子体处理部也可以具有为了在上述等离子体产生区域产生电容耦合等离子体而以彼此相对的方式配置的一对对置电极。
本发明的实施方式的基板处理装置其特征在于,
该基板处理装置包括:
旋转台,其为了使载置基板的基板载置区域公转而设于真空容器内;
等离子体处理部,其用于为了在等离子体产生区域对基板进行等离子体处理,而向上述基板载置区域供给使等离子体产生用气体等离子体化而生成的等离子体;
下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入基板的表面,该下侧偏压电极设于上述旋转台上的基板的高度位置的下方侧,该上侧偏压电极配置在与上述高度位置相同的位置或配置于该高度位置的上方侧;
高频电源部,其与上述偏压电极中的至少一方相连接,用于使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在基板上形成偏压电位;
排气机构,其用于对上述真空容器内进行排气。
本发明的实施方式的成膜方法其特征在于,
该成膜方法包括如下工序:
将表面形成有凹部的基板载置于旋转台上的基板载置区域,并使该基板载置区域公转;
接着,向上述基板载置区域的基板供给处理气体,在该基板上形成分子层或原子层;
接着,向上述真空容器内的等离子体产生区域供给等离子体产生用气体,并使该等离子体产生用气体等离子体化而利用等离子体进行上述分子层或原子层的改性处理;
向设于上述旋转台上的基板的高度位置的下方侧的下侧偏压电极和配置在与上述高度位置相同的位置或配置于该高度位置的上方侧的上侧偏压电极中的至少一方供电,使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在基板上形成偏压电位,从而向该基板的表面引入等离子体中的离子;
对上述真空容器内进行排气。
本发明的实施方式在对在旋转台上公转的基板进行等离子体处理时,为了借助等离子体产生区域的下方侧的、旋转台上的基板所在的区域在该基板上形成偏压电位,配置有下侧偏压电极和上侧偏压电极。并且,向上述偏压电极中的至少一方供给高频电力,使下侧偏压电极和上侧偏压电极发生电容耦合。因此,能够将等离子体中的离子引入基板侧,因此,能够在基板的附近形成高密度的等离子体。因此,即使在基板的表面上形成有前述那样的大纵横比的凹部,也能使该凹部的深度方向上的等离子体处理的程度一致。
参照图1~图9说明将本发明的实施方式的基板处理装置应用于成膜装置中的例子。如图1~图4所示,该装置包括俯视形状呈大致圆形的真空容器1和设于该真空容器1内且在该真空容器1的中心具有旋转中心的旋转台2,构成为对晶圆W进行成膜处理和等离子体改性处理。并且,该成膜装置如后面详述的那样,构成为即使在晶圆W的表面形成有例如具有数十至过百的纵横比的凹部,也能通过向晶圆W侧引入等离子体而使该凹部的深度方向上的等离子体改性程度一致。接着,在详述作为本发明的第1实施方式的成膜装置的主要部分的法拉第屏蔽件95、下侧偏压电极120之前,先简单说明一下装置的整体概要。
在真空容器1的顶板11的中心部连接有用于利用分离气体(N2气体)的通流而分隔各处理区域P1、P2的分离气体供给管51。如图1所示,旋转台2的下侧设有作为加热机构的加热器单元7,该加热器单元7隔着该旋转台2将晶圆W加热到成膜温度,例如300℃。图1中的附图标记7a为罩构件,附图标记73为吹扫用气体供给管。
旋转台2由例如石英等电介体构成,在中心部固定于大致圆筒形状的芯部21。该旋转台2构成为能够利用自芯部21的下表面朝下方侧延伸的旋转轴22绕铅垂轴线自由旋转,在该例子中为顺时针自由旋转。图1中的附图标记23为使旋转轴22绕铅垂轴线旋转的驱动部(旋转机构),附图标记20为容纳旋转轴22及驱动部23的壳体,附图标记72为吹扫用气体供给管。
如图3~图4所示,在旋转台2的表面部沿着该旋转台2的旋转方向(周向)形成有多处例如5处构成晶圆W的载置区域的凹部24。如图5和图9所示,为了尽量减小各凹部24的底面和旋转台2的下表面之间的尺寸(旋转台2的板厚尺寸)h,旋转台2的下表面与旋转台2呈同心圆状地以环状凹陷而形成槽部2a,槽部2a作为用于容纳下侧偏压电极120的凹部。上述板厚尺寸h例如为6mm~20mm。另外,图5表示自下侧观察旋转台2时的立体图。
在分别与凹部24的通过区域相对的位置上,沿着真空容器1的周向彼此隔有间隔地呈放射状地分别配置有例如由石英构成的5支喷嘴31、32、34、41、42。上述喷嘴31、32、34、41、42分别例如以自真空容器1的外周壁朝向中心部且与晶圆W相对地水平延伸的方式安装。在该例子中,自后述的输送口15观察时顺时针(旋转台2的旋转方向)地依次排列有等离子体产生用气体喷嘴34、分离气体喷嘴41、第1处理气体喷嘴31、分离气体喷嘴42以及第2处理气体喷嘴32。
处理气体喷嘴31、32分别形成第1处理气体供给部和第2处理气体供给部,等离子体产生用气体喷嘴34形成等离子体产生用气体供给部。此外,分离气体喷嘴41、42分别形成分离气体供给部。另外,为了能够看得见等离子体产生用气体喷嘴34,图2和图3表示拆下了后述等离子体处理部80和筐体90的状态,图4表示安装了上述等离子体处理部80和筐体90的状态。此外,图2表示连旋转台2也拆下的状态。
各喷嘴31、32、34、41、42借助流量调整阀分别与以下的各气体供给源(未图示)相连接。即,第1处理气体喷嘴31与含有Si(硅)的第1处理气体,例如BTBAS(双叔丁基氨基硅烷;SiH2(NH-C(CH332)气体等的供给源相连接。第2处理气体喷嘴32与第2处理气体例如臭氧(O3)气体和氧气(O2)的混合气体的供给源(详细而言,是设有臭氧发生器的氧气供给源)相连接。等离子体产生用气体喷嘴34与例如由氩气(Ar)和氧气的混合气体构成的等离子体产生用气体的供给源相连接。分离气体喷嘴41、42分别与作为分离气体的氮气的气体供给源相连接。在上述气体喷嘴31、32、34、41、42的例如下表面侧分别形成有气体喷出孔33,该气体喷出孔33沿着旋转台2的半径方向例如等间隔地配置于多个部位。图2和图3中的附图标记31a为喷嘴罩(叶片)。
处理气体喷嘴31、32的下方区域分别成为用于使第1处理气体吸附于晶圆W的第1处理区域(成膜区域)P1以及用于使吸附于晶圆W的第1处理气体的成分与第2处理气体发生反应的第2处理区域P2。如后所述,等离子体产生用气体喷嘴34的下方侧的区域成为用于对晶圆W进行等离子体改性处理的改性区域(等离子体产生区域)S1。分离气体喷嘴41、42分别用于形成分离第1处理区域P1和第2处理区域P2的分离区域D。为了阻止各处理气体之间的混合,在分离区域D中的真空容器1的顶板11上,配置有作为凸状部4的下表面的较低的顶面。
接着,说明前述的等离子体处理部80。如图1和图6所示,该等离子体处理部80通过将由金属线构成的天线83绕铅垂轴线卷绕成螺旋状而构成,以在俯视时自旋转台2的中央部侧至外周部侧地跨越晶圆W的通过区域的方式配置。如图4所示,该天线83为了包围沿着旋转台2的半径方向延伸的带状区域而形成大致八边形。对于与该天线83相关的电路,将在后面进行说明。
天线83以与真空容器1的内部区域气密地划分的方式配置。即,前述的等离子体产生用气体喷嘴34的上方侧的顶板11在俯视时呈大致扇形地开口,如图6所示,利用由例如石英等电介体构成的筐体90气密地堵塞该开口。该筐体90的周缘部在整个周向上呈凸缘状地水平伸出,并且该筐体90的中央部以朝向真空容器1的内部区域凹陷的方式形成,在该筐体90的内侧容纳有上述天线83。图1中的附图标记11a是设于筐体90和顶板11之间的密封构件,附图标记91是用于朝下方侧按压筐体90的周缘部的按压构件。此外,图1中的附图标记86是用于将天线83电连接于后述的等离子体高频电源85的连接电极。
为了防止氮气、臭氧气体等进入该筐体90的下方区域,如图1所示,筐体90的下表面的外缘部在整个周向上朝下方侧(旋转台2侧)垂直伸出,形成气体限制用的突起部92。并且,在由该突起部92的内周面、筐体90的下表面及旋转台2的上表面所围出的区域中,容纳有前述的等离子体产生用气体喷嘴34。
如图1、图4及图6所示,在筐体90和天线83之间配置有上表面侧开口的大致箱型的法拉第屏蔽件95作为上侧偏压电极,该法拉第屏蔽件95由作为导电性板状体的金属板构成。法拉第屏蔽件95以该法拉第屏蔽件95的水平面相对于旋转台2上的晶圆W水平的方式配置。对于与该法拉第屏蔽件95相关的电路,将在后面进行说明。
为了阻止在天线83中产生的电场和磁场(电磁场)中的电场成分去往下方的晶圆W并使磁场到达晶圆W,在法拉第屏蔽件95的水平面上形成有狭缝97。该狭缝97以沿着与天线83的卷绕方向正交(交叉)的方向延伸的方式形成,沿着天线83地在整个周向上设于该天线83的下方位置。为了使法拉第屏蔽件95和天线83绝缘,在该法拉第屏蔽件95和天线83之间夹设有例如由石英构成的绝缘板94。
并且,如图1和图7所示,在法拉第屏蔽件95的下方侧的真空容器1的底面部形成有开口部121,该开口部121以呈俯视时与天线83的配置区域相同或比该区域稍大的椭圆形状的方式开口。下方侧开口且中空的大致圆筒形的绝缘构件122自下方侧气密地插入到该开口部121内,该绝缘构件122形成为俯视时与开口部121同样呈椭圆形状。绝缘构件122的下端侧外周端在整个周向上朝外侧呈凸缘状伸出,利用沿周向设于该下端侧外周端的上表面侧的O型密封圈等密封构件123与真空容器1的底面部气密地接触。若将该绝缘构件122和旋转台2之间的区域称为等离子体非激发区域S2,则为了对该等离子体非激发区域S2排除后述的等离子体阻止用气体,在绝缘构件122的上表面部的大致中央部,形成有沿上下方向贯通该绝缘构件122的气体喷出口124。在该例子中,绝缘构件122由例如石英等电介体构成。
接着,详述下侧偏压电极120。该下侧偏压电极120用于使该下侧偏压电极120和法拉第屏蔽件95电容耦合而朝旋转台2上的晶圆W引入等离子体中的离子,该下侧偏压电极120隔着间隙区域位于该旋转台2的下方侧。即,下侧偏压电极120呈下端侧开口且该下端侧外周端朝外侧呈凸缘状伸出的大致圆筒形状,容纳于前述的绝缘构件122的内部。在该例子中,下侧偏压电极120由例如镍(Ni)、铜(Cu)等导电构件构成。
并且,该下侧偏压电极120的下端侧外周端为了避免与真空容器1的底面部接触,而以位于比绝缘构件122的外端部靠内侧的位置的方式配置,并利用设于该下端侧外周端的上表面侧的O型密封圈等密封构件125相对于绝缘构件122气密地配置。因此,下侧偏压电极120以不与旋转台2接触的方式(非接触的方式)且相对于真空容器1电绝缘的方式配置。
在下侧偏压电极120的大致中央部,与绝缘构件122的气体喷出口124的配置位置相对应地形成有上下贯通该下侧偏压电极120的上端面的贯通口126。如图1所示,为了向等离子体非激发区域S2供给等离子体阻止用气体(例如氮气(N2)、氦气(He)等),在该贯通口126的下方侧气密地设有由导电构件构成的流路构件127。
如图1所示,在下侧偏压电极120的下方侧配置有封闭构件140,该封闭构件140由例如石英等绝缘体构成且形成为大致圆板状。封闭构件140的外周端在真空容器1的底面部和下侧偏压电极120的外周端之间,在整个周向上朝上方侧的绝缘构件122立起。因此,当自下方侧向真空容器1的开口部121中依次插入绝缘构件122、下侧偏压电极120及封闭构件140,且利用例如未图示的螺栓等将该封闭构件140固定于真空容器1的底面部时,绝缘构件122与真空容器1气密地接触。此外,下侧偏压电极120与绝缘构件122气密地接触。此外,利用封闭构件140使下侧偏压电极120和真空容器1之间电绝缘。
并且,如图9的下侧放大表示的那样,绝缘构件122的上表面位于旋转台2的下表面侧的槽部2a内,并且,旋转台2上的晶圆W和下侧偏压电极120在整个面内平行。上述旋转台2的下表面和绝缘构件122的上表面之间的分开尺寸t例如为0.5mm~3mm。图8表示自下侧观察真空容器1时的平面视图,封闭构件140在与卷绕有天线83的区域相对应的位置上以大于该区域的方式形成。另外,在图7中,省略了对密封构件123、125的绘制。
在旋转台2的外周侧配置有环状的边环(side ring)100,在前述的筐体90的外缘侧的边环100的上表面形成有用于避开该筐体90而使气体通流的槽状的气体流路101。在该边环100的上表面分别与第1处理区域P1及第2处理区域P2相对应地形成有排气口61、62。如图1所示,上述第1排气口61及第2排气口62分别利用夹设有蝶阀等压力调整部65的排气管63与作为排气机构的例如真空泵64相连接。
如图2~图4所示,在真空容器1的侧壁形成有用于在未图示的外部的输送臂和旋转台2之间交接晶圆W的输送口15,该输送口15利用闸阀G构成为能够气密地自由开闭。此外,在旋转台2的面对该输送口15的位置的下方侧设有通过旋转台2的贯通口自背面侧上推晶圆W的升降销(均未图示)。
接着,详述以上说明的天线83、法拉第屏蔽件95及下侧偏压电极120各自的电路。如图10所示,在天线83上,借助开关84a、适配器(matching box)84b及滤波器84c连接有频率例如为13.56MHz及输出功率例如为5000W的高频电源85。另外,滤波器84c用于阻止(切断)后述的高频电源128的频率带的信号。
此外,法拉第屏蔽件95借助例如包括可变电容器400、电感器401等的偏压引入电路402接地。在该偏压引入电路402的前级侧(法拉第屏蔽件95侧)设有用于检测电流值的检测部403,基于检测部403的检测值例如利用驱动器(actuator,未图示)调整可变电容器400的电容值。具体而言,以使上述电流值大于事先求得的最大值附近的设定值的方式调整法拉第屏蔽件95和下侧偏压电极120之间的阻抗,从而抑制高频流过异常路径,防止异常放电。
或者,也可以利用后述的控制部200自动调整法拉第屏蔽件95和下侧偏压电极120之间的阻抗。在这样自动调整上述阻抗的情况下,检测部403也可以构成为代替检测电流值,而测定法拉第屏蔽件95和下侧偏压电极120之间的阻抗(主要为电抗成分),或者检测该电流值并同时测定法拉第屏蔽件95和下侧偏压电极120之间的阻抗(主要为电抗成分)。并且,也可以根据上述阻抗的变化预先确定事先如何调整可变电容器400的电容值,具体而言,是以阻抗增加时增加上述电容值的方式进行调整,还是以阻抗增加时减少电容值的方式进行调整。即,既可以一边监控控制参数(电流值、阻抗),一边由控制部200自动调整阻抗,也可以事先调好阻抗。因此,在借助控制部200自动调整上述阻抗的情况下,在整个等离子体处理期间防止了异常放电。
并且,在下侧偏压电极120(详细而言为流路构件127)上,借助开关131、整合器132及滤波器133电连接有频率为50kHz~40MHz和输出功率为500W~5000W的高频电源128。在该例子中,该高频电源128的频率和前述的等离子体产生用的等离子体高频电源85的频率是互不相同的频率(高频电源128的频率:13.56MHz~100MHz)。该高频电源128和前述的偏压引入电路402的各接地侧利用未图示的导电路相互连接。
滤波器133用于切断等离子体产生用的等离子体高频电源85的频率带的信号,例如与用于检测流过该滤波器133的电流值的电流检测部134相连接。另外,作为电流检测部134,也可以是代替上述电流值而检测滤波器133的电压,或者检测该电流值并同时检测滤波器133的电压的结构。
因此,如图10示意性地表示的那样,由以上说明的下侧偏压电极120和法拉第屏蔽件95构成的结构形成一对对置电极,并且分别配置在当晶圆W位于改性区域S1的下方侧时在俯视状态下与该晶圆W重合的位置上。并且,利用自高频电源128向下侧偏压电极120供给的高频电力使上述对置电极之间形成电容耦合,可以说产生偏压空间S3。因此,利用等离子体处理部80形成于真空容器1内的等离子体中的离子如后述那样在该偏压空间S3中沿上下方向振动(移动)。因此,当晶圆W通过旋转台2的旋转而位于该偏压空间S3时,离子在上下运动的过程中与该晶圆W发生碰撞,因此,离子被引入晶圆W。另外,在图1中,省略了以上说明的电路。
此外,如图1所示,在该成膜装置中设有用于控制整个装置的动作的由计算机构成的控制部200,在该控制部200的存储器内存储有用于进行后述的成膜处理及等离子体改性处理的程序。并且,在进行等离子体改性处理时,控制部200具有用于调整真空容器1内产生的等离子体的密度的反馈功能。具体而言,控制部200构成为基于在与下侧偏压电极120相连接的滤波器133中流过的电流的电流值,而调整该滤波器133的电抗、适配器84b的电容值。该程序为了执行后述的装置的动作而编成步骤组,自硬盘、光盘、光磁盘、存储卡、软盘等作为存储介质的存储部201安装到控制部200内。
接着,说明上述实施方式的作用。首先,开放闸阀G,一边使旋转台2间歇旋转,一边利用未图示的输送臂通过输送口15将例如5张晶圆W载置于旋转台2上。如图11所示,在各晶圆W的表面上形成有由槽、孔等构成的凹部10,该凹部10的纵横比(凹部10的深度尺寸÷凹部10的宽度尺寸)的大小例如为数十至过百。接着,关闭闸阀G,利用真空泵64使真空容器1内呈抽净的状态,并使旋转台2以例如2rpm~240rpm的转速顺时针旋转。并且,利用加热器单元7将晶圆W加热到例如300℃左右。
接着,自处理气体喷嘴31、32分别喷出第1处理气体和第2处理气体,并自等离子体产生用气体喷嘴34喷出等离子体产生用气体。此外,为了使等离子体非激发区域S2的气体压力相对于改性区域S1呈正压(高压),即为了阻止在区域S2中产生等离子体,向该区域S2喷出等离子体阻止用气体。该等离子体阻止用气体在旋转台2的下方侧流通后自排气口62排出。
此外,以规定的流量自分离气体喷嘴41、42喷出分离气体,自分离气体供给管51和吹扫用气体供给管72、72也以规定的流量喷出氮气。并且,利用压力调整部65将真空容器1内调整为预先设定的处理压力。此外,分别向天线83和下侧偏压电极120供给高频电力。
在第1处理区域P1中,如图12所示,在晶圆W的表面吸附第1处理气体的成分而生产吸附层300。接着,如图13所示,在第2处理区域P2中,晶圆W上的吸附层300被氧化,形成一层或多层作为薄膜成分的硅氧化膜(SiO2)的分子层而形成作为反应生成物的反应层301。在该反应层301中,有时会由于例如第1处理气体所含的残余基而残留有水分(OH基)、有机物等杂质。
在等离子体处理部80中,利用自等离子体高频电源85供给的高频电力产生电场和磁场。上述电场和磁场中的电场被法拉第屏蔽件95反射或吸收(衰减),阻碍其到达真空容器1内。另一方面,由于在法拉第屏蔽件95上形成有狭缝97,因此,磁场通过该狭缝97,借助筐体90的底面而到达真空容器1内的改性区域S1。
因此,自等离子体产生用气体喷嘴34喷出的等离子体产生用气体在磁场的作用下活化,例如生成离子(氩离子:Ar)、自由基等等离子体。如上所述,由于围绕沿旋转台2的半径方向延伸的带状体区域地配置有天线83,因此,该等离子体在天线83的下方侧沿着旋转台2的半径方向延伸地呈大致线状。
在此,等离子体沿着天线83的卷绕方向可以说欲呈平面分布。但是,由于使法拉第屏蔽件95和下侧偏压电极120之间电容耦合而形成了高频电场,因此,该等离子体中的离子受到上下方向的电场作用,因此,如上所述,离子被引入晶圆W侧。因此,如图14所示,等离子体中的离子不仅与晶圆W的表面(彼此相邻的凹部10、10之间的水平面)接触,而且还与凹部10的内壁面、该凹部10的底面也发生接触。当氩离子这样与反应层301碰撞时,自反应层301放出水分、有机物等杂质,引起反应层301内的元素的重新排列,实现该反应层301的致密化(高密度化)等,该反应层301被改性。因此,改性处理在晶圆W的整个面内且在凹部10的整个深度方向上均等地进行。
然后,通过使旋转台2继续旋转,多次依次进行吸附层300的吸附、反应层301的生成和反应层301的改性处理,通过层叠反应层301而形成薄膜。该薄膜成为在整个面内且在凹部10的整个深度方向上致密且匀质的膜质。另外,在图14中,示意性地示出了法拉第屏蔽件95、下侧偏压电极120以及晶圆W。
在进行以上的一系列工艺期间,由于向第1处理区域P1和第2处理区域P2之间供给氮气,因此,能以避免第1处理气体同第2处理气体及等离子体产生用气体互相混合的方式对各气体进行排气。此外,由于向旋转台2的下方侧供给吹扫用气体,因此,欲向旋转台2的下方侧扩散的气体被上述吹扫用气体挤回到排气口61、62侧。
根据上述的实施方式,在对晶圆W进行等离子体处理时,以在等离子体处理部80的下方侧借助旋转台2上的晶圆W所在的区域形成偏压空间S3的方式,隔着该晶圆W相对地配置法拉第屏蔽件95和下侧偏压电极120。并且,向下侧偏压电极120供给高频电力,使上述下侧偏压电极120和法拉第屏蔽件95电容耦合而形成高频电场。因此,能够将等离子体(氩离子)引入晶圆W侧,因此,即使在该晶圆W的表面形成有纵横比大的凹部10,也能在凹部10的整个深度方向上均等地进行等离子体改性处理,因此,能形成膜质均匀性优异的薄膜。
此外,由于在等离子体处理部80的正下方形成偏压空间S3,可以说使改性区域S1和偏压空间S3彼此重合,因此,能抑制在该改性区域S1以外的区域产生不必要的等离子体。即,如上所述,要在天线83的下方位置产生等离子体,但是,有时在例如真空容器1内局部压力低的地方、暴露出真空容器1的内壁面等金属面的地方等会意外地产生(扩散)等离子体。并且,这样的意外的等离子体若与例如Si类气体发生干扰,则会在吸附于晶圆W之前引起气体分解,从而导致膜质劣化。但是,如前面详述的那样,在天线83的下方侧形成偏压空间S3,将等离子体(离子)引入晶圆W侧。因此,能够一边进行等离子体改性处理,一边抑制产生意外的等离子体。
此外,由于在法拉第屏蔽件95和下侧偏压电极120之间形成电容耦合而将离子引入晶圆W侧,因此,当离子与晶圆W发生碰撞时,该离子的碰撞能量会转换为热量而使该晶圆W的温度上升。该晶圆W的温度变化(温度上升)与向高频电源128供给的电力量成正比。因此,在进行晶圆W上的反应生成物的改性处理时,不仅能向该晶圆W供给离子,还能使晶圆W的温度上升,因此,能够与晶圆W的温度上升量相应地形成膜质更好的薄膜。
偏压用的高频不限定于一种频率,也可以是两种频率(使用频率互不相同的两个高频电源),还可以是三种以上频率。即,通过向下侧偏压电极120连接频率互不相同的高频电源,能够调整晶圆W的中心部和外缘部之间的等离子体处理的程度,因此,能在晶圆W的整个面内形成膜质一致的薄膜。
图15表示作为使法拉第屏蔽件95和下侧偏压电极120电容耦合的结构,代替将高频电源128连接于下侧偏压电极120,而是将高频电源128连接于相当于上侧偏压电极的法拉第屏蔽件95的例子。下侧偏压电极120借助偏压引入电路402接地。此外,图16表示作为用于形成电容耦合的高频电源,代替高频电源128而利用等离子体产生用的等离子体高频电源85的例子。即,在图16中,等离子体高频电源85与天线83及法拉第屏蔽件95并联。通过将等离子体产生用的等离子体高频电源85和偏压电场形成用的高频电源128共用化,能够廉价地构成装置。另外,在图15和图16中,对于前面已经说明过的构件标注与前述的例子相同的附图标记而省略说明,并且在绘制时简化了装置结构。对于以后的其他例子也是一样的。
在这样将高频电源128(85)连接于法拉第屏蔽件95侧的情况下,也可以如上所述使用两种频率或三种以上频率的电源,或者,也可以将法拉第屏蔽件95和下侧偏压电极120分别各连接于两种以上频率的电源。
图17表示下侧偏压电极120连接于高频电源128、且法拉第屏蔽件95也连接于另一高频电源143的例子。在该例子中,高频电源143的振荡频率为与高频电源128的频率相同的频率。在该情况下,能够调整高频电源128、143各自的相位,因此,通过例如将高频电源128、143调整为互逆相位,与前述的图1的结构相比,能够使偏压电场的强度增大至2倍左右。因此,能够在凹部10的整个深度方向上进行更均匀的等离子体处理。另外,也可以对向高频电源128、143供给的高频电力分别进行调整。
在图17中,在向法拉第屏蔽件95供给高频电力时,也可以代替高频电源143而如图16那样利用等离子体产生用的等离子体高频电源85。在这样法拉第屏蔽件95连接于等离子体产生用的等离子体高频电源85的情况下,也可以使向下侧偏压电极120供给的高频电源128也为与等离子体高频电源85的频率相同的频率。
在此,在如图17那样向法拉第屏蔽件95和下侧偏压电极120供给相同频率的高频电力的情况下,优选使高频电源128、143共用化。即,优选将高频电源128与法拉第屏蔽件95及下侧偏压电极120例如并联起来。并且,在一边这样使用共用的高频电源128,一边使向法拉第屏蔽件95和下侧偏压电极120供给的高频的相位为逆相位的情况下,例如也可以采用图18那样的结构。即,在图18中,使用两个变压器耦合而使自共用的高频电源128向法拉第屏蔽件95和下侧偏压电极120供给的高频为互逆位相。
具体而言,形成三个分别绕自一侧(左侧)向另一侧(右侧)延伸的轴线卷绕的绕组(线圈)151~153,并且以使各绕组151~153的上述轴线排成一列的方式自该一侧向另一侧依次配置上述绕组151~153。并且,对于上述三个绕组151~153中的左侧的绕组151,将其左侧的端子接地,且在其右侧的端子上连接法拉第屏蔽件95。此外,对于三个绕组151~153中的中央的绕组152,将其左侧的端子和右侧的端子分别连接于高频电源128和地线。此外,对于右侧的绕组153,将其左侧的端子连接于下侧偏压电极120,且将其右侧的端子接地。这样,能以逆相位自共用的高频电源128向法拉第屏蔽件95和下侧偏压电极120供给高频电力。
此外,图19表示在这样使用共用的高频电源128且以逆相位向法拉第屏蔽件95和下侧偏压电极120供给高频电力时利用一个变压器耦合的例子。具体而言,在法拉第屏蔽件95和下侧偏压电极120之间配置与前述的图18同样构成的绕组154,并且与该绕组154相邻地配置与高频电源128相连接的绕组152。因此,在该例子中,绕组154的卷绕轴线和绕组152的卷绕轴线彼此相邻且互相平行。并且,以互逆相位向上述法拉第屏蔽件95和下侧偏压电极120供给高频电力。
在如以上的图18和图19那样,自共用的高频电源128向法拉第屏蔽件95和下侧偏压电极120供电的情况下,也可以调整分别向上述法拉第屏蔽件95和下侧偏压电极120供给的电力量。具体而言,也可以在高频电源128和法拉第屏蔽件95之间以及高频电源128和下侧偏压电极120之间,分别配置感应耦合用的线圈和阻抗调整用的可变电容器。此外,在如以上那样对法拉第屏蔽件95和下侧偏压电极120设置共用的高频电源128的情况下,也可以使该高频电源128和等离子体产生用的高频电源85共用化。即,共用的高频电源85也可以分别与天线83、法拉第屏蔽件95及下侧偏压电极120并联。
再者,如图20所示,作为与下侧偏压电极120相对的电极,也可以利用真空容器1的内壁面和法拉第屏蔽件95。即,真空容器1例如由铝等导电材料构成,真空容器1的内壁面靠近旋转台2的外周面。因此,作为与下侧偏压电极120相对的上侧偏压电极,也可以一并利用法拉第屏蔽件95和上述内壁面。在真空容器1的内壁面和法拉第屏蔽件95上各自连接有偏压引入电路402。图20中的附图标记160是用于使上述内壁面和真空容器1的其他内壁面之间绝缘而形成为呈环状地包围与偏压空间S3相邻的内壁面的绝缘构件。即,以使得仅真空容器1的内壁面中的面对偏压空间S3的内壁面构成上侧偏压电极的方式设置绝缘构件160。
此外,对于上侧偏压电极,也可以代替将其设于旋转台2上的晶圆W的高度位置的上方侧(法拉第屏蔽件95、真空容器1的内壁面),而将其设于与旋转台2上的晶圆W相同的高度位置。具体而言,如图21所示,也可以在旋转台2上配置在整个周向上呈环状地包围晶圆W的由导电材构成的聚焦环161(focus ring),将该聚焦环161用作上述电极。聚焦环161例如借助在旋转轴22的内部环绕的未图示的导电路与偏压引入电路402相连接。图21中的附图标记162是用于使晶圆W和聚焦环161之间绝缘的由绝缘体构成的环构件或空隙空间,如图22所示,聚焦环161和晶圆W一同配置于旋转台2。
对于设置聚焦环161的情况,也是形成自聚焦环161的上表面经由晶圆W的配置区域去往下侧偏压电极120的电场,等离子体中的离子同样被引入晶圆W。另外,在上述电场的基础上,也形成自聚焦环161的下表面直接去往下侧偏压电极120的电场。
对于设有这样的聚焦环161的情况,也可以采用前述的图15~图19那样的结构,或者将法拉第屏蔽件95、真空容器1的内壁面与聚焦环161一同用作上侧偏压电极。另外,也可以不设置环构件162,而是使晶圆W和聚焦环161彼此直接接触。
此外,在天线83的下方侧配置了下侧偏压电极120,但是,例如在调整旋转台2的旋转方向上的等离子体分布状态等情况下,如图23所示,例如也可以使下侧偏压电极120相对于天线83朝上述旋转方向上游侧偏移。
综上,下侧偏压电极120也可以设于旋转台2上的晶圆W的高度位置的下方侧。另一方面,与该下侧偏压电极120相对的电极设于与旋转台2上的晶圆W的高度位置相同的位置(聚焦环161),或设于该高度位置的上方侧(法拉第屏蔽件95、真空容器1的内壁面)。并且,下侧偏压电极120和与该下侧偏压电极120相对的电极各自也可以不配置在俯视时与改性区域S1重合的位置。此外,对于下侧偏压电极120,也可以构成为将下部偏压电极120插入到旋转台2内部,并且,例如利用导电材料构成旋转台2、旋转轴22等,借助例如未图示的集电环机构向该旋转轴22供电。此外,对于天线83,将其一端侧的端子连接于高频电源85,并将其另一端侧的端子接地,但也可以将上述一端侧和另一端侧分别连接于高频电源85。此外,也可以将天线83的一端侧的端子连接于高频电源85,并使另一端侧的端子呈浮动状态(以自周围的导电部浮起的状态支承)。
再有,在以上所述的各例子中,卷绕天线83作为等离子体处理部80而产生感应耦合型的等离子体(ICP),但也可以产生电容耦合型的等离子体(CCP)。在该情况下,如图24所示,在等离子体产生用气体喷嘴34的、旋转台2的旋转方向下游侧配置一对对置电极170、170。并且,如图25所示,将上述对置电极170、170中的一个对置电极170连接于前述的高频电源85,将另一个对置电极170接地。在图25中,偏压用的高频电源利用了该高频电源85,即,将偏压用的高频电源与等离子体产生用的高频电源共用化,与上侧偏压电极相连接。并且,该上侧偏压电极代替法拉第屏蔽件95例如使用圆板状的电极板171。
在这样利用电容耦合型的等离子体进行等离子体产生用气体的等离子体化的情况下,也可以向下侧偏压电极120和上侧偏压电极(法拉第屏蔽件95、电极板171)之间施加高频电力。或者,分别与上述下侧偏压电极120和上侧偏压电极相邻地设置专用于等离子体产生用的一对对置电极。
此外,下侧偏压电极120也可以配置于真空容器1的外侧(真空容器1的底面部的下侧)。
作为形成以上说明的硅氧化膜时所用的第1处理气体,也可以使用以下的表1的化合物。另外,在以下的各表中,所谓“原料A范围”表示第1处理区域P1,所谓“原料B范围”表示第2处理区域P2。此外,以下的各气体为例示,对已经说明过的气体也一并进行了记载。
(表1)
此外,作为用于氧化表1的第1处理气体的第2处理气体,也可以使用表2的化合物。
(表2)
另外,该表2中的“等离子体+O2”、“等离子体+O3”表示例如在第2处理气体喷嘴32的上方侧设置前述的等离子体处理部80,使上述氧气、臭氧气体等离子体化来进行使用。
此外,也可以将前述的表1的化合物用作第1处理气体,并将由表3的化合物构成的气体用作第2处理气体,来形成硅氧化膜(SiN膜)。
(表3)
另外,对于该表3中的“等离子体”,也与表2同样,表示使前面带有“等离子体”字样的各气体等离子体化来使用。
此外,也可以分别使用由表4的化合物构成的气体作为第1处理气体和第2处理气体,而形成碳化硅(SiC)膜。
(表4)
再有,也可以使用上面举出的表4的第1处理气体来形成硅膜(Si膜)。即,在该情况下,不设置第2处理气体喷嘴32,旋转台2上的晶圆W隔着分离区域D交替通过第1处理区域(成膜区域)P1和改性区域S1。并且,在第1处理区域P1中,当在晶圆W的表面吸附了第1处理气体的成分而形成吸附层300时,在通过旋转台2而进行旋转的期间,利用加热器单元7的热量在晶圆W的表面引起吸附层300的热分解而使氢、氯等杂质逐渐脱离。因此,通过吸附层300的热分解反应逐渐形成反应层301。
但是,由于旋转台2是绕铅垂轴线旋转的,因此,旋转台2上的晶圆W通过第1处理区域P1之后到达改性区域S1的时间,即用于自吸附层300排出杂质的时间极短。因此,在即将到达改性区域S1之前的晶圆W的反应层301中依然含有杂质。因此,通过在改性区域S1向晶圆W供给例如氩气的等离子体而自反应层301除去杂质,能获得膜质良好的反应层301。通过这样交替通过区域P1、S1,层叠多层反应层301而形成硅膜。因此,在本发明的实施方式中,所谓“等离子体改性处理”除了自反应层301除去杂质而进行该反应层301的改性的处理之外,也包括用于使吸附层300发生反应(热分解反应)的处理。
作为硅膜的等离子体处理所用的等离子体产生用气体,使用能产生赋予晶圆W离子能的等离子体的气体,具体而言,除了前述的氩气之外,使用氦(He)气等稀有气体或氢气等。
此外,在形成硅膜时,也可以使用表5的掺杂材料作为第2处理气体,在该硅膜中掺杂硼(B)、磷(P)。
(表5)
此外,也可以通过使用由以下的表6所示的化合物构成的气体作为第1处理气体并使用前述的第2处理气体,而形成金属氧化膜、金属氮化膜、金属碳化膜或High-k膜(高介电率膜)。
(表6)
此外,作为等离子体改性用气体或与该等离子体改性用气体一同使用的等离子体离子注入气体,也可以使用由以下的表7的化合物构成的气体的等离子体。
(表7)
另外,在该表7中,对于含有氧元素(O)的等离子体、含有氮元素(N)的等离子体以及含有碳元素(C)的等离子体,也可以分别仅用于形成氧化膜、氮化膜以及碳化膜的工艺。
此外,以上说明的等离子体改性处理在每次旋转台2旋转时,即在每次形成反应层301时进行,但是,例如也可以在每层叠10层~100层的反应层301时进行。在该情况下,在成膜开始时先停止向等离子体高频电源85、128供电,使旋转台2与反应层301的层叠数量相应地旋转之后,停止向喷嘴31、32供给气体,并对上述等离子体高频电源85、128供电而进行等离子体改性。然后,再次反复进行反应层301的层叠和等离子体改性。
再有,也可以对已经形成了薄膜的晶圆W进行等离子体改性处理。在该情况下,在真空容器1内不设置各气体喷嘴31、32、41、42,而是配置等离子体产生用气体喷嘴34、旋转台2以及下侧偏压电极120等。即使在这样在真空容器1内仅进行等离子体改性处理的情况下,也能利用偏压空间S3将等离子体(离子)引入到凹部10内,因此,能够在该凹部10的整个深度方向上进行均匀的等离子体改性处理。
再有,作为对晶圆W进行的等离子体处理,也可以代替改性处理而进行处理气体的活化。具体而言,也可以将等离子体处理部80与前述的第2处理气体喷嘴32组合,并在该喷嘴32的下方侧配置下侧偏压电极120。在该情况下,自喷嘴32喷出的处理气体(氧气)利用等离子体处理部80活化而生成等离子体,该等离子体被引入晶圆W侧。因此,能够在凹部10的整个深度方向上,使反应层301的膜厚、膜质一致。
即使在这样使处理气体等离子体化的情况下,也可以一并进行处理气体的等离子体化和前述的等离子体改性处理。此外,作为使处理气体等离子体化的具体工艺,除了适用于前述的Si-O类的薄膜的成膜以外,例如也适用于Si-N(氮化硅)类的薄膜。在形成该Si-N类的薄膜的情况下,作为第2处理气体,使用含有氮(N)的气体,例如氨气(NH3)。
[附记]
附记(1):
一种成膜装置,其在真空容器内对基板进行成膜处理,其特征在于,
该成膜装置包括:
旋转台,其用于使载置基板的基板载置区域公转;
成膜区域,其包括向上述基板载置区域供给处理气体的处理气体供给部,且用于伴随上述旋转台的旋转在上述基板上依次层叠分子层或原子层而形成薄膜;
等离子体处理部,其用于在等离子体产生区域中利用由等离子体产生用气体的等离子体化生成的等离子体对上述分子层或原子层进行改性处理,上述等离子体产生区域在上述旋转台的旋转方向上与该成膜区域分开地设置;
下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入基板的表面,该下侧偏压电极设于上述旋转台上的基板的高度位置的下方侧,该上侧偏压电极配置在与上述高度位置相同的位置或配置于该高度位置的上方侧;
高频电源部,其与上述偏压电极中的至少一方相连接,用于使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在基板上形成偏压电位;
排气机构,其用于对上述真空容器内进行排气。
附记(2):
根据附记(1)所述的成膜装置,其特征在于,
上述等离子体处理部为了在等离子体产生区域产生感应耦合等离子体,而具有绕铅垂轴线卷绕并与等离子体产生用高频电源相连接的天线;
上述上侧偏压电极是设于上述天线和上述等离子体产生区域之间的导电板,该导电板阻断由上述天线形成的电磁场的电场,且为了使磁场通过而沿着天线的长度方向排列有多条狭缝,多条狭缝以与上述天线的延伸方向交叉的方式形成。
附记(3):
根据附记(1)或(2)所述的成膜装置,其特征在于,
上述下侧偏压电极和上述上侧偏压电极分别以与上述旋转台上的基板之间存在间隙区域的方式配置。
附记(4):
根据附记(1)~(3)中任一项所述的成膜装置,其特征在于,
该成膜装置包括:
其他处理气体供给部,其设于在旋转台的旋转方向上与上述处理气体供给部分开的位置,且用于供给与自该处理气体供给部供给的处理气体发生反应的气体;
分离气体供给部,其用于向为了使被分别自上述处理气体供给部和上述其他处理气体供给部供给了气体的处理区域之间彼此分离而设于上述处理区域之间的分离区域分别供给分离气体。
附记(5):
根据附记(1)所述的成膜装置,其特征在于,
上述等离子体处理部具有用于使等离子体产生用气体等离子体化的等离子体产生用高频电源,该等离子体产生用高频电源兼作上述高频电源部。
附记(6):
上述等离子体处理部具有为了在上述等离子体产生区域产生电容耦合等离子体而以彼此相对的方式配置的一对对置电极。
附记(7):
一种基板处理装置,其特征在于,
该基板处理装置包括:
旋转台,其为了使载置基板的基板载置区域公转而设于真空容器内;
等离子体处理部,其用于为了在等离子体产生区域对基板进行等离子体处理,而向上述基板载置区域供给使等离子体产生用气体等离子体化而生成的等离子体;
下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入基板的表面,该下侧偏压电极设于上述旋转台上的基板的高度位置的下方侧,该上侧偏压电极配置在与上述高度位置相同的位置或配置于该高度位置的上方侧;
高频电源部,其与上述偏压电极中的至少一方相连接,用于使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在基板上形成偏压电位;
排气机构,其用于对上述真空容器内进行排气。
附记(8):
一种成膜方法,其用于在真空容器内对基板进行成膜处理,其特征在于,
该成膜方法包括如下工序:
将表面形成有凹部的基板载置于旋转台上的基板载置区域,并使该基板载置区域公转;
接着,向上述基板载置区域的基板供给处理气体,在该基板上形成分子层或原子层;
接着,向上述真空容器内的等离子体产生区域供给等离子体产生用气体,并使该等离子体产生用气体等离子体化而利用等离子体进行上述分子层或原子层的改性处理;
通过向设于上述旋转台上的基板的高度位置的下方侧的下侧偏压电极和配置在与上述高度位置相同的位置或配置于该高度位置的上方侧的上侧偏压电极中的至少一方供电,使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在基板上形成偏压电位,而向该基板的表面引入等离子体中的离子;
对上述真空容器内进行排气。
参照附图记载了本发明的例示的实施方式和具体的的例子,但本发明不限定于任一例示的实施方式和具体的例子,并且,例示的实施方式和具体的例子可在不脱离本发明的范围的情况下进行变形、变更或组合。
本申请基于2012年12月14日申请的日本国特愿第2012-273581号主张优先权,并且在此援引日本国特愿第2012-273581号的全部内容。

Claims (8)

1.一种成膜装置,其构成为在真空容器内对基板进行成膜处理,其中,
该成膜装置包括:
旋转台,其构成为使基板载置区域进行公转,该基板载置区域构成为载置上述基板;
成膜区域,其包括构成为向上述基板载置区域供给处理气体的处理气体供给部,且构成为伴随上述旋转台的旋转在上述基板上依次层叠分子层或原子层而形成薄膜;
等离子体处理部,其构成为在等离子体产生区域中利用由等离子体产生用气体的等离子体化生成的等离子体对上述分子层或原子层进行改性处理,上述等离子体产生区域在上述旋转台的旋转方向上与上述成膜区域分开地设置;
下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入上述基板的表面,该下侧偏压电极设于上述旋转台上的上述基板的高度位置的下方侧,该上侧偏压电极配置在与上述高度位置相同的位置或配置于该高度位置的上方侧;
高频电源部,其构成为与上述下侧偏压电极和上述上侧偏压电极中的至少一方相连接,使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在上述基板上形成偏压电位;
排气机构,其构成为对上述真空容器内进行排气。
2.根据权利要求1所述的成膜装置,其中,
上述等离子体处理部为了在等离子体产生区域产生感应耦合等离子体,而具有绕铅垂轴线卷绕并与等离子体产生用高频电源相连接的天线;
上述上侧偏压电极是设于上述天线和上述等离子体产生区域之间的导电板,该导电板阻断由上述天线形成的电磁场中的电场,且为了使磁场通过而沿着天线的长度方向排列有多条狭缝,该多条狭缝以与上述天线的延伸方向交叉的方式形成。
3.根据权利要求1所述的成膜装置,其中,
上述下侧偏压电极和上述上侧偏压电极分别以与上述旋转台上的基板之间存在间隙区域的方式配置。
4.根据权利要求1所述的成膜装置,其中,
该成膜装置包括:
其他处理气体供给部,其设于在旋转台的旋转方向上与上述处理气体供给部分开的位置,且构成为供给与自该处理气体供给部供给的处理气体发生反应的气体;
分离气体供给部,其构成为向为了使被分别自上述处理气体供给部和上述其他处理气体供给部供给了气体的处理区域之间彼此分离而设于上述处理区域之间的分离区域分别供给分离气体。
5.根据权利要求1所述的成膜装置,其中,
上述等离子体处理部具有构成为使等离子体产生用气体等离子体化的等离子体产生用高频电源,上述等离子体产生用高频电源兼作上述高频电源部。
6.根据权利要求5所述的成膜装置,其中,
上述等离子体处理部具有为了在上述等离子体产生区域产生电容耦合等离子体而以彼此相对的方式配置的一对对置电极。
7.一种基板处理装置,其中,
该基板处理装置包括:
旋转台,其为了使载置基板的基板载置区域公转而设于真空容器内;
等离子体处理部,其构成为为了在等离子体产生区域对基板进行等离子体处理,而向上述基板载置区域供给使等离子体产生用气体等离子体化而生成的等离子体;
下侧偏压电极和上侧偏压电极,为了将等离子体中的离子引入基板的表面,该下侧偏压电极设于上述旋转台上的基板的高度位置的下方侧,该上侧偏压电极配置在与上述高度位置相同的位置或配置于该高度位置的上方侧;
高频电源部,其构成为与上述下侧偏压电极和上述上侧偏压电极中的至少一方相连接,使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在上述基板上形成偏压电位;
排气机构,其构成为对上述真空容器内进行排气。
8.一种成膜方法,其构成为在真空容器内对基板进行成膜处理,其中,
该成膜方法包括如下工序:
将表面形成有凹部的上述基板载置于旋转台上的基板载置区域,并使该基板载置区域公转;
接着,向上述基板载置区域的上述基板供给处理气体,在该基板上形成分子层或原子层;
接着,向上述真空容器内的等离子体产生区域供给等离子体产生用气体,并使上述等离子体产生用气体等离子体化而利用等离子体进行上述分子层或原子层的改性处理;
向设于上述旋转台上的基板的高度位置的下方侧的下侧偏压电极和配置在与上述高度位置相同的位置或配置于该高度位置的上方侧的上侧偏压电极中的至少一方供电,使上述下侧偏压电极和上述上侧偏压电极隔着上述等离子体产生区域进行电容耦合而在上述基板上形成偏压电位,从而向该基板的表面引入等离子体中的离子;
对上述真空容器内进行排气。
CN201310686986.8A 2012-12-14 2013-12-13 成膜装置、基板处理装置及成膜方法 Active CN103866297B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012273581A JP5939147B2 (ja) 2012-12-14 2012-12-14 成膜装置、基板処理装置及び成膜方法
JP2012-273581 2012-12-14

Publications (2)

Publication Number Publication Date
CN103866297A CN103866297A (zh) 2014-06-18
CN103866297B true CN103866297B (zh) 2017-06-09

Family

ID=50905292

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310686986.8A Active CN103866297B (zh) 2012-12-14 2013-12-13 成膜装置、基板处理装置及成膜方法

Country Status (5)

Country Link
US (1) US9583312B2 (zh)
JP (1) JP5939147B2 (zh)
KR (1) KR101672078B1 (zh)
CN (1) CN103866297B (zh)
TW (1) TWI546407B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US9613783B2 (en) * 2014-07-24 2017-04-04 Applied Materials, Inc. Method and apparatus for controlling a magnetic field in a plasma chamber
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
KR20160028612A (ko) * 2014-09-03 2016-03-14 삼성전자주식회사 반도체 제조 장치 및 이를 이용한 반도체 소자의 제조 방법
JP6361495B2 (ja) * 2014-12-22 2018-07-25 東京エレクトロン株式会社 熱処理装置
JP6456185B2 (ja) * 2015-02-26 2019-01-23 東京エレクトロン株式会社 シリコン含有膜の成膜方法
JP6735549B2 (ja) * 2015-11-04 2020-08-05 東京エレクトロン株式会社 基板処理装置、基板処理方法及びリング状部材
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6640608B2 (ja) * 2016-03-02 2020-02-05 東京エレクトロン株式会社 基板処理装置
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10276426B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
JP6620078B2 (ja) 2016-09-05 2019-12-11 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
CN108220922B (zh) * 2016-12-15 2020-12-29 东京毅力科创株式会社 成膜方法、硼膜以及成膜装置
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US20190259647A1 (en) * 2018-02-17 2019-08-22 Applied Materials, Inc. Deposition ring for processing reduced size substrates
JP6981356B2 (ja) * 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2019246041A1 (en) * 2018-06-18 2019-12-26 Applied Materials, Inc. Paired dynamic parallel plate capacitively coupled plasmas
WO2020027593A1 (ko) * 2018-08-01 2020-02-06 한양대학교 산학협력단 전자 및 이온 조절을 이용한 박막 증착 방법
CN110416053B (zh) * 2019-07-30 2021-03-16 江苏鲁汶仪器有限公司 一种电感耦合等离子体处理系统
WO2021044504A1 (ja) 2019-09-02 2021-03-11 株式会社Kokusai Electric 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
TW202226897A (zh) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 濾波器電路
CN113718223A (zh) * 2021-08-27 2021-11-30 北京北方华创微电子装备有限公司 下电极装置及半导体工艺设备
CN116732502A (zh) * 2023-03-28 2023-09-12 成都沃特塞恩电子技术有限公司 一种带有偏压引线的样品台组件及mpcvd系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1185030A (zh) * 1996-11-27 1998-06-17 株式会社日立制作所 等离子体处理设备
CN102110572A (zh) * 2009-12-25 2011-06-29 东京毅力科创株式会社 等离子处理装置
CN102753723A (zh) * 2009-12-03 2012-10-24 朗姆研究公司 小型等离子体腔室系统和方法

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5309063A (en) 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
JPH08213378A (ja) * 1994-11-09 1996-08-20 Hitachi Electron Eng Co Ltd プラズマcvd装置及び酸化膜の成膜方法
JP3122601B2 (ja) * 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP4119547B2 (ja) * 1997-10-20 2008-07-16 東京エレクトロンAt株式会社 プラズマ処理装置
US6149760A (en) * 1997-10-20 2000-11-21 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6451161B1 (en) 2000-04-10 2002-09-17 Nano-Architect Research Corporation Method and apparatus for generating high-density uniform plasma
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP2002237486A (ja) 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US6685799B2 (en) 2001-03-14 2004-02-03 Applied Materials Inc. Variable efficiency faraday shield
JP3903730B2 (ja) 2001-04-04 2007-04-11 松下電器産業株式会社 エッチング方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US20040163595A1 (en) 2003-02-26 2004-08-26 Manabu Edamura Plasma processing apparatus
US7232767B2 (en) 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US20100062602A1 (en) * 2005-04-28 2010-03-11 Phyzchemix Corporation Etching method, method for producing dielectric film of low dielectric constant, method for producing porous member, etching system and thin film forming equipment
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP4888076B2 (ja) * 2006-11-17 2012-02-29 パナソニック株式会社 プラズマエッチング装置
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
JP4875527B2 (ja) 2007-03-29 2012-02-15 三菱重工業株式会社 プラズマ発生装置およびこれを用いた薄膜形成装置
JP4933329B2 (ja) * 2007-03-30 2012-05-16 パナソニック株式会社 プラズマ処理装置
JP2008288437A (ja) 2007-05-18 2008-11-27 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
CN102066602B (zh) * 2008-06-17 2012-10-31 株式会社新柯隆 偏压溅射装置
JP5310283B2 (ja) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP2010126797A (ja) 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
JP4621287B2 (ja) 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
JP5141607B2 (ja) 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5131240B2 (ja) 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
JP5444961B2 (ja) 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5482196B2 (ja) 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2011146464A (ja) * 2010-01-13 2011-07-28 Panasonic Corp プラズマ処理装置
US20110204023A1 (en) 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US20120021252A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
JP5635367B2 (ja) 2010-10-29 2014-12-03 株式会社イー・エム・ディー プラズマ処理装置
US9398680B2 (en) 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
JP5602711B2 (ja) 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1185030A (zh) * 1996-11-27 1998-06-17 株式会社日立制作所 等离子体处理设备
CN102753723A (zh) * 2009-12-03 2012-10-24 朗姆研究公司 小型等离子体腔室系统和方法
CN102110572A (zh) * 2009-12-25 2011-06-29 东京毅力科创株式会社 等离子处理装置

Also Published As

Publication number Publication date
KR101672078B1 (ko) 2016-11-02
CN103866297A (zh) 2014-06-18
TW201441413A (zh) 2014-11-01
US20140170859A1 (en) 2014-06-19
JP2014120564A (ja) 2014-06-30
KR20140077841A (ko) 2014-06-24
JP5939147B2 (ja) 2016-06-22
TWI546407B (zh) 2016-08-21
US9583312B2 (en) 2017-02-28

Similar Documents

Publication Publication Date Title
CN103866297B (zh) 成膜装置、基板处理装置及成膜方法
CN103966575B (zh) 基板处理装置及成膜方法
TWI602943B (zh) 基板處理方法及基板處理裝置
CN102383109B (zh) 成膜装置和成膜方法
JP6011417B2 (ja) 成膜装置、基板処理装置及び成膜方法
TWI625416B (zh) 基板處理裝置及基板處理方法
KR101560864B1 (ko) 성막 장치 및 기판 처리 장치
US20140123895A1 (en) Plasma process apparatus and plasma generating device
CN103572259A (zh) 成膜装置和成膜方法
KR20170092462A (ko) 성막 방법
TWI569692B (zh) 電漿處理裝置及電漿處理方法
TW201516176A (zh) 成膜方法、記憶媒體及成膜裝置
TW201305380A (zh) 成膜方法
US20170167019A1 (en) Plasma processing apparatus and film deposition method
CN106797698A (zh) 原子团气体产生系统
CN104630748B (zh) 等离子体处理装置以及等离子体处理方法
US20210351005A1 (en) Plasma processing apparatus and plasma processing method
US11901158B2 (en) Plasma processing method, plasma processing apparatus, and control apparatus
TW202300684A (zh) 無氦矽形成
KR102658168B1 (ko) 성막 방법
JP2020198390A (ja) 成膜方法及び成膜装置
TW202319567A (zh) 利用分子層沉積的選擇性圖案化

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant