JP2014120564A - 成膜装置、基板処理装置及び成膜方法 - Google Patents

成膜装置、基板処理装置及び成膜方法 Download PDF

Info

Publication number
JP2014120564A
JP2014120564A JP2012273581A JP2012273581A JP2014120564A JP 2014120564 A JP2014120564 A JP 2014120564A JP 2012273581 A JP2012273581 A JP 2012273581A JP 2012273581 A JP2012273581 A JP 2012273581A JP 2014120564 A JP2014120564 A JP 2014120564A
Authority
JP
Japan
Prior art keywords
plasma
substrate
bias electrode
region
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012273581A
Other languages
English (en)
Other versions
JP5939147B2 (ja
Inventor
Jun Yamawaki
山涌  純
Chishio Koshimizu
地塩 輿水
Yohei Yamazawa
陽平 山澤
Mitsuhiro Tachibana
光博 立花
Hisashi Kato
寿 加藤
Takeshi Kobayashi
健 小林
Shigehiro Miura
繁博 三浦
Takafumi Kimura
隆文 木村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012273581A priority Critical patent/JP5939147B2/ja
Priority to US14/100,224 priority patent/US9583312B2/en
Priority to KR1020130153691A priority patent/KR101672078B1/ko
Priority to TW102146010A priority patent/TWI546407B/zh
Priority to CN201310686986.8A priority patent/CN103866297B/zh
Publication of JP2014120564A publication Critical patent/JP2014120564A/ja
Application granted granted Critical
Publication of JP5939147B2 publication Critical patent/JP5939147B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

【課題】回転テーブルにより公転している基板に対してプラズマ処理を行うにあたって、基板の表面の凹部の深さ方向において均一性の高いプラズマ処理を行うこと。
【解決手段】容量結合プラズマを発生させるためのアンテナ83を真空容器1の上方側に設けると共に、このアンテナ83と回転テーブル2上のウエハWとの間に、アンテナ83にて発生する電磁界のうち電界を遮断して磁界を通過させるためのファラデーシールド95を配置する。そして、アンテナ83の下方側且つ回転テーブル2の下方側の位置に、下側バイアス電極120を配置して、これらファラデーシールド95と下側バイアス電極120との間にバイアス空間S3を形成する。
【選択図】図10

Description

本発明は、基板に対してプラズマ処理を行う成膜装置、基板処理装置及び成膜方法に関する。
半導体ウエハなどの基板(以下「ウエハ」と言う)に例えばシリコン酸化膜(SiO2)などの薄膜を成膜する手法として、例えば特許文献1に記載の装置を用いたALD(Atomic Layer Deposition)法が知られている。この装置では、回転テーブル上に5枚のウエハを周方向に並べると共に、この回転テーブルの上方側に複数のガスノズルを配置している。そして、公転している各々のウエハに対して互いに反応する複数種類の反応ガスを順番に供給して、反応生成物を積層している。
このようなALD法において、ウエハ上に積層される各々の反応生成物に対してプラズマ改質を行うために、特許文献2のように、ガスノズルに対して周方向に離間した位置にプラズマ改質を行う部材を設けた装置が知られている。しかしながら、ウエハの表面に例えば数十から百を超える大きなアスペクト比を持つホールや溝(トレンチ)などの凹部が形成されている場合には、この凹部の深さ方向における改質の度合いがばらついてしまうおそれがある。
即ち、このようにアスペクト比の大きな凹部が形成されていると、プラズマ(詳しくはアルゴンイオン)が凹部内に進入しにくくなる。また、真空容器内ではプラズマ改質処理と共に成膜処理を行っているので、当該真空容器内における処理圧力は、プラズマが良好に活性を維持できる真空雰囲気と比べて高圧となっている。そのため、凹部の内壁面にプラズマが接触した時に当該プラズマが失活しやすいので、このことからも凹部の深さ方向における改質の度合いがばらつきやすくなっている。また、凹部が形成されていないウエハであっても、回転テーブルが1回転する間に改質処理を行うためには、即ち互いに隣接するガスノズル同士の間の狭い領域で良好に改質を行うためには、ウエハの近傍に高密度のプラズマを形成しておく必要がある。
特許文献3には、下部電極にバイアス電圧を印加する装置について記載されているが、回転テーブルによりウエハを公転させる技術については記載されていない。
特開2010−239102 特開2011−40574 特開平8−213378
本発明はこのような事情に鑑みてなされたものであり、その目的は、回転テーブルにより公転している基板に対してプラズマ処理を行うにあたって、基板の表面の凹部の深さ方向において均一性の高いプラズマ処理を行うことのできる成膜装置、基板処理装置及び成膜方法を提供することにある。
本発明の成膜装置は、
真空容器内にて基板に対して成膜処理を行うための成膜装置において、
基板を載置する基板載置領域を公転させるための回転テーブルと、
前記基板載置領域に処理ガスを供給する処理ガス供給部を含み、前記回転テーブルの回転に伴い基板上に分子層あるいは原子層を順次積層して薄膜を形成するための成膜領域と、
この成膜領域に対して前記回転テーブルの回転方向に離間して設けられたプラズマ発生領域にて、プラズマ発生用ガスのプラズマ化によって生成したプラズマにより前記分子層あるいは原子層を改質処理するためのプラズマ処理部と、
プラズマ中のイオンを基板の表面に引き込むために、前記回転テーブル上の基板の高さ位置よりも下方側に設けられた下側バイアス電極及び前記高さ位置と同じかあるいは当該高さ位置よりも上方側に配置された上側バイアス電極と、
これらバイアス電極の少なくとも一方に接続され、前記下側バイアス電極及び前記上側バイアス電極が前記プラズマ発生領域を介して容量結合されて基板にバイアス電位を形成するための高周波電源部と、
前記真空容器内を排気するための排気機構と、を備えたことを特徴とする。
前記成膜装置は、以下のように構成しても良い。前記プラズマ処理部は、プラズマ発生領域に誘導結合プラズマを発生させるために、鉛直軸周りに巻回されると共にプラズマ発生用高周波電源に接続されたアンテナを備えており、
前記上側バイアス電極は、前記アンテナと前記プラズマ発生領域との間に設けられ、前記アンテナにより形成される電磁界の電界を遮断し、磁界を通過させるために、前記アンテナの伸びる方向と交差するように形成されたスリットをアンテナの長さ方向に沿って複数配列した導電板である構成。
前記下側バイアス電極及び前記上側バイアス電極は、前記回転テーブル上の基板に対して夫々隙間領域を介して配置されている構成。
前記処理ガス供給部に対して回転テーブルの回転方向に離間した位置に設けられ、当該処理ガス供給部から供給される処理ガスと反応するガスを供給するための別の処理ガス供給部と、
前記処理ガス供給部及び前記別の処理ガス供給部から夫々ガスが供給される処理領域同士を互いに分離するために、これら処理領域同士の間に設けられた分離領域に対して分離ガスを各々供給するための分離ガス供給部と、を備えた構成。
前記プラズマ処理部は、プラズマ発生用ガスをプラズマ化するためのプラズマ発生用高周波電源を備えており、このプラズマ発生用高周波電源は、前記高周波電源部を兼用している構成。この場合には、前記プラズマ処理部は、前記プラズマ発生領域に容量結合プラズマを発生させるために、互いに対向するように配置された一対の対向電極を備えていても良い。
本発明の基板処理装置は、
基板を載置する基板載置領域を公転させるために真空容器内に設けられた回転テーブルと、
プラズマ発生領域にて基板に対してプラズマ処理を行うために、プラズマ発生用ガスをプラズマ化して生成したプラズマを前記基板載置領域に供給するためのプラズマ処理部と、
プラズマ中のイオンを基板の表面に引き込むために、前記回転テーブル上の基板の高さ位置よりも下方側に設けられた下側バイアス電極及び前記高さ位置と同じかあるいは当該高さ位置よりも上方側に配置された上側バイアス電極と、
これらバイアス電極の少なくとも一方に接続され、前記下側バイアス電極及び前記上側バイアス電極が前記プラズマ発生領域を介して容量結合されて基板にバイアス電位を形成するための高周波電源部と、
前記真空容器内を排気するための排気機構と、を備えたことを特徴とする。
本発明の成膜方法は、
真空容器内にて基板に対して成膜処理を行うための成膜方法において、
回転テーブル上の基板載置領域に、表面に凹部が形成された基板を載置すると共に、この基板載置領域を公転させる工程と、
次いで、前記基板載置領域の基板に対して処理ガスを供給して、当該基板上に分子層あるいは原子層を成膜する工程と、
続いて、前記真空容器内のプラズマ発生領域にプラズマ発生用ガスを供給すると共に、このプラズマ発生用ガスをプラズマ化して、プラズマによって前記分子層あるいは原子層の改質処理を行う工程と、
前記回転テーブル上の基板の高さ位置よりも下方側に設けられた下側バイアス電極及び前記高さ位置と同じかあるいは当該高さ位置よりも上方側に配置された上側バイアス電極の少なくとも一方に給電して、前記プラズマ発生領域を介して前記下側バイアス電極及び前記上側バイアス電極を容量結合させて基板にバイアス電位を形成することにより、当該基板の表面にプラズマ中のイオンを引き込む工程と、
前記真空容器内を排気する工程と、を含むことを特徴とする。
本発明は、回転テーブル上にて公転している基板に対してプラズマ処理を行うにあたり、プラズマ発生領域の下方側にて回転テーブル上の基板が位置する領域を介して当該基板にバイアス電位が形成されるように、下側バイアス電極及び上側バイアス電極を配置している。そして、これらバイアス電極の少なくとも一方に高周波電力を供給して、下側バイアス電極と上側バイアス電極とを容量結合させている。そのため、プラズマ中のイオンを基板側に引き込むことができるので、基板の近傍に高密度のプラズマを形成できる。従って、基板の表面に既述のような大きなアスペクト比の凹部が形成されていても、当該凹部の深さ方向におけるプラズマ処理の度合いを揃えることができる。
本発明の成膜装置の一例を示す縦断面図である。 前記成膜装置を示す斜視図である。 前記成膜装置を示す横断平面図である。 前記成膜装置を示す横断平面図である。 前記成膜装置の回転テーブルを示す斜視図である。 前記成膜装置のプラズマ処理部を示す分解斜視図である。 前記成膜装置の下側バイアス電極を示す分解斜視図である。 前記成膜装置を裏面側から見た様子を示す平面図である。 プラズマ処理部及び下側バイアス電極を拡大して示す縦断面図である。 前記成膜装置に形成されるバイアス空間を模式的に示す縦断面図である。 前記成膜装置にて成膜処理が行われる対象の基板を模式的に示す縦断面図である。 基板に対して成膜される様子を模式的に示す縦断面図である。 基板に対して成膜される様子を模式的に示す縦断面図である。 基板に対してプラズマ改質処理が行われる様子を模式的に示す縦断面図である。 前記成膜装置の他の例を模式的に示す縦断面図である。 前記成膜装置の他の例を模式的に示す縦断面図である。 前記成膜装置の他の例を模式的に示す縦断面図である。 前記成膜装置の他の例を模式的に示す縦断面図である。 前記成膜装置の他の例を模式的に示す縦断面図である。 前記成膜装置の他の例の一部を示す縦断面図である。 前記成膜装置の他の例を模式的に示す縦断面図である。 前記成膜装置の他の例の一部を示す斜視図である。 前記成膜装置の他の例を示す横断平面図である。 前記成膜装置の他の例を示す斜視図図である。 前記成膜装置の他の例を模式的に示す縦断面図である。
本発明の実施の形態の基板処理装置を成膜装置に適用した例について、図1〜図9を参照して説明する。この装置は、図1〜図4に示すように、平面形状が概ね円形である真空容器1と、この真空容器1内に設けられ、当該真空容器1の中心に回転中心を有する回転テーブル2と、を備えており、ウエハWに対して成膜処理及びプラズマ改質処理を行うように構成されている。そして、この成膜装置は、後で詳述するように、ウエハWの表面に例えば数十から百を超えるアスペクト比を持つ凹部が形成されていても、プラズマをウエハW側に引き込むことにより、当該凹部の深さ方向におけるプラズマ改質の度合いが揃うように構成されている。続いて、本発明の第1の実施の形態に係る成膜装置の主要部であるファラデーシールド95や下側バイアス電極120について詳述する前に、装置の全体の概要について簡単に説明する。
真空容器1の天板11の中心部には、分離ガス(N2ガス)の通流により各処理領域P1、P2を仕切るための分離ガス供給管51が接続されている。回転テーブル2の下側には、図1に示すように、加熱機構であるヒータユニット7が設けられており、当該回転テーブル2を介してウエハWを成膜温度例えば300℃に加熱するようになっている。図1中7aはカバー部材、73はパージガス供給管である。
回転テーブル2は、例えば石英などの誘電体により構成されており、中心部にて概略円筒形状のコア部21に固定されている。この回転テーブル2は、コア部21の下面から下方側に向かって伸びる回転軸22によって、鉛直軸周りこの例では時計周りに回転自在に構成されている。図1中23は回転軸22を鉛直軸周りに回転させる駆動部(回転機構)であり、20は回転軸22及び駆動部23を収納するケース体、72はパージガス供給管である。
回転テーブル2の表面部には、図3〜図4に示すように、ウエハWの載置領域をなす凹部24が当該回転テーブル2の回転方向(周方向)に沿って複数箇所例えば5箇所に形成されている。回転テーブル2の下面は、図5及び図9に示すように、各々の凹部24の底面と回転テーブル2の下面との間の寸法(回転テーブル2の板厚寸法)hができるだけ小さくなるように、回転テーブル2と同心円状にリング状に窪んで下側バイアス電極120を収納するための凹部である溝部2aをなしている。前記板厚寸法hは、例えば6mm〜20mmとなっている。尚、図5は、回転テーブル2を下側から見た斜視図を示している。
凹部24の通過領域と各々対向する位置には、各々例えば石英からなる5本のノズル31、32、34、41、42が真空容器1の周方向に互いに間隔をおいて放射状に配置されている。これらノズル31、32、34、41、42は、例えば真空容器1の外周壁から中心部に向かってウエハWに対向して水平に伸びるように各々取り付けられている。この例では、後述の搬送口15から見て時計周り(回転テーブル2の回転方向)にプラズマ発生用ガスノズル34、分離ガスノズル41、第1の処理ガスノズル31、分離ガスノズル42及び第2の処理ガスノズル32がこの順番で配列されている。
処理ガスノズル31、32は、夫々第1の処理ガス供給部及び第2の処理ガス供給部をなし、プラズマ発生用ガスノズル34はプラズマ発生用ガス供給部をなしている。また、分離ガスノズル41、42は、各々分離ガス供給部をなしている。尚、図2及び図3はプラズマ発生用ガスノズル34が見えるように後述のプラズマ処理部80及び筐体90を取り外した状態、図4はこれらプラズマ処理部80及び筐体90を取り付けた状態を表している。また、図2については回転テーブル2についても取り外した状態を示している。
各ノズル31、32、34、41、42は、流量調整バルブを介して夫々以下の各ガス供給源(図示せず)に夫々接続されている。即ち、第1の処理ガスノズル31は、Si(シリコン)を含む第1の処理ガス例えばBTBAS(ビスターシャルブチルアミノシラン、SiH2(NH−C(CH3)3)2)ガスなどの供給源に接続されている。第2の処理ガスノズル32は、第2の処理ガス例えばオゾン(O3)ガスと酸素(O2)ガスとの混合ガスの供給源(詳しくはオゾナイザーの設けられた酸素ガス供給源)に接続されている。プラズマ発生用ガスノズル34は、例えばアルゴン(Ar)ガスと酸素ガスとの混合ガスからなるプラズマ発生用ガスの供給源に接続されている。分離ガスノズル41、42は、分離ガスである窒素ガスのガス供給源に各々接続されている。これらガスノズル31、32、34、41、42の例えば下面側には、ガス吐出孔33が各々形成されており、このガス吐出孔33は、回転テーブル2の半径方向に沿って複数箇所に例えば等間隔に配置されている。図2及び図3中31aはノズルカバー(フィン)である。
処理ガスノズル31、32の下方領域は、夫々第1の処理ガスをウエハWに吸着させるための第1の処理領域(成膜領域)P1及びウエハWに吸着した第1の処理ガスの成分と第2の処理ガスとを反応させるための第2の処理領域P2となる。プラズマ発生用ガスノズル34の下方側の領域は、後述するように、ウエハWに対してプラズマ改質処理を行うための改質領域(プラズマ発生領域)S1となる。分離ガスノズル41、42は、各々第1の処理領域P1と第2の処理領域P2とを分離する分離領域Dを形成するためのものである。分離領域Dにおける真空容器1の天板11には、各処理ガス同士の混合を阻止するために、凸状部4の下面である低い天井面が配置されている。
次に、既述のプラズマ処理部80について説明する。このプラズマ処理部80は、図1及び図6に示すように、金属線からなるアンテナ83を鉛直軸周りにコイル状に巻回して構成されており、平面で見た時に回転テーブル2の中央部側から外周部側に亘ってウエハWの通過領域を跨ぐように配置されている。このアンテナ83は、図4に示すように、回転テーブル2の半径方向に沿って伸びる帯状の領域を囲むように概略8角形をなしている。このアンテナ83に関わる電気回路については後述する。
アンテナ83は、真空容器1の内部領域から気密に区画されるように配置されている。即ち、既述のプラズマ発生用ガスノズル34の上方側における天板11は、平面的に見た時に概略扇形に開口しており、図6に示すように、例えば石英などの誘電体からなる筐体90によって気密に塞がれている。この筐体90は、周縁部が周方向に亘ってフランジ状に水平に伸び出すと共に、中央部が真空容器1の内部領域に向かって窪むように形成されており、この筐体90の内側に前記アンテナ83が収納されている。図1中11aは、筐体90と天板11との間に設けられたシール部材であり、91は、筐体90の周縁部を下方側に向かって押圧するための押圧部材である。また図1中86は、後述のプラズマ高周波電源85にアンテナ83を電気的に接続するための接続電極である。
筐体90の下面は、当該筐体90の下方領域への窒素ガスやオゾンガスなどの侵入を阻止するために、図1に示すように、外縁部が周方向に亘って下方側(回転テーブル2側)に向かって垂直に伸び出して、ガス規制用の突起部92をなしている。そして、この突起部92の内周面、筐体90の下面及び回転テーブル2の上面により囲まれた領域には、既述のプラズマ発生用ガスノズル34が収納されている。
筐体90とアンテナ83との間には、図1、図4及び図6に示すように、上面側が開口する概略箱型のファラデーシールド95が上側バイアス電極として配置されており、このファラデーシールド95は、導電性の板状体である金属板により構成されている。ファラデーシールド95は、当該ファラデーシールド95における水平面が回転テーブル2上のウエハWに対して水平になるように配置されている。このファラデーシールド95に関わる電気回路については後述する。
ファラデーシールド95の水平面には、アンテナ83において発生する電界及び磁界(電磁界)のうち電界成分が下方のウエハWに向かうことを阻止すると共に、磁界をウエハWに到達させるために、スリット97が形成されている。このスリット97は、アンテナ83の巻回方向に対して直交(交差)する方向に伸びるように形成されており、アンテナ83に沿うように周方向に亘って当該アンテナ83の下方位置に設けられている。ファラデーシールド95とアンテナ83との間には、これらファラデーシールド95とアンテナ83との絶縁を取るために、例えば石英からなる絶縁板94が介在している。
そして、ファラデーシールド95の下方側における真空容器1の底面部には、図1及び図7に示すように、開口部121が形成されており、この開口部121は、平面で見た時にアンテナ83の配置された領域と同じか当該領域よりも大きな楕円形状となるように開口している。この開口部121内には、下方側が開口すると共に中空の概略円筒形の絶縁部材122が下方側から気密に挿入されており、この絶縁部材122は、平面で見た時に開口部121と同様に楕円形状となるように形成されている。絶縁部材122の下端側外周端は、外側に向かって周方向に亘ってフランジ状に伸び出しており、この下端側外周端の上面側に周方向に沿って設けられたO−リングなどのシール部材123によって、真空容器1の底面部に気密に接触している。この絶縁部材122と回転テーブル2との間の領域をプラズマ非励起領域S2と呼ぶと、絶縁部材122の上面部の概略中央部には、当該プラズマ非励起領域S2に対して後述のプラズマ阻止用ガスを吐出するために、当該絶縁部材122を上下方向に貫通するガス吐出口124が形成されている。この例では、絶縁部材122は、例えば石英などの誘電体により構成されている。
続いて、下側バイアス電極120について詳述する。この下側バイアス電極120は、当該下側バイアス電極120とファラデーシールド95とを容量結合させて、回転テーブル2上のウエハWにプラズマ中のイオンを引き込むためのものであり、隙間領域を介して当該回転テーブル2の下方側に位置している。即ち、下側バイアス電極120は、下端側が開口すると共にこの下端側外周端がフランジ状に外側に向かって伸び出す概略円筒形状をなしており、既述の絶縁部材122の内部に収納されている。この例では、下側バイアス電極120は、例えばニッケル(Ni)や銅(Cu)などの導電部材により構成されている。
そして、この下側バイアス電極120における下端側外周端は、真空容器1の底面部に接触しないように、絶縁部材122の外端部よりも内側寄りに位置するように配置されており、当該下端側外周端の上面側に設けられたO−リングなどのシール部材125によって、絶縁部材122に対して気密に配置されている。従って、下側バイアス電極120は、回転テーブル2に接触しないように(非接触となるように)、また真空容器1に対して電気的に絶縁されるように配置されている。
下側バイアス電極120の概略中央部には、絶縁部材122のガス吐出口124の配置位置に対応するように、当該下側バイアス電極120の上端面を上下に貫通する貫通口126が形成されている。この貫通口126の下方側には、図1に示すように、プラズマ非励起領域S2に対してプラズマ阻止用ガス(例えば窒素(N2)ガスやヘリウム(He)ガスなど)を供給するために、導電部材により構成された流路部材127が気密に設けられている。
図1に示すように、下側バイアス電極120の下方側には、封止部材140が配置されており、この封止部材140は、例えば石英などの絶縁体により構成されると共に概略円板状に形成されている。封止部材140の外周端は、真空容器1の底面部と下側バイアス電極120の外周端との間において、上方側の絶縁部材122に向かって周方向に亘って起立している。従って、真空容器1の開口部121に絶縁部材122、下側バイアス電極120及び封止部材140を下方側からこの順番で挿入すると共に、この封止部材140を真空容器1の底面部に対して例えば図示しないボルトなどによって固定すると、真空容器1に対して絶縁部材122が気密に接触する。また、絶縁部材122に対して下側バイアス電極120が気密に接触する。更に、封止部材140によって、下側バイアス電極120と真空容器1との間が電気的に絶縁される。
そして、図9の下側に拡大して示すように、回転テーブル2の下面側の溝部2a内に絶縁部材122の上面が位置すると共に、回転テーブル2上のウエハWと下側バイアス電極120とが面内に亘って平行になる。これら回転テーブル2の下面と絶縁部材122の上面との間の離間寸法tは、例えば0.5mm〜3mmとなる。図8は、真空容器1を下側から見た平面図を示しており、封止部材140は、アンテナ83が巻回された領域に対応する位置において、当該領域よりも大きくなるように形成されている。尚、図7では、シール部材123、125については描画を省略している。
回転テーブル2の外周側には、環状のサイドリング100が配置されており、既述の筐体90の外縁側におけるサイドリング100の上面には、当該筐体90を避けてガスを通流させるための溝状のガス流路101が形成されている。このサイドリング100の上面には、第1の処理領域P1及び第2の処理領域P2に夫々対応するように排気口61、62が形成されている。これら第1の排気口61及び第2の排気口62は、図1に示すように、各々バタフライバルブなどの圧力調整部65の介設された排気管63により、排気機構である例えば真空ポンプ64に接続されている。
真空容器1の側壁には、図2〜図4に示すように、図示しない外部の搬送アームと回転テーブル2との間においてウエハWの受け渡しを行うための搬送口15が形成されており、この搬送口15はゲートバルブGより気密に開閉自在に構成されている。また、この搬送口15を臨む位置における回転テーブル2の下方側には、回転テーブル2の貫通口を介してウエハWを裏面側から持ち上げるための昇降ピン(いずれも図示せず)が設けられている。
続いて、以上説明したアンテナ83、ファラデーシールド95及び下側バイアス電極120の各々の電気回路について詳述する。アンテナ83には、図10に示すように、スイッチ84a、整合器(マッチングボックス)84b及びフィルタ84cを介して、周波数が例えば13.56MHz及び出力電力が例えば5000Wの高周波電源85が接続されている。尚、フィルタ84cは、後述の高周波電源128の周波数帯の信号を阻止(カット)するためのものである。
また、ファラデーシールド95は、例えば可変容量コンデンサ400やインダクタンス401などを含むバイアス引き込み回路402を介して接地されている。このバイアス引き込み回路402の前段側(ファラデーシールド95側)には、電流値を検出するための検出部403が設けられており、検出部403における検出値に基づいて例えば可変容量コンデンサ400の容量値をアクチュエータ(図示せず)により調整するようにしている。具体的には、前記電流値が事前に求めた最大値付近の設定値を超えるように、ファラデーシールド95と下側バイアス電極120との間におけるインピーダンスを調整し、高周波が異常経路を流れることを抑えて、異常放電を防止している。
あるいは、後述の制御部200により、ファラデーシールド95と下側バイアス電極120との間におけるインピーダンスを自動で調整しても良い。このように前記インピーダンスを自動で調整する場合には、検出部403について、電流値を検出することに代えて、あるいはこの電流値と共に、ファラデーシールド95と下側バイアス電極120との間のインピーダンス(主にリアクタンス成分)を測定するように構成しても良い。そして、前記インピーダンスの変化から、可変容量コンデンサ400の容量値を事前にどのように調整するか、具体的にはインピーダンスが増加した時、前記容量値を増やすように調整するか、あるいは容量値を減らすように調整するか、予め決めておいても良い。即ち、制御パラメータ(電流値やインピーダンス)をモニタしつつ、制御部200が自動でインピーダンスを調整しても良いし、インピーダンスを事前に合わせ込んでも良い。従って、制御部200を介して自動で前記インピーダンスを調整する場合には、プラズマ処理の間に亘って異常放電が防止される。
そして、下側バイアス電極120(詳しくは流路部材127)には、スイッチ131、整合器132フィルタ133を介して、周波数が50kHz〜40MHz及び出力電力が500〜5000Wの高周波電源128が電気的に接続されている。この例では、この高周波電源128の周波数と既述のプラズマ発生用のプラズマ高周波電源85の周波数とは互いに異なる周波数(高周波電源128の周波数:13.56〜100MHz)になっている。この高周波電源128と既述のバイアス引き込み回路402との各アース側は、図示しない導電路により互いに接続されている。
フィルタ133は、プラズマ発生用のプラズマ高周波電源85の周波数帯の信号をカットするためのものであり、例えば当該フィルタ133を流れる電流値を検出するための電流検出部134に接続されている。尚、電流検出部134としては、前記電流値に代えて、あるいは当該電流値と共に、フィルタ133における電圧を検知する構成であっても良い。
従って、以上説明した下側バイアス電極120とファラデーシールド95とからなる構成は、図10に模式的に示すように、一対の対向電極をなしており、改質領域S1の下方側にウエハWが位置した時、平面で見ると当該ウエハWと重なり合う位置に各々配置されている。そして、下側バイアス電極120に対して高周波電源128から供給される高周波電力によって、これら対向電極間に容量結合が形成されて、いわばバイアス空間S3が発生する。そのため、プラズマ処理部80によって真空容器1内に形成されるプラズマ中のイオンは、後述するように、このバイアス空間S3において上下方向に振動(移動)する。従って、回転テーブル2の回転によってウエハWがこのバイアス空間S3に位置すると、イオンが上下動する中で当該ウエハWに衝突するので、イオンがウエハWに引き込まれることになる。尚、図1では、以上説明した電気回路については省略している。
また、この成膜装置には、図1に示すように、装置全体の動作のコントロールを行うためのコンピュータからなる制御部200が設けられており、この制御部200のメモリ内には後述の成膜処理及びプラズマ改質処理を行うためのプログラムが格納されている。そして、プラズマ改質処理を行うにあたり、制御部200は、真空容器1内に発生するプラズマ密度を調整するためのフィードバック機能を持っている。具体的には、制御部200は、下側バイアス電極120に接続されたフィルタ133を流れる電流値に基づいて、当該フィルタ133のリアクタンスや整合器84bの容量値を調整するように構成されている。このプログラムは、後述の装置の動作を実行するようにステップ群が組まれており、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体である記憶部201から制御部200内にインストールされる。
次に、上述実施の形態の作用について説明する。先ず、ゲートバルブGを開放して、回転テーブル2を間欠的に回転させながら、図示しない搬送アームにより搬送口15を介して回転テーブル2上に例えば5枚のウエハWを載置する。各々のウエハWの表面には、図11に示すように、溝やホールなどからなる凹部10が形成されており、この凹部10のアスペクト比(凹部10の深さ寸法÷凹部10の幅寸法)は、例えば数十から百を超える大きさになっている。次いで、ゲートバルブGを閉じ、真空ポンプ64により真空容器1内を引き切りの状態にすると共に、回転テーブル2を例えば2rpm〜240rpmで時計周りに回転させる。そして、ヒータユニット7によりウエハWを例えば300℃程度に加熱する。
続いて、処理ガスノズル31、32から夫々第1の処理ガス及び第2の処理ガスを吐出すると共に、プラズマ発生用ガスノズル34からプラズマ発生用ガスを吐出する。また、プラズマ非励起領域S2に対して、当該領域S2のガス圧力が改質領域S1よりも陽圧(高圧)となるように、即ち領域S2においてプラズマの発生を阻止するために、プラズマ阻止用のガスを吐出する。このプラズマ阻止用ガスは、回転テーブル2の下方側を通流して排気口62から排気される。
また、分離ガスノズル41、42から分離ガスを所定の流量で吐出し、分離ガス供給管51及びパージガス供給管72、72からも窒素ガスを所定の流量で吐出する。そして、圧力調整部65により真空容器1内を予め設定した処理圧力に調整する。また、アンテナ83及び下側バイアス電極120に対して夫々高周波電力を供給する。
第1の処理領域P1では、図12に示すように、ウエハWの表面に第1の処理ガスの成分が吸着して吸着層300が生成する。次いで、第2の処理領域P2において、図13に示すように、ウエハW上の吸着層300が酸化されて、薄膜成分であるシリコン酸化膜(SiO2)の分子層が1層あるいは複数層形成されて反応生成物である反応層301が形成される。この反応層301には、例えば第1の処理ガスに含まれる残留基のため、水分(OH基)や有機物などの不純物が残る場合がある。
プラズマ処理部80では、プラズマ高周波電源85から供給される高周波電力により、電界及び磁界が発生する。これら電界及び磁界のうち電界は、ファラデーシールド95により反射あるいは吸収(減衰)されて、真空容器1内への到達が阻害される。一方、磁界は、ファラデーシールド95にスリット97を形成しているので、このスリット97を通過して、筐体90の底面を介して真空容器1内の改質領域S1に到達する。
従って、プラズマ発生用ガスノズル34から吐出されたプラズマ発生用ガスは、磁界によって活性化されて、例えばイオン(アルゴンイオン:Ar)やラジカルなどのプラズマが生成する。既述のように、回転テーブル2の半径方向に伸びる帯状体領域を囲むようにアンテナ83を配置していることから、このプラズマは、アンテナ83の下方側において、回転テーブル2の半径方向に伸びるように概略ライン状となる。
ここで、プラズマは、アンテナ83の巻回方向に沿って、いわば平面的に分布しようとする。しかしながら、ファラデーシールド95と下側バイアス電極120との間を容量結合させて高周波電界を形成していることから、このプラズマ中のイオンに対して上下方向の電界が加わるので、既述のようにイオンがウエハW側に引き込まれる。従って、プラズマ中のイオンは、図14に示すように、ウエハWの表面(互いに隣接する凹部10、10同士の間における水平面)だけでなく、凹部10の内壁面や当該凹部10の底面にまで亘って接触する。こうして反応層301にアルゴンイオンが衝突すると、反応層301から水分や有機物などの不純物が放出されたり、反応層301内の元素の再配列が起こって当該反応層301の緻密化(高密度化)が図られたりして、当該反応層301が改質される。そのため、改質処理は、ウエハWの面内に亘って、且つ凹部10の深さ方向に亘って均等に行われる。
その後、回転テーブル2の回転を続けることにより、吸着層300の吸着、反応層301の生成及び反応層301の改質処理がこの順番で多数回に亘って行われて、反応層301の積層によって薄膜が形成される。この薄膜は、面内に亘って、且つ凹部10の深さ方向に亘って緻密で均質な膜質となる。尚、図14では、ファラデーシールド95、下側バイアス電極120及びウエハWについて模式的に示している。
以上の一連のプロセスを行っている間、第1の処理領域P1と第2の処理領域P2との間に窒素ガスを供給しているので、第1の処理ガスと第2の処理ガス及びプラズマ発生用ガスとが互いに混合しないように各ガスが排気される。また、回転テーブル2の下方側にパージガスを供給しているため、回転テーブル2の下方側に拡散しようとするガスは、前記パージガスにより排気口61、62側へと押し戻される。
上述の実施の形態によれば、ウエハWに対してプラズマ処理を行うにあたり、プラズマ処理部80の下方側にて回転テーブル2上のウエハWが位置する領域を介してバイアス空間S3が形成されるように、当該ウエハWを介して対向するようにファラデーシールド95及び下側バイアス電極120を配置している。そして、下側バイアス電極120に対して高周波電力を供給して、これら下側バイアス電極120とファラデーシールド95とを容量結合させて高周波電界を形成している。そのため、プラズマ(アルゴンイオン)をウエハW側に引き込むことができるので、当該ウエハWの表面にアスペクト比の大きな凹部10が形成されていても、凹部10の深さ方向に亘ってプラズマ改質処理を均等に行うことができ、従って膜質の均一性に優れた薄膜を形成できる。
また、プラズマ処理部80の直下にバイアス空間S3を形成して、いわば改質領域S1とバイアス空間S3とを互いに重ね合わせていることから、当該改質領域S1以外の領域における不要なプラズマの発生を抑制できる。即ち、既述のように、アンテナ83の下方位置にてプラズマを発生させようとしているが、例えば真空容器1内で局所的に圧力が低くなっている場所や、真空容器1の内壁面など金属面が露出している場所等において、意図せずにプラズマが発生(拡散)してしまう場合がある。そして、このような意図しないプラズマが例えばSi系ガスに干渉すると、ウエハWに吸着する前にガス分解が起こり、膜質の劣化に繋がってしまう。しかしながら、既に詳述したように、アンテナ83の下方側にバイアス空間S3を形成して、プラズマ(イオン)をウエハW側に引き込んでいる。そのため、プラズマ改質処理を行いながら、意図しないプラズマの発生を抑制できる。
更に、ファラデーシールド95と下側バイアス電極120との間に容量結合を形成し、イオンをウエハW側に引き込んでいることから、イオンがウエハWに衝突する時、このイオンの衝突するエネルギーが熱に変換されて当該ウエハWの温度が上昇する。このウエハWの温度変化(温度上昇)は、高周波電源128に供給する電力量に比例する。従って、ウエハW上の反応生成物の改質処理を行うにあたり、当該ウエハWに対してイオンを供給するだけでなく、ウエハWの温度を上昇させることができるので、ウエハWの温度上昇分だけ更に良好な膜質の薄膜を形成できる。
バイアス用の高周波は、1周波に限らず、2周波(互いに周波数が異なる高周波電源を2つ用いる)であっても良いし、3周波以上であっても良い。即ち、下側バイアス電極120に対して互いに周波数の異なる高周波電源を接続することにより、ウエハWの中心部と外縁部との間におけるプラズマ処理の度合いを調整できるので、ウエハWの面内に亘って膜質の揃った薄膜を形成できる。
図15は、ファラデーシールド95と下側バイアス電極120とを容量結合させる構成として、高周波電源128について、下側バイアス電極120に接続することに代えて、上側バイアス電極に相当するファラデーシールド95に接続した例を示している。下側バイアス電極120については、バイアス引き込み回路402を介して接地している。また、図16は、容量結合を形成するための高周波電源として、高周波電源128に代えて、プラズマ発生用のプラズマ高周波電源85を利用した例を示している。即ち、図16では、プラズマ高周波電源85は、アンテナ83及びファラデーシールド95に対して並列で接続されている。プラズマ発生用のプラズマ高周波電源85とバイアス電界形成用の高周波電源128とを共通化することにより、装置を安価に構成できる。尚、図15及び図16について、既に説明済みの部材については、既述の例と同じ符号を付して説明を省略すると共に、装置構成を簡略化して描画している。以降の他の例についても同様である。
このようにファラデーシールド95側に高周波電源128(85)を接続する場合においても、既述のように2周波あるいは3周波以上の電源を用いても良いし、あるいはファラデーシールド95及び下側バイアス電極120の夫々について2周波以上の電源に接続しても良い。
図17は、下側バイアス電極120に高周波電源128を接続すると共に、ファラデーシールド95にも別の高周波電源143を接続した例を示している。この例では、高周波電源143の発振周波数は、高周波電源128と同じ周波数となっている。この場合には、高周波電源128、143の夫々の位相を調整できるので、例えば高周波電源128、143が互いに逆位相となるように調整することにより、既述の図1の構成と比べてバイアス電界の強度を2倍程度まで大きくできる。そのため、凹部10の深さ方向に亘って更に均一なプラズマ処理を行うことができる。尚、高周波電源128、143に対して供給する高周波電力についても個別に調整しても良い。
図17において、ファラデーシールド95に高周波電力を供給するにあたり、高周波電源143に代えて、図16のように、プラズマ発生用のプラズマ高周波電源85を利用しても良い。このようにファラデーシールド95に対してプラズマ発生用のプラズマ高周波電源85を接続する場合には、下側バイアス電極120に供給する高周波電源128についても、プラズマ高周波電源85と同じ周波数にしても良い。
ここで、図17のようにファラデーシールド95及び下側バイアス電極120に対して同じ周波数の高周波電力を供給する場合、高周波電源128、143を共通化することが好ましい。即ち、高周波電源128について、ファラデーシールド95及び下側バイアス電極120に対して例えば並列で接続することが好ましい。そして、このように共通の高周波電源128を用いながら、ファラデーシールド95及び下側バイアス電極120に供給する高周波の位相を逆位相にする場合には、例えば図18のように構成しても良い。即ち、図18では、2つのトランス結合を用いて、共通の高周波電源128からファラデーシールド95及び下側バイアス電極120に供給する高周波を互いに逆位相にしている。
具体的には、一方側(左側)から他方側(右側)に向かって伸びる軸周りに各々巻回した3つの巻き線(コイル)151〜153を形成すると共に、これら巻き線151〜153について、各々の巻き線151〜153における前記軸が一列に並ぶように、当該一方側から他方側に向かって順番に配置する。そして、これら3つの巻き線151〜153のうち左側の巻き線151について、左側の端子をアースすると共に、右側の端子にファラデーシールド95を接続する。また、3つの巻き線151〜153のうち中央の巻き線152については、左側の端子及び右側の端子を夫々高周波電源128及びアースに接続する。更に、右側の巻き線153については、左側の端子を下側バイアス電極120に接続すると共に、右側の端子をアースに接続する。こうして共通の高周波電源128からファラデーシールド95及び下側バイアス電極120に対して逆位相で高周波電力を供給できる。
また、図19は、このように共通の高周波電源128を用いると共にファラデーシールド95及び下側バイアス電極120に対して逆位相で高周波電力を供給するにあたり、一つのトランス結合を利用した例を示している。具体的には、ファラデーシールド95と下側バイアス電極120との間に、既述の図18と同様に構成された巻き線154を配置すると共に、当該巻き線154に隣接するように、高周波電源128に接続された巻き線152を配置している。従って、この例では巻き線154の巻回軸と、巻き線152の巻回軸とは互いに隣接すると共に互いに平行になっている。そして、これらファラデーシールド95及び下側バイアス電極120に対して互いに逆位相で高周波電力が供給されるようにしている。
以上の図18及び図19のように、共通の高周波電源128からファラデーシールド95及び下側バイアス電極120に給電する場合には、これらファラデーシールド95及び下側バイアス電極120に夫々供給する電力量を調整しても良い。具体的には、高周波電源128とファラデーシールド95との間及び高周波電源128と下側バイアス電極120との間に、誘導結合用のコイル及びインピーダンス調整用の可変コンデンサを各々配置しても良い。また、以上のようにファラデーシールド95及び下側バイアス電極120に対して共通の高周波電源128を設ける場合には、当該高周波電源128とプラズマ発生用の高周波電源85とを共通化しても良い。即ち、共通の高周波電源85について、アンテナ83、ファラデーシールド95及び下側バイアス電極120の各々に並列で接続しても良い。
更にまた、図20に示すように、下側バイアス電極120に対向する電極として、真空容器1の内壁面とファラデーシールド95とを利用しても良い。即ち、真空容器1が例えばアルミニウムなどの導電材により構成されており、真空容器1の内壁面が回転テーブル2の外周面に近接している。従って、下側バイアス電極120に対向する上側バイアス電極として、ファラデーシールド95と共に、前記内壁面を利用しても良い。バイアス引き込み回路402は、真空容器1の内壁面及びファラデーシールド95に各々接続される。図20中160は、前記内壁面と真空容器1の他の内壁面との間を絶縁するために、バイアス空間S3に隣接する内壁面を環状に囲むように形成された絶縁部材である。即ち、真空容器1の内壁面のうちバイアス空間S3を臨む内壁面だけが上側バイアス電極をなすように、絶縁部材160を設けている。
更に、上側バイアス電極について、回転テーブル2上のウエハWの高さ位置よりも上方側に設けること(ファラデーシールド95や真空容器1の内壁面)に代えて、回転テーブル2上のウエハWと同じ高さ位置に設けても良い。具体的には、図21に示すように、ウエハWを周方向に亘ってリング状に囲む導電材からなるフォーカスリング161を回転テーブル2上に配置して、当該フォーカスリング161を前記電極として利用しても良い。フォーカスリング161は、例えば回転軸22の内部を引き回された図示しない導電路を介して、バイアス引き込み回路402に接続される。図21中162は、ウエハWとフォーカスリング161との間を絶縁するための絶縁体からなるリング部材または空間ギャップであり、図22に示すように、フォーカスリング161及びウエハWと共に回転テーブル2に配置される。
フォーカスリング161を設ける場合についても、フォーカスリング161の上面からウエハWの配置領域を経由して下側バイアス電極120に向かう電界が形成されて、同様にプラズマ中のイオンがウエハWに引き込まれる。尚、前記電界に加えて、フォーカスリング161の下面から下側バイアス電極120に直接向かう電界についても形成される。
このようなフォーカスリング161を設ける場合についても、既述の図15〜図19のように構成しても良いし、あるいはフォーカスリング161と共にファラデーシールド95や真空容器1の内壁面を上側バイアス電極として利用しても良い。尚、リング部材162を設けずに、ウエハWとフォーカスリング161とを互いに直接接触させても良い。
また、アンテナ83の下方側に下側バイアス電極120を配置したが、例えば回転テーブル2の回転方向におけるプラズマの分布状態を調整する場合などにおいて、図23に示すように、アンテナ83に対して例えば前記回転方向上流側に下側バイアス電極120をずらしても良い。
以上纏めると、下側バイアス電極120については、回転テーブル2上のウエハWの高さ位置よりも下方側に設けられている。一方、当該下側バイアス電極120に対向する電極は、回転テーブル2上のウエハWの高さ位置と同じ(フォーカスリング161)か、あるいは当該高さ位置よりも上方側(ファラデーシールド95や真空容器1の内壁面)に設けられている。そして、下側バイアス電極120と、当該下側バイアス電極120に対向する電極とは、各々平面で見た時に改質領域S1と重なり合う位置に配置されていなくても良い。また、下側バイアス電極120については、回転テーブル2内部に下部バイアス電極120を挿入すると共に、例えば回転テーブル2や回転軸22などを導電材により構成し、当該回転軸22に対して例えば図示しないスリップリング機構を介して給電するように構成しても良い。更に、アンテナ83については、一端側の端子を高周波電源85に接続すると共に、他端側の端子を接地したが、これら一端側及び他端側を各々高周波電源85に接続しても良い。また、アンテナ83の一端側の端子を高周波電源85に接続すると共に、他端側の端子についてはフロート状態に(周囲の導電部から浮いた状態で支持)しても良い。
更にまた、以上述べた各例では、プラズマ処理部80としてアンテナ83を巻回して誘導結合型のプラズマ(ICP:Inductively coupled plasma)を発生させたが、容量結合型のプラズマ(CCP:Capacitively Coupled Plasma)を発生させるようにしても良い。この場合には、図24に示すように、プラズマ発生用ガスノズル34に対して回転テーブル2の回転方向下流側に、一対の対向電極170、170が配置される。そして、図25に示すように、これら対向電極170、170のうち一方の対向電極170が既述の高周波電源85に接続され、他方の対向電極170が接地される。図25では、バイアス用の高周波電源は、この高周波電源85を利用しており、即ちプラズマ発生用の高周波電源と共通化されていて、上側バイアス電極に接続されている。そして、この上側バイアス電極は、ファラデーシールド95に代えて、例えば円板状の電極板171を用いている。
このように容量結合型のプラズマによってプラズマ発生用ガスのプラズマ化を行う場合には、下側バイアス電極120と上側バイアス電極(ファラデーシールド95や電極板171)との間に高周波電力を印加しても良い。あるいは、これら下側バイアス電極120及び上側バイアス電極に各々隣接させて、プラズマ発生用の一対の対向電極を専用に設けても良い。
また、下側バイアス電極120としては、真空容器1の外側(真空容器1の底面部の下側)に配置しても良い。
以上説明したシリコン酸化膜を成膜するにあたって用いる第1の処理ガスとしては、以下の表1の化合物を用いても良い。尚、以下の各表において、「原料Aエリア」とは、第1の処理領域P1を示しており、「原料Bエリア」とは、第2の処理領域P2を示している。また、以下の各ガスは一例であり、既に説明したガスについても併せて記載している。
(表1)
Figure 2014120564
また、表1の第1の処理ガスを酸化するための第2の処理ガスとしては、表2の化合物を用いても良い。
(表2)
Figure 2014120564
尚、この表2における「プラズマ+O2」や「プラズマ+O3」とは、例えば第2の処理ガスノズル32の上方側に既述のプラズマ処理部80を設けて、これら酸素ガスやオゾンガスをプラズマ化して用いることを意味している。
また、既述の表1の化合物を第1の処理ガスとして用いると共に、表3の化合物からなるガスを第2の処理ガスとして用いて、シリコン窒化膜(SiN膜)を形成しても良い。
(表3)
Figure 2014120564
尚、この表3における「プラズマ」についても、表2と同様に「プラズマ」の用語に続く各ガスをプラズマ化して用いることを意味している。
更に、第1の処理ガス及び第2の処理ガスとして表4の化合物からなるガスを各々用いて、炭化シリコン(SiC)膜を成膜しても良い。
(表4)
Figure 2014120564
更にまた、上に挙げた表4の第1の処理ガスを用いて、シリコン膜(Si膜)を成膜しても良い。即ち、この場合には第2の処理ガスノズル32が設けられておらず、回転テーブル2上のウエハWは、第1の処理領域(成膜領域)P1と改質領域S1とを分離領域Dを介して交互に通過することになる。そして、第1の処理領域P1においてウエハWの表面に第1の処理ガスの成分が吸着して吸着層300が形成されると、回転テーブル2によって回転する間に、ヒータユニット7の熱によってウエハWの表面にて吸着層300が熱分解を起こして水素や塩素などの不純物が脱離していく。従って、吸着層300の熱分解反応によって反応層301が形成されていく。
しかしながら、回転テーブル2が鉛直軸周りに回転していることから、回転テーブル2上のウエハWが第1の処理領域P1を通過した後、改質領域S1に至るまでの時間、即ち吸着層300から不純物を排出するための時間は極めて短い。そのため、改質領域S1に到達する直前のウエハWの反応層301には、依然として不純物が含まれている。そこで、改質領域S1において例えばアルゴンガスのプラズマをウエハWに供給することにより、反応層301から不純物が除去されて、良好な膜質の反応層301が得られる。こうして領域P1、S1を交互に通過させることにより、反応層301が多層積層されてシリコン膜が成膜される。従って、本発明において「プラズマ改質処理」とは、反応層301から不純物を除去して当該反応層301の改質を行う処理の他に、吸着層300を反応(熱分解反応)させるための処理も含まれる。
シリコン膜のプラズマ処理に用いるプラズマ発生用ガスとしては、ウエハWに対してイオンのエネルギーを与えるプラズマを発生させるガスが用いられ、具体的には既述のアルゴンガスの他に、ヘリウム(He)ガスなどの希ガスあるいは水素ガスなどが用いられる。
また、シリコン膜を形成する場合には、第2の処理ガスとして表5のドープ材を用いて、ホウ素(B)やリン(P)を当該シリコン膜にドープしても良い。
(表5)
Figure 2014120564
また、以下の表6に示す化合物からなるガスを第1の処理ガスとして用いると共に、既述の第2の処理ガスを用いることにより、金属酸化膜、金属窒化膜、金属炭化膜あるいはHigh−k膜(高誘電率膜)を形成しても良い。
(表6)
Figure 2014120564
また、プラズマ改質用ガスあるいは当該プラズマ改質用ガスと共に用いるプラズマイオン注入ガスとしては、以下の表7の化合物からなるガスのプラズマを用いても良い。
(表7)
Figure 2014120564
尚、この表7において、酸素元素(O)を含むプラズマ、窒素元素(N)を含むプラズマ及び炭素元素(C)を含むプラズマについては、酸化膜、窒化膜及び炭化膜を成膜するプロセスだけに夫々用いても良い。
また、以上説明したプラズマ改質処理は、回転テーブル2が回転する度に、即ち反応層301を成膜する度に行ったが、例えば10〜100層の反応層301を積層する度に行っても良い。この場合には、成膜開始時にはプラズマ高周波電源85、128への給電を停止しておき、回転テーブル2を反応層301の積層数分だけ回転させた後、ノズル31、32へのガスの供給を停止すると共に、これらプラズマ高周波電源85、128に対して給電してプラズマ改質を行う。その後、再度反応層301の積層とプラズマ改質とを繰り返す。
更にまた、既に薄膜が形成されたウエハWに対してプラズマ改質処理を行っても良い。この場合には、真空容器1内には、各ガスノズル31、32、41、42は設けられずに、プラズマ発生用ガスノズル34、回転テーブル2及び下側バイアス電極120などが配置される。このように真空容器1内でプラズマ改質処理だけを行う場合であっても、バイアス空間S3によって凹部10内にプラズマ(イオン)を引き込むことができるので、当該凹部10の深さ方向に亘って均一なプラズマ改質処理を行うことができる。
更にまた、ウエハWに対して行うプラズマ処理としては、改質処理に代えて、処理ガスの活性化を行っても良い。具体的には、既述の第2の処理ガスノズル32にプラズマ処理部80を組み合わせると共に、当該ノズル32の下方側に下側バイアス電極120を配置しても良い。この場合には、ノズル32から吐出する処理ガス(酸素ガス)がプラズマ処理部80にて活性化されてプラズマが生成し、このプラズマがウエハW側に引き込まれる。従って、凹部10の深さ方向に亘って、反応層301の膜厚や膜質を揃えることができる。
このように処理ガスをプラズマ化する場合であっても、処理ガスのプラズマ化と共に、既述のプラズマ改質処理を行っても良い。また、処理ガスをプラズマ化する具体的なプロセスとしては、既述のSi−O系の薄膜の成膜以外にも、例えばSi−N(窒化シリコン)系の薄膜に適用しても良い。このSi−N系の薄膜を成膜する場合には、第2の処理ガスとして窒素(N)を含むガス例えばアンモニア(NH3)ガスが用いられる。
W ウエハ
1 真空容器
2 回転テーブル
P1、P2 処理領域
S3 バイアス空間
10 凹部
31、32、34 ガスノズル
80 プラズマ処理部
83 アンテナ
95 ファラデーシールド
120 下側バイアス電極
85、128 高周波電源

Claims (8)

  1. 真空容器内にて基板に対して成膜処理を行うための成膜装置において、
    基板を載置する基板載置領域を公転させるための回転テーブルと、
    前記基板載置領域に処理ガスを供給する処理ガス供給部を含み、前記回転テーブルの回転に伴い基板上に分子層あるいは原子層を順次積層して薄膜を形成するための成膜領域と、
    この成膜領域に対して前記回転テーブルの回転方向に離間して設けられたプラズマ発生領域にて、プラズマ発生用ガスのプラズマ化によって生成したプラズマにより前記分子層あるいは原子層を改質処理するためのプラズマ処理部と、
    プラズマ中のイオンを基板の表面に引き込むために、前記回転テーブル上の基板の高さ位置よりも下方側に設けられた下側バイアス電極及び前記高さ位置と同じかあるいは当該高さ位置よりも上方側に配置された上側バイアス電極と、
    これらバイアス電極の少なくとも一方に接続され、前記下側バイアス電極及び前記上側バイアス電極が前記プラズマ発生領域を介して容量結合されて基板にバイアス電位を形成するための高周波電源部と、
    前記真空容器内を排気するための排気機構と、を備えたことを特徴とする成膜装置。
  2. 前記プラズマ処理部は、プラズマ発生領域に誘導結合プラズマを発生させるために、鉛直軸周りに巻回されると共にプラズマ発生用高周波電源に接続されたアンテナを備えており、
    前記上側バイアス電極は、前記アンテナと前記プラズマ発生領域との間に設けられ、前記アンテナにより形成される電磁界の電界を遮断し、磁界を通過させるために、前記アンテナの伸びる方向と交差するように形成されたスリットをアンテナの長さ方向に沿って複数配列した導電板であることを特徴とする請求項1に記載の成膜装置。
  3. 前記下側バイアス電極及び前記上側バイアス電極は、前記回転テーブル上の基板に対して夫々隙間領域を介して配置されていることを特徴とする請求項1または2に記載の成膜装置。
  4. 前記処理ガス供給部に対して回転テーブルの回転方向に離間した位置に設けられ、当該処理ガス供給部から供給される処理ガスと反応するガスを供給するための別の処理ガス供給部と、
    前記処理ガス供給部及び前記別の処理ガス供給部から夫々ガスが供給される処理領域同士を互いに分離するために、これら処理領域同士の間に設けられた分離領域に対して分離ガスを各々供給するための分離ガス供給部と、を備えていることを特徴とする請求項1ないし3のいずれか一つに記載の成膜装置。
  5. 前記プラズマ処理部は、プラズマ発生用ガスをプラズマ化するためのプラズマ発生用高周波電源を備えており、このプラズマ発生用高周波電源は、前記高周波電源部を兼用していることを特徴とする請求項1に記載の成膜装置。
  6. 前記プラズマ処理部は、前記プラズマ発生領域に容量結合プラズマを発生させるために、互いに対向するように配置された一対の対向電極を備えていることを特徴とする請求項5に記載の成膜装置。
  7. 基板を載置する基板載置領域を公転させるために真空容器内に設けられた回転テーブルと、
    プラズマ発生領域にて基板に対してプラズマ処理を行うために、プラズマ発生用ガスをプラズマ化して生成したプラズマを前記基板載置領域に供給するためのプラズマ処理部と、
    プラズマ中のイオンを基板の表面に引き込むために、前記回転テーブル上の基板の高さ位置よりも下方側に設けられた下側バイアス電極及び前記高さ位置と同じかあるいは当該高さ位置よりも上方側に配置された上側バイアス電極と、
    これらバイアス電極の少なくとも一方に接続され、前記下側バイアス電極及び前記上側バイアス電極が前記プラズマ発生領域を介して容量結合されて基板にバイアス電位を形成するための高周波電源部と、
    前記真空容器内を排気するための排気機構と、を備えたことを特徴とする基板処理装置。
  8. 真空容器内にて基板に対して成膜処理を行うための成膜方法において、
    回転テーブル上の基板載置領域に、表面に凹部が形成された基板を載置すると共に、この基板載置領域を公転させる工程と、
    次いで、前記基板載置領域の基板に対して処理ガスを供給して、当該基板上に分子層あるいは原子層を成膜する工程と、
    続いて、前記真空容器内のプラズマ発生領域にプラズマ発生用ガスを供給すると共に、このプラズマ発生用ガスをプラズマ化して、プラズマによって前記分子層あるいは原子層の改質処理を行う工程と、
    前記回転テーブル上の基板の高さ位置よりも下方側に設けられた下側バイアス電極及び前記高さ位置と同じかあるいは当該高さ位置よりも上方側に配置された上側バイアス電極の少なくとも一方に給電して、前記プラズマ発生領域を介して前記下側バイアス電極及び前記上側バイアス電極を容量結合させて基板にバイアス電位を形成することにより、当該基板の表面にプラズマ中のイオンを引き込む工程と、
    前記真空容器内を排気する工程と、を含むことを特徴とする成膜方法。
JP2012273581A 2012-12-14 2012-12-14 成膜装置、基板処理装置及び成膜方法 Active JP5939147B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2012273581A JP5939147B2 (ja) 2012-12-14 2012-12-14 成膜装置、基板処理装置及び成膜方法
US14/100,224 US9583312B2 (en) 2012-12-14 2013-12-09 Film formation device, substrate processing device, and film formation method
KR1020130153691A KR101672078B1 (ko) 2012-12-14 2013-12-11 성막 장치, 기판 처리 장치 및 성막 방법
TW102146010A TWI546407B (zh) 2012-12-14 2013-12-13 成膜裝置、基板處理裝置及成膜方法
CN201310686986.8A CN103866297B (zh) 2012-12-14 2013-12-13 成膜装置、基板处理装置及成膜方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012273581A JP5939147B2 (ja) 2012-12-14 2012-12-14 成膜装置、基板処理装置及び成膜方法

Publications (2)

Publication Number Publication Date
JP2014120564A true JP2014120564A (ja) 2014-06-30
JP5939147B2 JP5939147B2 (ja) 2016-06-22

Family

ID=50905292

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012273581A Active JP5939147B2 (ja) 2012-12-14 2012-12-14 成膜装置、基板処理装置及び成膜方法

Country Status (5)

Country Link
US (1) US9583312B2 (ja)
JP (1) JP5939147B2 (ja)
KR (1) KR101672078B1 (ja)
CN (1) CN103866297B (ja)
TW (1) TWI546407B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016157884A (ja) * 2015-02-26 2016-09-01 東京エレクトロン株式会社 シリコン含有膜の成膜方法
KR20170052505A (ko) * 2015-11-04 2017-05-12 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기판 보유 지지 부재
JP2018041531A (ja) * 2016-09-05 2018-03-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2018160507A (ja) * 2017-03-22 2018-10-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
WO2020027593A1 (ko) * 2018-08-01 2020-02-06 한양대학교 산학협력단 전자 및 이온 조절을 이용한 박막 증착 방법
JP2021528849A (ja) * 2018-06-18 2021-10-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 対にされた動的平行板の容量結合プラズマ
JP2022542271A (ja) * 2019-07-30 2022-09-30 江蘇魯▲もん▼儀器有限公司 誘導結合プラズマ処理システム
US11804365B2 (en) 2019-09-02 2023-10-31 Kokusai Electric Corporation Substrate processing apparatus, plasma generating apparatus, and method of manufacturing semiconductor device

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US9613783B2 (en) * 2014-07-24 2017-04-04 Applied Materials, Inc. Method and apparatus for controlling a magnetic field in a plasma chamber
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
KR20160028612A (ko) * 2014-09-03 2016-03-14 삼성전자주식회사 반도체 제조 장치 및 이를 이용한 반도체 소자의 제조 방법
JP6361495B2 (ja) * 2014-12-22 2018-07-25 東京エレクトロン株式会社 熱処理装置
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6640608B2 (ja) * 2016-03-02 2020-02-05 東京エレクトロン株式会社 基板処理装置
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10276426B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR102084296B1 (ko) * 2016-12-15 2020-03-03 도쿄엘렉트론가부시키가이샤 성막 방법, 붕소 막 및 성막 장치
US20190259647A1 (en) * 2018-02-17 2019-08-22 Applied Materials, Inc. Deposition ring for processing reduced size substrates
JP6981356B2 (ja) * 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
TW202226897A (zh) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 濾波器電路
CN113718223A (zh) * 2021-08-27 2021-11-30 北京北方华创微电子装备有限公司 下电极装置及半导体工艺设备

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213378A (ja) * 1994-11-09 1996-08-20 Hitachi Electron Eng Co Ltd プラズマcvd装置及び酸化膜の成膜方法
JPH098014A (ja) * 1995-06-15 1997-01-10 Tokyo Electron Ltd プラズマ成膜方法及びその装置
JPH11251303A (ja) * 1997-10-20 1999-09-17 Tokyo Electron Yamanashi Ltd プラズマ処理装置
JP2008130651A (ja) * 2006-11-17 2008-06-05 Matsushita Electric Ind Co Ltd プラズマエッチング装置
JP2008251830A (ja) * 2007-03-30 2008-10-16 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2010518259A (ja) * 2007-02-12 2010-05-27 東京エレクトロン株式会社 原子層堆積システム及び方法
JP4503702B2 (ja) * 2008-06-17 2010-07-14 株式会社シンクロン バイアススパッタ装置
JP4550113B2 (ja) * 2005-04-28 2010-09-22 キヤノンアネルバ株式会社 エッチング方法、低誘電率誘電体膜の製造方法、多孔性部材の製造方法並びにエッチング装置及び薄膜作製装置
JP2010239102A (ja) * 2008-06-27 2010-10-21 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2011040574A (ja) * 2009-08-11 2011-02-24 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
JP2011146464A (ja) * 2010-01-13 2011-07-28 Panasonic Corp プラズマ処理装置
JP2011151343A (ja) * 2009-12-25 2011-08-04 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5309063A (en) 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6149760A (en) * 1997-10-20 2000-11-21 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6451161B1 (en) 2000-04-10 2002-09-17 Nano-Architect Research Corporation Method and apparatus for generating high-density uniform plasma
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP2002237486A (ja) 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US6685799B2 (en) 2001-03-14 2004-02-03 Applied Materials Inc. Variable efficiency faraday shield
JP3903730B2 (ja) 2001-04-04 2007-04-11 松下電器産業株式会社 エッチング方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US20040163595A1 (en) 2003-02-26 2004-08-26 Manabu Edamura Plasma processing apparatus
US7232767B2 (en) 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP4875527B2 (ja) 2007-03-29 2012-02-15 三菱重工業株式会社 プラズマ発生装置およびこれを用いた薄膜形成装置
JP2008288437A (ja) 2007-05-18 2008-11-27 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP2010126797A (ja) 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
JP4621287B2 (ja) 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
JP5141607B2 (ja) 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5131240B2 (ja) 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
WO2011022612A2 (en) 2009-08-21 2011-02-24 Mattson Technology, Inc. Inductive plasma source
JP5444961B2 (ja) 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5482196B2 (ja) 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20110204023A1 (en) 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
JP5635367B2 (ja) 2010-10-29 2014-12-03 株式会社イー・エム・ディー プラズマ処理装置
US9398680B2 (en) 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
JP5602711B2 (ja) 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213378A (ja) * 1994-11-09 1996-08-20 Hitachi Electron Eng Co Ltd プラズマcvd装置及び酸化膜の成膜方法
JPH098014A (ja) * 1995-06-15 1997-01-10 Tokyo Electron Ltd プラズマ成膜方法及びその装置
JPH11251303A (ja) * 1997-10-20 1999-09-17 Tokyo Electron Yamanashi Ltd プラズマ処理装置
JP4550113B2 (ja) * 2005-04-28 2010-09-22 キヤノンアネルバ株式会社 エッチング方法、低誘電率誘電体膜の製造方法、多孔性部材の製造方法並びにエッチング装置及び薄膜作製装置
JP2008130651A (ja) * 2006-11-17 2008-06-05 Matsushita Electric Ind Co Ltd プラズマエッチング装置
JP2010518259A (ja) * 2007-02-12 2010-05-27 東京エレクトロン株式会社 原子層堆積システム及び方法
JP2008251830A (ja) * 2007-03-30 2008-10-16 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4503702B2 (ja) * 2008-06-17 2010-07-14 株式会社シンクロン バイアススパッタ装置
JP2010239102A (ja) * 2008-06-27 2010-10-21 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2011040574A (ja) * 2009-08-11 2011-02-24 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
JP2011151343A (ja) * 2009-12-25 2011-08-04 Tokyo Electron Ltd プラズマ処理装置
JP2011146464A (ja) * 2010-01-13 2011-07-28 Panasonic Corp プラズマ処理装置

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101991550B1 (ko) * 2015-02-26 2019-06-20 도쿄엘렉트론가부시키가이샤 실리콘 함유막의 성막 방법
KR20160104562A (ko) * 2015-02-26 2016-09-05 도쿄엘렉트론가부시키가이샤 실리콘 함유막의 성막 방법
JP2016157884A (ja) * 2015-02-26 2016-09-01 東京エレクトロン株式会社 シリコン含有膜の成膜方法
KR20170052505A (ko) * 2015-11-04 2017-05-12 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기판 보유 지지 부재
JP2017092093A (ja) * 2015-11-04 2017-05-25 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板保持部材
KR102106666B1 (ko) * 2015-11-04 2020-05-04 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기판 보유 지지 부재
JP2018041531A (ja) * 2016-09-05 2018-03-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
US11094509B2 (en) 2016-09-05 2021-08-17 Hitachi High-Tech Corporation Plasma processing apparatus
JP2018160507A (ja) * 2017-03-22 2018-10-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP2021528849A (ja) * 2018-06-18 2021-10-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 対にされた動的平行板の容量結合プラズマ
JP7078762B2 (ja) 2018-06-18 2022-05-31 アプライド マテリアルズ インコーポレイテッド 対にされた動的平行板の容量結合プラズマ
JP2022122897A (ja) * 2018-06-18 2022-08-23 アプライド マテリアルズ インコーポレイテッド 対にされた動的平行板の容量結合プラズマ
JP7422807B2 (ja) 2018-06-18 2024-01-26 アプライド マテリアルズ インコーポレイテッド 対にされた動的平行板の容量結合プラズマ
WO2020027593A1 (ko) * 2018-08-01 2020-02-06 한양대학교 산학협력단 전자 및 이온 조절을 이용한 박막 증착 방법
JP2022542271A (ja) * 2019-07-30 2022-09-30 江蘇魯▲もん▼儀器有限公司 誘導結合プラズマ処理システム
JP7364288B2 (ja) 2019-07-30 2023-10-18 江蘇魯▲もん▼儀器股▲ふん▼有限公司 誘導結合プラズマ処理システム
US11804365B2 (en) 2019-09-02 2023-10-31 Kokusai Electric Corporation Substrate processing apparatus, plasma generating apparatus, and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
TW201441413A (zh) 2014-11-01
US20140170859A1 (en) 2014-06-19
CN103866297B (zh) 2017-06-09
KR20140077841A (ko) 2014-06-24
US9583312B2 (en) 2017-02-28
CN103866297A (zh) 2014-06-18
JP5939147B2 (ja) 2016-06-22
TWI546407B (zh) 2016-08-21
KR101672078B1 (ko) 2016-11-02

Similar Documents

Publication Publication Date Title
JP5939147B2 (ja) 成膜装置、基板処理装置及び成膜方法
JP5971144B2 (ja) 基板処理装置及び成膜方法
JP6011417B2 (ja) 成膜装置、基板処理装置及び成膜方法
JP6040609B2 (ja) 成膜装置及び成膜方法
KR101563773B1 (ko) 성막 장치, 성막 방법 및 기억 매체
JP5803714B2 (ja) 成膜装置
JP2015165549A (ja) 基板処理方法及び基板処理装置
TWI569692B (zh) 電漿處理裝置及電漿處理方法
JP2013045903A (ja) 成膜装置、基板処理装置及びプラズマ発生装置
JP2015090916A (ja) 基板処理装置及び基板処理方法
KR102198727B1 (ko) 보호막 형성 방법
JP2015220293A (ja) プラズマ処理方法及びプラズマ処理装置
JP2017107963A (ja) プラズマ処理装置及び成膜方法
KR101802022B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR102460932B1 (ko) 기판 처리 장치
US20210351005A1 (en) Plasma processing apparatus and plasma processing method
KR102658168B1 (ko) 성막 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150421

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160322

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160419

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160502

R150 Certificate of patent or registration of utility model

Ref document number: 5939147

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250