TWI602943B - 基板處理方法及基板處理裝置 - Google Patents

基板處理方法及基板處理裝置 Download PDF

Info

Publication number
TWI602943B
TWI602943B TW104103985A TW104103985A TWI602943B TW I602943 B TWI602943 B TW I602943B TW 104103985 A TW104103985 A TW 104103985A TW 104103985 A TW104103985 A TW 104103985A TW I602943 B TWI602943 B TW I602943B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
plasma
processing
supply device
Prior art date
Application number
TW104103985A
Other languages
English (en)
Other versions
TW201602383A (zh
Inventor
加藤壽
佐藤潤
村田昌弘
大下健太郎
菅野智子
三浦繁博
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201602383A publication Critical patent/TW201602383A/zh
Application granted granted Critical
Publication of TWI602943B publication Critical patent/TWI602943B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Description

基板處理方法及基板處理裝置
本發明係關於一種基板處理方法及基板處理裝置。
在半導體裝置製造中,係藉由原子層沉積(ALD:Atomic Layer Deposition)法等方法來對為被處理體的半導體晶圓(以下,稱作晶圓)實施各種成膜處理。
近年來,作為實施ALD法的成膜裝置,所謂旋轉台式之成膜裝置的研究開發乃有所進展。此成膜裝置係具有可旋轉地配置於真空容器內,並形成有分別載置複數個晶圓的直徑較晶圓略大之凹部的旋轉台。然後,具有於此旋轉台上方被區劃的反應氣體A供給區域、反應氣體B供給區域、分離該等供給區域的分離區域。
又,旋轉台式之成膜裝置中,如日本特開2013-161874號公報所示,係搭載有電漿產生部。利用電漿產生部所生成的電漿,來在基板上實施各種(機能)膜之成膜處理等。
然而,在使用日本特開2013-161874號公報所記載的基板處理裝置之成膜處理中,會發生有依照晶圓上之圖案表面積而使得晶圓面內成膜量變動之所謂的負載效應。
針對上述問題而提供一種可抑制負載效應之發生,並可形成所欲膜質之薄膜的基板處理方法。
提供一種基板處理方法,係使用基板處理裝置之基板處理方法,該基板處理裝置具有:真空容器;旋轉台,係可旋轉地設置於該真空容器內,並於表面形成有載置基板的基板載置部;處理氣體供給裝置,係供給吸附於該基板表面的處理氣體;第1電漿處理用氣體供給裝置及第2電漿處理用氣體供給裝置,係分別供給第1電漿處理用氣體及第2電漿處理用氣體至該基板表面;第1分離氣體供給裝置,係供給分離該處理氣體與該第1電漿處理用氣體的分離氣體;第2分離氣體供給裝置,係供給分離該處理氣體與該第2電漿處理用氣體的分離氣體;第1電漿產生裝置及第2電漿產生裝置,係分別電漿化該第1電漿處理用氣體及電漿化該第2電漿處理用氣體;其中第1分離氣體供給裝置、第1電漿處理用氣體供給裝置、第2電漿處理用氣體供給裝置、第2分離氣體供給裝置係在該旋轉台之旋轉方向上,自該處理氣體供給裝置而依此順序來加以設置;該基板處理方法具有:供給該處理氣體至該基板的工序;供給該分離氣體至該基板的工序;在該第1電漿產生裝置與該旋轉台之間的距離為第1距離的狀態下,供給該第1電漿處理用氣體至該基板的工序;在該第2電漿產生裝置與該旋轉台之間的距離為較該第1距離要小的第2距離的狀態下,供給該第2電漿處理用氣體至該基板的工序;以及供給該分離氣體至該基板的工序。
又,作為其他態樣,而提供一種基板處理方法,係包含:供給含矽氣體至處理室內所設置的基板而讓該含矽氣體吸附於該基板表面的工序;於吸附有該含矽氣體的該基板表面,使用由含氫氣的第1電漿處理用氣體所生成的第1電漿來實施第1電漿處理的工序;以及 於被實施了該第1電漿處理的該基板表面,使用由不含氫氣而含氨氣的第2電漿處理用氣體所生成的第2電漿來實施第2電漿處理的工序。
又,作為其他態樣,而提供一種基板處理裝置,係具有:真空容器;旋轉台,係可旋轉地設置於該真空容器內,並於表面形成有載置基板的基板載置部;處理氣體供給裝置,係供給吸附於該基板表面的處理氣體;第1電漿處理用氣體供給裝置及第2電漿處理用氣體供給裝置,係分別供給第1電漿處理用氣體及第2電漿處理用氣體至該基板表面;第1分離氣體供給裝置,係供給分離該處理氣體與該第1電漿處理用氣體的分離氣體;第2分離氣體供給裝置,係供給分離該處理氣體與該第2電漿處理用氣體的分離氣體;第1電漿產生裝置及第2電漿產生裝置,係分別電漿化該第1電漿處理用氣體及該第2電漿處理用氣體;以及控制部;其中第1分離氣體供給裝置、第1電漿處理用氣體供給裝置、第2電漿處理用氣體供給裝置、第2分離氣體供給裝置係在該旋轉台之旋轉方向上,自該處理氣體供給裝置而依此順序來加以設置;該控制部,係以供給該處理氣體至該基板;供給該分離氣體至供給有該處理氣體的該基板;在該第1電漿產生裝置與該旋轉台之間的距離為第1距離的狀態下,供給該第1電漿處理用氣體至供給有該分離氣體的該基板;在該第2電漿產生裝置與該旋轉台之間的距離為較該第1距離要小的第2距離的狀態下,供給該第2電漿處理用氣體至供給有該第1電漿處理用氣體的該基板;以及供給該分離氣體至供給有該第2電漿處理用氣體的該基板之方式來控制該基板處理裝置。
1‧‧‧真空容器
2‧‧‧旋轉台
7‧‧‧加熱單元
11‧‧‧頂板
11a‧‧‧開口部
11b‧‧‧內周面
11c‧‧‧密封構件
12‧‧‧容器本體
12a‧‧‧突出部
13‧‧‧密封構件
14‧‧‧底面部
15‧‧‧搬送口
21‧‧‧核心部
22‧‧‧旋轉軸
23‧‧‧驅動部
24‧‧‧凹部
32(34)‧‧‧噴嘴
43‧‧‧溝部
44‧‧‧頂面
45‧‧‧頂面
51‧‧‧分離氣體供給管
61‧‧‧排氣口
62‧‧‧排氣口
63‧‧‧排氣管
64‧‧‧真空幫浦
65‧‧‧壓力調整部
71a(71)‧‧‧罩構件
72‧‧‧吹淨氣體供給管
73‧‧‧吹淨氣體供給管
81a(81b)‧‧‧電漿產生部
82‧‧‧環狀構件
82a‧‧‧波紋管
83‧‧‧天線
84‧‧‧匹配器
85‧‧‧高頻電源
86‧‧‧連接電極
90‧‧‧框體
91‧‧‧押壓構件
92‧‧‧突起部
94‧‧‧絕緣板
95‧‧‧法拉第屏蔽
95a‧‧‧水平面
95b‧‧‧垂直面
96‧‧‧支撐部
97‧‧‧狹縫
97a‧‧‧導電路徑
98‧‧‧開口部
99‧‧‧框狀體
100‧‧‧側環
101‧‧‧氣體流路
110‧‧‧迂迴構造部
120‧‧‧控制部
121‧‧‧記憶部
230‧‧‧噴嘴罩
231‧‧‧罩體
232‧‧‧整流板
C‧‧‧中心部區域
D‧‧‧分離區域
P1‧‧‧第1處理區域
P2‧‧‧第2處理區域
P3‧‧‧第3處理區域
W‧‧‧晶圓
圖1係本實施形態相關的基板處理裝置之一範例的概略縱剖面圖。
圖2係本實施形態相關的基板處理裝置之一範例的概略俯視圖。
圖3係本實施形態相關的基板處理裝置之沿著旋轉台的同心圓的剖面圖。
圖4係本實施形態相關的電漿產生部之一範例的縱剖面圖。
圖5係本實施形態相關的電漿產生部之一範例的立體分解圖。
圖6係設置於本實施形態相關的電漿產生部之框體一範例的立體圖。
圖7係本實施形態相關的電漿產生部之一範例的俯視圖。
圖8係顯示設置於本實施形態相關的電漿產生部之法拉第屏蔽的一部分的立體圖。
圖9係本實施形態相關的基板處理方法之一範例的流程圖。
圖10係用以說明本實施形態相關的基板處理方法之效果一範例的概略圖。
圖11係用以說明本實施形態相關的基板處理方法之效果其他範例的概略圖。
圖12A及圖12B係用以說明本實施形態相關的基板處理方法之效果其他範例的概略圖。
圖13係用以說明本實施形態相關的基板處理方法之效果其他範例的概略圖。
圖14係顯示本發明實施形態相關的基板處理方法之一範例的處理流程的圖式。
圖15A至圖15C係顯示在進行圖14所示處理流程之情形中,發生在晶圓表面之化學反應模式的圖式。
圖16係顯示比較例相關的基板處理方法之一範例所示之處理流程的圖式。
圖17A至圖17C係顯示在進行圖16所示之比較例相關的基板處理方法的處理流程之情形中,發生在晶圓W表面的化學反應模式的圖式。
圖18係顯示於晶圓形成有圖案,並具有較平坦面要大10倍表面積之情形中,第3實施形態相關的基板處理方法與比較例相關的基板處理方法 之X線的比較結果的圖式。
圖19係顯示於晶圓形成有圖案,並具有較平坦面要大10倍表面積之情形中,第3實施形態相關的基板處理方法與比較例相關的基板處理方法之Y線的比較結果的圖式。
以下,便參照附加圖式,而就適於實施本實施形態相關的基板處理方法的基板處理裝置來加以說明。本實施形態相關的基板處理裝置係構成為藉由ALD法來在晶圓W表面沉積反應生成物而成膜薄膜,並在此薄膜之成膜中對晶圓W進行電漿處理。
(基板處理裝置之構成)
於圖1顯示本實施形態相關的基板處理裝置之一範例的概略縱剖面圖。又,於圖2顯示本實施形態相關的基板處理裝置之一範例的概略俯視圖。另外,圖2中,為了說明簡便,而省略頂板11之描繪。
如圖1所示,本實施形態相關的基板處理裝置係具備有:平面形狀為略圓形的真空容器1,以及設置於此真空容器1內,且於真空容器1之中心具有旋轉中心,並用以讓晶圓W公轉的旋轉台2。
真空容器1係具備有對向於旋轉台2之後述凹部24的位置上所設置的頂板(頂部)11,以及容器本體12。又,容器本體12上面之邊緣部係設置有設置為環狀的密封構件13。然後,頂板11係構成為可從容器本體12裝卸。俯視觀之的真空容器1之直徑尺寸(內徑尺寸)雖未被限定,但可為例如1100mm左右。
真空容器1內之上面側的中央部係為了抑制互異的處理氣體彼此於真空容器1內之中心部區域C中混合而連接有供給分離氣體之分離氣體供給管51。
旋轉台2係以中心部被固定於略圓筒狀核心部21,且藉由驅動部23來相對於連接在此核心部21下面並往鉛直方向延伸的旋轉軸22,在圖2所示範例中為順時針地繞鉛直軸而旋轉自如地加以構成。旋轉台2之直徑尺寸雖未限定,但可為例如1000mm左右。
旋轉軸22及驅動部23係被收納至殼體20,且此殼體20上面側凸緣部分會氣密地安裝至真空容器1之底面部14下面。又,此殼體20係連接有用以將氮氣作為吹淨氣體(分離氣體)而供給至旋轉台2下方區域的吹淨氣體供給管72。
真空容器1之底面部14的核心部21外周側係以從下方側往旋轉台2接近之方式來形成為環狀而成為突出部12a。
旋轉台2表面部係形成有作為基板載置區域而用以載置直徑尺寸為例如300mm之晶圓W的圓形凹部24。此凹部24係沿著旋轉台2之旋轉方向而設置在複數處,例如5處。凹部24係具有直徑較晶圓W稍微要大,具體而言為1mm至4mm左右的內徑。又,凹部24之深度係幾乎等於晶圓W之厚度,或者構成為較晶圓W之厚度要大。因此,在收容晶圓W於凹部24時,晶圓W表面會與旋轉台2未載置有晶圓W之區域的表面同高度,或者晶圓W之表面會較旋轉台2表面要低。另外,凹部24之深度即使是在較晶圓W厚度要深的情形中,因為過深時會對成膜造成影響,故較佳是至晶圓W厚度之3倍左右之深度。
凹部24底面係形成有用以讓從下方側舉起晶圓W而昇降之如後述的3根昇降銷會貫通的未圖示之貫通孔。
如圖2所示,對向於旋轉台2的凹部24通過區域的位置上係在真空容器1之圓周方向上相互隔開間隔而放射狀地配置有由例如石英所構成的複數根,例如5根噴嘴31、32、34、41、42。各該等噴嘴31、32、34、41、42係配置於旋轉台2與頂板11之間。又,各該等噴嘴31、32、34、41、42係以從例如真空容器1外周壁朝向中心部區域C而對向於晶圓W水平地延伸之方式來加以安裝。
在圖2所示範例中,係從第1處理氣體噴嘴31順時針(旋轉台2之旋轉方向)地依序排列有分離氣體噴嘴42、第1電漿處理用氣體噴嘴32、第2電漿處理用氣體噴嘴34、分離氣體噴嘴41。然而,本實施形態相關的基板處理裝置不限於此形態,旋轉台2之旋轉方向亦可為逆時針,在此情形中,係從第1處理氣體噴嘴31逆時針地依序排列有分離氣體噴嘴42、第1電漿處理用氣體噴嘴32、第2電漿處理用氣體噴嘴34、分離氣體噴嘴41。
如圖2所示,第1電漿處理用氣體噴嘴32、第2電漿處理用氣體噴嘴34上方側係為了將從各電漿處理用氣體噴嘴所吐出的氣體電漿化而分別設置有電漿產生部81a、81b。關於該等電漿產生部81a、81b係於後加以描述。
另外,本實施形態中,雖然已顯示在各處理區域配置1個噴嘴的範例,但亦可是在各處理區域配置複數個噴嘴的構成。例如,第1電漿處理用氣體噴嘴32可為由複數個電漿處理用氣體噴嘴所構成,並分別供給後述的氬氣(Ar)、氨氣(NH3)、氫氣(H2)等之構成,亦可為僅配置1個電漿處理用氣體噴嘴,而供給氬氣、氨氣及氫氣的混合氣體之構成。
第1處理氣體噴嘴31係成為第1處理氣體供給部。又,第1電漿處理用氣體噴嘴32係成為第1電漿處理用氣體供給部,而第2電漿處理用氣體噴嘴34係成為第2電漿處理用氣體供給部。進一步地,分離氣體噴嘴41、42係分別成為分離氣體供給部。
各噴嘴31、32、34、41、42係透過流量調整閥而連接於未圖示的各氣體供給源。
作為從第1處理氣體噴嘴31所供給的第1處理氣體之一範例亦可使用DCS[二氯矽烷]、HCD[六氯二矽甲烷]、DIPAS[二異丙基胺基矽烷]、3DMAS[三(二甲胺基)矽烷]氣體、BTBAS[二(特丁胺基)矽烷]等之含矽氣體,或TiCl4[四氯化鈦]、Ti(MPD)(THD)[(甲基戊二酮酸)(雙四甲基庚二酮酸)-鈦]、TMA[三甲基鋁]、TEMAZ[四(乙基甲基胺基酸)-鋯]、TEMHF[四(乙基甲基胺基酸)-鉿]、Sr(THD)2[二(四甲基庚二酮酸)-鍶]等之含金屬氣體。
作為從第1電漿處理用氣體噴嘴32及第2電漿處理用氣體噴嘴34所供給的電漿處理用氣體雖然可因應電漿之利用用途等而適當選擇,但可例舉有例如主要用以產生電漿的氬氣或氦氣(He),以及用以氮化吸附於晶圓W上的第1處理氣體,並改質所得到的氮化膜之氨氣及氫氣的混合氣體等。另外,第1電漿處理用氣體噴嘴32及第2電漿處理用氣體噴嘴34所吐出的電漿處理用氣體可為相同氣體種類,亦可為相異氣體種類。可因應所欲的電漿處理,而選擇各電漿處理用氣體。
作為從分離氣體噴嘴41、42所供給的分離氣體係例舉有例如氮氣(N2)等。
如上述,圖2所示範例中,從第1處理氣體噴嘴31順時針(旋轉台2之旋轉方向)地依序排列有分離氣體噴嘴42、第1電漿處理用氣體噴嘴32、第2電漿處理用氣體噴嘴34、分離氣體噴嘴41。亦即,在晶圓W之實際處理中,供給了從第1處理氣體噴嘴31所供給的第1處理氣體的晶圓W係以來自分離氣體噴嘴42的分離氣體、來自第1電漿處理用氣體噴嘴32的電漿處理用氣體、來自第2電漿處理用氣體噴嘴34的電漿處理用氣體、來自分離氣體噴嘴41的分離氣體之順序,而暴露於氣體。
該等噴嘴31、32、34、41、42下面側(對向於旋轉台2之側)係沿著旋轉台2之半徑方向而在複數處例如等間隔地形成有用以吐出上述各氣體的氣體吐出孔33。各噴嘴31、32、34、41、42的各下端緣與旋轉台2上面之間隔距離係被配置成例如1~5mm左右。
第1處理氣體噴嘴31之下方區域為用以讓第1處理氣體吸附至晶圓W的第1處理區域P1。又,第1電漿處理用氣體噴嘴32之下方區域為用以進行晶圓W上之薄膜的第1電漿處理的第2處理區域P2,而第2電漿處理用氣體噴嘴34之下方區域係用以進行晶圓W上之薄膜的第2電漿處理的第3處理區域P3。
於圖3顯示本實施形態相關的基板處理裝置之沿著旋轉台同心圓的剖面圖。另外,圖3係從分離區域D經過第1處理區域P1而到分離區域D為止的剖面圖。
分離區域D中的真空容器1之頂板11係設置有略扇形凸狀部4。凸狀部4係安裝於頂板11之內面,真空容器1內係形成有為凸狀部4下面之平坦的低頂面44(第1頂面),以及位於此頂面44之圓周方向兩側,且較頂面44要高的頂面45(第2頂面)。
如圖2所示,形成頂面44的凸狀部4係具有頂部被圓弧狀地裁切的扇型平面形狀。又,凸狀部4係形成有於圓周方向中央以延伸於半徑方向之方式所形成的溝部43,且分離氣體噴嘴41、42會被收容在此溝部43內。另外,凸狀部4之周緣部(真空容器1之外緣側部位)係為了阻止各處理氣體彼此混合,而以對向於旋轉台2外端面並相對於容器本體12稍微遠離之方式來彎曲為L字型。
第1處理氣體噴嘴31上方側係為了讓第1處理氣體沿著晶圓W通流,且讓分離氣體避開晶圓W附近而流通於真空容器1之頂板11側,故設置有噴嘴罩230。如圖3所示,噴嘴罩230係為了收納第1處理氣體噴嘴31而具備有於下面側開口的略箱形罩體231,以及分別連接於此罩體231下面側開口端中的旋轉台2之旋轉方向上游側及下游側而為板狀體的整流板232。另外,旋轉台2之旋轉中心側中的罩體231之側壁面係以對向於第1處理氣體噴嘴31前端部之方式而朝向旋轉台2伸出。又,旋轉台2外緣側中的罩體231之側壁面係以不干擾第1處理氣體噴嘴31之方式而開設有槽口。
接下來,便就第1電漿處理用氣體噴嘴32、34上方側所分別配置的第1電漿產生部81a及第2電漿產生部81b來詳細地加以說明。另外,本實施形態中,第1電漿產生部81a及第2電漿產生部81b雖然可實施各自獨立的電漿處理,但各具體的構成係可使用相同構成。
於圖4顯示本實施形態相關的電漿產生部之一範例的縱剖面圖。又,於圖5顯示本實施形態相關的電漿產生部之一範例的立體分解圖。進一步地,於圖6顯示設置於本實施形態相關的電漿產生部的框體之一範例的立體圖。
電漿產生部81a、81b係將由金屬線等所形成的天線83線圈狀地例如繞垂直軸捲繞3圈而加以構成。又,電漿產生部81在俯視下係以包圍延伸於旋轉台2徑向的帶狀體區域且橫跨旋轉台2上之晶圓W的直徑部分之方式來加以配置。
天線83係透過匹配器84而連接於頻率為例如13.56MHz且輸出電力為例如5000W的高頻電源85。然後,此天線83係以從真空容器1內部區域被氣密地區劃之方式來加以設置。另外,參照符號86為用以將天線83與匹配器84及高頻電源85電氣性連接的連接電極。
如圖4及圖5所示,第1電漿處理用氣體噴嘴32上方側中的頂板11係形成有俯視為略扇形地開口的開口部11a。
如圖4所示,開口部11a係具有沿著開口部11a之開口緣部而氣密地設置於此開口部11a的環狀構件82。後述的框體90係氣密地設置於此環狀構件82之內周面側。亦即,環狀構件82係氣密地設置在外周側會對向於面對頂板11之開口部11a的內周面11b,且內周側會對向於後述的框體90之 凸緣部90a的位置。然後,透過此環狀構件82,開口部11a係為了讓天線83位於較頂板11要下方側,而設置有由例如石英等介電體所構成的框體90。
又,如圖4所示,環狀構件82係具有可在垂直方向上伸縮的波紋管82a。又,電漿產生部81a、81b係藉由電動致動器等未圖示的驅動機構(昇降機構)來各自獨立而可昇降地加以形成。藉由對應於電漿產生部81a、81b之昇降而讓波紋管82a伸縮,來構成為在電漿處理時可改變各電漿產生部81a、81b與晶圓W(亦即,旋轉台2)之間的距離(亦即,之後稱為電漿生成空間之距離)。
如圖6所示,框體90係形成為上方側周緣部會橫跨圓周方向而水平地伸出為凸緣狀而成為凸緣部90a,且在俯視下,中央部會朝向下方側之真空容器1的內部區域凹陷。
框體90在晶圓W位於此框體90下方的情形下,係以橫跨旋轉台2之徑向上晶圓W的直徑部分之方式來加以配置。另外,環狀構件82與頂板11之間係設置有O形環等密封構件11c。
真空容器1之內部氛圍係透過環狀構件82及框體90而被氣密地設定。具體而言,將環狀構件82及框體90落入至開口部11a內,接著藉由以沿著為環狀構件82及框體90上面的環狀構件82及框體90之接觸部的方式而框狀地形成的押壓構件91來將框體90朝向下方側並橫跨於圓周方向來加以押壓。進一步地,將此押壓構件91藉由未圖示的螺栓等來固定至頂板11。藉此,真空容器1之內部氛圍便會被氣密地設定。另外,圖5中,為了簡化,而省略繪示環狀構件82。
如圖6所示,框體90下面係以沿著圓周方向包圍該框體90下方側之各處理區域P2、P3的方式而形成有朝向旋轉台2垂直地伸出的突起部92。然後,由此突起部92內周面、框體90下面及旋轉台2上面所包圍的區域係收納有上述第1電漿處理用氣體噴嘴32及第2電漿處理用氣體噴嘴34。另外,第1電漿處理用氣體噴嘴32及第2電漿處理用氣體噴嘴34之基端部(真空容器1內壁側)中的突起部92係以沿著第2電漿處理用氣體噴嘴34外形之方式而略圓弧狀地開有槽口。
如圖4所示,框體90下方側係橫跨於圓周方向而形成有突起部92。密封構件11c係藉由此突起部92而不會直接暴露於電漿,亦即,從電漿生成區域被加以隔離。因此,即使來自電漿生成區域的電漿欲擴散至例如密封構件11c側,亦因為會經由突起部92下方而行進,故在到達至密封構件11c前電漿便會失去活性。
框體90上方側係收納有由以概略沿著該框體90內部形狀之方式所形成的導電性板狀體之金屬板,例如銅所構成且接地的法拉第屏蔽95。此法拉第屏蔽95係具備有以沿著框體90底面之方式而水平地形成的水平面95a,以及從此水平面95a之外終端橫跨圓周方向而延伸於上方側的垂直面95b,且亦可構成為俯視為例如略六角形。
於圖7顯示本實施形態相關的電漿產生部之一範例的俯視圖,且於圖8顯示本實施形態相關的電漿產生部所設置的法拉第屏蔽之一部分的立體圖。
從旋轉台2之旋轉中心觀察法拉第屏蔽95之情形的右側及左側中的法拉第屏蔽95上端緣係分別於右側及左側水平地伸出而成為支撐部96。然後,法拉第屏蔽95與框體90之間係設置有從下方側支撐支撐部96且分別支撐框體90之中心部區域C側及旋轉台2之外緣部側的凸緣部90a的框狀體99。
在天線83所生成的電場到達至晶圓W的情形中,會有形成於晶圓W內部的圖案(電氣配線等)遭受電氣性地損壞的情形。因此,如圖8所示,水平面95a係為了阻止於天線83所發生的電場及磁場(電磁場)中的電場成分朝向下方的晶圓W,並讓磁場到達至晶圓W,而形成有多數個狹縫97。
如圖7及圖8所示,狹縫97係以相對於天線83捲繞方向而延伸於正交之方向的方式,橫跨圓周方向而形成在天線83的下方位置。於此,狹縫97係形成為對應於供給至天線83之高頻波長的1/10000以下左右的寬度尺寸。又,各狹縫97之長度方向上的一端側及另一端側係以堵塞該等狹縫97之開口端的方式而橫跨圓周方向配置有由接地的導電體等所形成的導電路徑97a。法拉第屏蔽95中從該等狹縫97之形成區域遠離的區域,亦即,天線83之捲繞區域的中央側係透過該區域而形成有用以確認電漿發光狀態的 開口部98。另外,上述圖2中,為了簡化,而省略狹縫97,並以一點鏈線來表示狹縫97之形成區域例。
如圖5所示,法拉第屏蔽95之水平面95a上係為了確保載置於法拉第屏蔽95上方的電漿產生部81a、81b之間的絕緣性,而層積有由厚度尺寸為例如2mm左右的石英等所形成的絕緣板94。亦即,電漿產生部81a、81b係分別透過框體90、法拉第屏蔽95及絕緣板94而以面對真空容器1內部(旋轉台2上之晶圓W)的方式來加以配置。
再來,就本實施形態相關的基板處理裝置的其他構成要件來加以說明。
如圖2所示,旋轉台2之外周側中在較旋轉台2要稍微下方位置上係配置有為罩體的側環100。側環100上面係以相互地遠離於圓周方向之方式而在例如2處形成有排氣口61、62。換言之,真空容器1之底面係形成有2個排氣口,對應於該等排氣口的位置上的側環100係形成有排氣口61、62。
本說明書中,係將排氣口61、62中的一者及另一者分別稱為第1排氣口61與第2排氣口62。於此,第1排氣口61係形成於分離氣體噴嘴42與相對於此分離氣體噴嘴42而位於旋轉台之旋轉方向下游側的第1電漿產生部81a之間。又,第2排氣口62係形成於第2電漿產生部81b與較此電漿產生部81b要靠旋轉台2之旋轉方向下游側的分離區域D之間。
第1排氣口61係用以將第1處理氣體或分離氣體排氣,而第2排氣口62係用以將電漿處理用氣體或分離氣體排氣。該等第1排氣口61及第2排氣口62係分別藉由介設有蝶閥等之壓力調整部65的排氣管63來連接於為真空排氣機構的例如真空幫浦64。
如上述,由於從中心部區域C側橫跨外緣側而配置有框體90,故相對於電漿處理區域P2、P3而從旋轉台2之旋轉方向上流側通流而來的氣體係藉由此框體90而限制為欲朝向排氣口62的氣體流。因此,較框體90要靠外周側中的側環100上面係形成有用以讓氣體流過的溝狀氣體流路101(參照圖1及圖2)。
如圖1所示,頂板11下面的中央部係設置有連續於凸狀部4之中心部區域C側部位而橫跨圓周方向形成為略環狀,且其下面會形成與凸狀部4 下面(頂面44)為相同高度的突出部5。較此突出部5要靠旋轉台2之旋轉中心側的核心部21的上方側係配置有用以抑制中心部區域C中各種氣體會相互混合的迂迴構造部110。
如上述,因為框體90係形成至靠近中心部區域C側的位置,故支撐旋轉台2中央部的核心部21係以旋轉台2之上方側部位會避開框體90之方式而形成於旋轉中心側。因此,相較於外緣部側,中心部區域C側中會成為各種氣體彼此容易混合的狀態。因此,藉由在核心部21上方側形成迂迴構造,便可讓氣體流路增長,以防止氣體彼此混合。
更具體而言,迂迴構造部110係具有在旋轉台2之半徑方向上被交互地配置而分別橫跨於圓周方向所形成之從旋轉台2側朝頂板11側而垂直地延伸的壁部與從頂板11側朝旋轉台2而垂直地延伸的壁部之構造。迂迴構造部110中,例如從第1處理氣體噴嘴31所吐出而欲往中心部區域C的第1處理氣體係必需越過迂迴構造部110。因此,便會隨著越往中心部區域C而使得流速變得越慢,而難以擴散。結果,在處理氣體到達至中心部區域C前,便會因供給至中心部區域C的分離氣體,而被推回至處理區域P1側。又,關於欲往中心部區域C的其他氣體亦同様地藉由迂迴構造部110而難以到達中心部區域C。因此,便會防止處理氣體彼此在中心部區域C中相互混合。
另一方面,從分離氣體供給管51供給至此中心部區域C的分離氣體雖然欲強勢地擴散至圓周方向,但因為設置了迂迴構造部110,故在越過迂迴構造部110時流速便會被抑制。此情形中,雖然氮氣亦欲朝例如旋轉台2與突起部92之間的極狹小區域入侵,但因為藉由迂迴構造部110而抑制了流速,故會朝例如搬送臂10之進退區域等較寬廣的區域流入。因此,朝框體90下方側流入的氮氣便會被抑制。
如圖1所示,旋轉台2與真空容器1底面部14之間的空間係設置有為加熱機構的加熱單元7。加熱單元7係構成為可透過旋轉台2而將旋轉台2上之晶圓W加熱至例如室溫~760℃左右。另外,圖1中的參照符號71a為加熱單元7側邊側所設置的罩構件,且參照符號7a為覆蓋此加熱單元7上方側的覆蓋構件。又,真空容器1底面部14係在加熱單元7下方側中橫 跨圓周方向而於複數處設置有用以吹淨加熱單元7之配置空間的吹淨氣體供給管73。
如圖2所示,真空容器1側壁係形成有用以於搬送臂10與旋轉台2之間進行晶圓W收授的搬送口15。此搬送口15係構成為藉由閘閥G而氣密地開閉自如。然後,在搬送臂10會相對於真空容器1而進退的區域中的頂板11上方係設置有用以檢測晶圓W周緣部的攝像單元10a。此攝像單元10a係藉由拍攝晶圓W周緣部,來為了檢測例如搬送臂10上有無晶圓W,或者旋轉台2所載置的晶圓W之錯位,或者搬送臂10上的晶圓W之錯位而被加以使用。攝像單元10a係構成為具有對應於晶圓W直徑尺寸左右寬度的寬廣視野。
旋轉台2之凹部24係在面對於此搬送口15的位置上與搬送臂10之間進行晶圓W之收授。因此,旋轉台2下方側對應於收授位置處係設置有貫通凹部24而用以將晶圓W從內面舉起的未圖示的昇降銷及昇降機構。
又,本實施形態相關的基板處理裝置係設置有由用以控制裝置全體動作之電腦所構成的控制部120。此控制部120之記憶體內係儲存有用以進行後述基板處理的程式。此程式係以實行裝置之各種動作的方式來組成有步驟群,並從為硬碟、光碟、磁光碟、記憶卡、軟碟等記憶媒體的記憶部121安裝至控制部120內。
(基板處理方法)
接著,便就使用了本實施形態相關的基板處理裝置的基板處理方法來加以說明。
在使用了利用電漿的旋轉式基板處理裝置之ALD法中,一般而言,係在讓既定處理氣體吸附於晶圓W後,利用電漿所生成的自由基及離子之能量,來在較低溫下實施既定膜之成膜及膜質改善。然而,在晶圓W上成膜例如氮化矽膜等氮化膜的情形中,雖然處理氣體吸附至晶圓W係容易以較短時間來加以實施,但所吸附的處理氣體之氮化卻要求非常多的氮化氣體,且需要長時間的反應時間。在旋轉式基板處理裝置中,因為裝置尺寸或裝置成本之問題,故可設置的電漿產生部數目、電漿處理區域之範圍(依存於電極尺寸等)皆有所制限,而需要在受限的電漿產生部數目、電漿處理區域之範圍下,可具有所欲膜質及所欲生產性。
又,成膜處理中,會因預先形成於晶圓W上的電氣配線圖案之表面積,而使得成膜速度發生變動現象(負載效應)。特別是隨著近年來半導體裝置朝電氣配線圖案的微細化之要求,便需求有一種可抑制負載效應發生,並可形成所欲膜質之薄膜的基板處理裝置。關於形成氮化膜的反應系統中的負載效應,已知在氮化部之壓力較高的情形中較容易產生,氮化部之壓力越低則越被抑制。然而,旋轉式基板處理裝置中,當將氮化部之壓力低壓化時,則讓處理氣體吸附的吸附部亦同時會被低壓化,而使得處理氣體之吸附效率降低,且使得生產性及生產成本變高。又,因為必須將真空幫浦大型化、高真空能力之幫浦的設置等,故裝置成本亦會上升。
於是,本實施形態相關的基板處理方法係使用具有:真空容器;旋轉台,係可旋轉地設置於該真空容器內,並於表面形成有載置基板的基板載置部;第1處理氣體供給裝置,係供給吸附於該基板表面的第1處理氣體;第1電漿處理用氣體供給裝置及第2電漿處理用氣體供給裝置,係分別供給第1電漿處理用氣體及第2電漿處理用氣體至該基板表面;第1分離氣體供給裝置,係供給分離該第1處理氣體與該第1電漿處理用氣體的分離氣體;第2分離氣體供給裝置,係供給分離該第1處理氣體與該第2電漿處理用氣體的分離氣體;以及第1電漿產生裝置及第2電漿產生裝置,係分別電漿化該第1電漿處理用氣體及第2電漿處理用氣體,其中第1分離氣體供給裝置、第1電漿處理用氣體供給裝置、第2電漿處理用氣體供給裝置、第2分離氣體供給裝置係在該旋轉台之旋轉方向上,自該第1處理氣體供給裝置而依此順序來加以設置之基板處理裝置,來實施下述基板處理方法。
具體而言,本實施形態相關的基板處理方法係具有:供給該第1處理氣體至該基板的工序(S100);供給該分離氣體至供給有該第1處理氣體的該基板的工序(S110); 在該第1電漿產生裝置與該旋轉台之間的距離為第1距離的狀態下,供給該第1電漿處理用氣體至供給有該分離氣體的該基板的工序(S120);在該第2電漿產生裝置與該旋轉台之間的距離為較該第1距離要小的第2距離的狀態下,供給該第2電漿處理用氣體至供給有該第1電漿處理用氣體的該基板的工序(S130);以及供給該分離氣體至供給有該第2處理氣體的該基板的工序(S140)。
本實施形態相關的基板處理方法係在電漿處理中,以電漿產生裝置與旋轉台之間的距離成為第1距離之方式,接著,以成為較第1距離要小的第2距離之方式來通過電漿處理區域。亦即,在通過離子能量較小,而自由基濃度較低的區域(第2處理區域P2)之後,再通過離子能量較大,自由基濃度較高的區域(第3處理區域P3)。藉此,便可抑制負載效應之發生,以形成所欲膜質的薄膜。
關於各工序之詳細內容,係從晶圓W之搬入開始列舉具體的實施形態來加以說明。
首先,在朝上述基板處理裝置搬入晶圓W時,首先,打開閘閥G。然後,讓旋轉台2間歇性地旋轉,並藉由搬送臂10來透過搬送口15載置於旋轉台2上。
接著,關閉閘閥G,藉由加熱單元7來將晶圓W加熱至既定溫度。然後,從第1處理氣體噴嘴31以既定流量來吐出第1處理氣體,並從第1電漿處理用氣體噴嘴32及第2電漿處理用氣體噴嘴34以既定流量來供給電漿處理用氣體。
接著,將第1電漿產生部81a與旋轉台2之間的距離設定成既定的第1距離。然後,將第2電漿產生部81b與旋轉台2之間的距離設定成較該第1距離要小的第2距離。
然後,藉由壓力調整部65將真空容器1內調整成既定壓力。又,電漿產生部81a、81b則分別對天線83施加既定輸出的高頻電力。
晶圓W表面會因旋轉台2之旋轉而在第1處理區域P1中吸附有第1處理氣體(S100)。吸附了第1處理氣體的晶圓W會因旋轉台2之旋轉而通過分離區域D(S110)。在此分離區域D中,係供給分離氣體至晶圓W表面,而除去第1處理氣體相關之不需要的物理吸附成分。
接著,晶圓W會因旋轉台2之旋轉,而通過第2處理區域P2(S120)。第2處理區域P2中,係藉由從第1電漿處理用氣體噴嘴32所供給的電漿處理用氣體之電漿,來氮化第1處理氣體,而使得所形成的氮化膜被改質處理。
一般而言,作為電漿處理用氣體之電漿所產生的活性基已知有離子及自由基,離子主要係有助於氮化膜之改質處理,自由基主要係有助於氮化膜之形成處理。又,已知離子相較於自由基壽命會較短,而藉由讓電漿產生部81a、81b與旋轉台2之間的距離變長,便會使得到達至晶圓W的離子能量大大地減少。
於此,第2處理區域P2中,第1電漿產生部81a與旋轉台2之間的距離會設定成較後述的第2距離要大的第1距離(參照S120)。藉由此較大的第1距離,第2處理區域P2中到達至晶圓W的離子便會大大地減少,而晶圓W便會主要被供給有自由基。亦即,第2處理區域P2中,晶圓W上的第1處理氣體係藉由離子能量較小的電漿來加以(初期)氮化,而形成1層或複數層為薄膜成分之氮化膜的分子層。又,所形成的氮化膜係藉由電漿而被改質處理。
又,成膜製程之初期中,活性基對晶圓的影響較大,例如在使用離子能量較大的電漿之情形中,晶圓自身會被氮化。由此觀點,第2處理區域P2中的處理較佳仍係先藉由離子能量較小的電漿來進行電漿處理。
作為第1距離,雖未限定,但從藉由離子能量較小的電漿來有效率地在晶圓W上成膜氮化膜的觀點,較佳是在45~120mm的範圍內。
接著,通過了第2處理區域P2的晶圓W會因旋轉台2之旋轉,而通過第3處理區域P3(S130)。第3處理區域P3中,係藉由從第2電漿處理用氣體噴嘴34所供給的電漿處理用氣體之電漿,與第2處理區域P2相同地來氮化第1處理氣體,而讓所形成的氮化膜被改質處理。
於此,第3處理區域P3中,第2電漿產生部81b與旋轉台2之間的距離會設定成較上述第1距離要小的第2距離(參照S130)。藉由較第1距離相對性要小的第2距離,則第3處理區域P3中,到達至晶圓W的離子量會比第2處理區域P2要多。另外,應留意的是第3處理區域P3中,到達至晶圓W的自由基量亦會比第2處理區域P2要多。因此,第3處理區域 P3中,晶圓W上的第1處理氣體係藉由具有離子能量較大且高密度自由基的電漿來加以氮化,且所形成的氮化膜係相較於第2處理區域P2而更有效率地被改質處理。
作為第2距離,雖只要較第1距離要小的話便不加以限定,但從更有效率地改質氮化膜的觀點,較佳是20~60mm之範圍內。
被電漿處理的晶圓W會因旋轉台2之旋轉而通過分離區域D(S140)。此分離區域D係以不需要的氮化氣體、改質氣體不會朝第1處理區域P1侵入之方式而將第1處理區域P1與第3處理區域P3分離的區域。
本實施形態中,藉由繼續讓旋轉台2旋轉,而第1處理氣體吸附至晶圓W表面、吸附至晶圓W表面的處理氣體成分之氮化,以及反應生成物之電漿改質,便依序持續進行數次。亦即,藉由ALD法的成膜處理與所形成的膜之改質處理會因旋轉台2之旋轉,而持續進行數次。
另外,本實施形態相關的基板處理裝置中的處理區域P1、P2間係於旋轉台2之圓周方向兩側配置分離區域D。因此,分離區域D中,處理氣體與電漿處理用氣體之混合會被阻止,且各氣體會往排氣口61、62而被加以排氣。
接著,便就實施例如晶圓W上氮化膜之成膜,及所形成的氮化膜之改質處理情形之較佳實施例來加以說明。
成膜工序中第1處理氣體的流量雖未限定,但可為例如900sccm~1500sccm左右。
電漿處理用氣體所含有的含氨氣體之流量雖未限定,但可為例如4000sccm~5000sccm左右。
真空容器1內之壓力雖未限定,但可為例如0.75Torr~0.9Torr左右。
晶圓W之溫度雖未限定,但可為例如350℃~450℃左右。
旋轉台2之旋轉速度雖未限定,但可為例如60rpm~300rpm左右。
接著,列舉具體的實施形態,來更詳細地說明本發明。
(實施例1)
就確認了本實施形態相關的基板處理方法之電漿處理中,藉由在通過離子能量較小,且自由基濃度較低的區域(第2處理區域P2)之後,再通過 離子能量較大,且自由基濃度較高的區域(第3處理區域P3),便可抑制負載效應發生,並可形成所欲膜質的薄膜之實施例1來加以說明。
使用圖1至圖8所說明的本實施形態相關的基板處理裝置,藉由使用圖9所說明的基板處理方法,以下述條件來對矽晶圓W實施成膜處理。
實施例1之成膜條件:
第1處理氣體:DCS(二氯矽烷)
步驟S120中的處理氣體:NH3=4000sccm
步驟S120中的第1距離:90mm
步驟S130中的處理氣體:NH3/Ar/H2=300/1900/600sccm
步驟S130中的第2距離:37.5mm。
又,作為比較例1,除了讓步驟S130中的第2距離為90mm以外,係藉由與實施例1相同的方法,來實施比較例1之成膜處理。
進一步地,作為比較例2,除了讓步驟S120中的第1距離為37.5mm,且讓步驟S130中的第2距離為90mm以外,係藉由與實施例1相同的方法,來實施比較例2的成膜處理。
關於實施例1及比較例1、2後所得到的晶圓,係藉由測量反應生成物(氮化膜)之膜厚,來取得關於從步驟S100至步驟S140的每1循環的成膜速度與成膜相關的面內均勻性。
於圖10顯示用以說明實施例1相關的基板處理方法之效果的一範例的概略圖。更具體而言,圖10之柱形圖係關於成膜速度的結果,而折線圖則關於面內均勻性的結果。另外,面內均勻性資料為將晶圓面內之最大膜厚減掉最少膜厚的差除最大膜厚的數值,且數值越低,則意味著面內均勻性越優異。
從圖10所示的成膜速度而明瞭般,得知實施例1、比較例1、2之間,每1循環的成膜速度並無很大的差異。即使在第1距離及第2距離皆為90mm的比較例1中,因為成膜速度與其他實施形態為相同程度,故所吸附的第1處理氣體在全部的實施形態中,應該都是良好地被氮化。
另一方面,從圖10所示的面內均勻性結果得知實施例1之基板處理方法相較於比較例1、2的基板處理方法,為可形成面內均勻性非常優異的膜之基板處理方法。
亦即,從上述結果得知實施例1相關的基板處理方法係在電漿處理中,藉由在通過離子能量較小,自由基濃度較低的區域(第2處理區域P2)之後,再通過離子能量較大,自由基濃度較高的區域(第3處理區域P3),來維持成膜速度,並可形成面內均勻性優異的膜。
又,關於所得到的膜,係使用0.5%稀氫氟酸(DFH)來進行濕蝕刻。
於圖11顯示用以說明實施例1相關的基板處理方法之效果其他範例的概略圖。更具體而言,圖11係顯示所得到的膜之濕蝕刻率的圖式。
如圖11所示,實施例1所得到的矽氮化膜係相較於比較例1、2所得到的矽氮化膜,蝕刻率要低。亦即,得知本實施形態之基板處理方法所得到的矽氮化膜係可適用於例如蝕刻中的遮罩等之用途。此應該是因為本實施形態相關的基板處理方法,係在電漿處理中,在通過離子能量較小,且自由基濃度較低的區域(第2處理區域P2)之後,再通過離子能量較大,自由基濃度較高的區域(第3處理區域P3),故可更有效率地將氮化膜進行改質處理的緣故。
進行關於是否可藉由實施例1相關的基板處理方法,來抑制負載效應之評價。
於圖12A及12B顯示用以說明實施例1相關的基板處理方法之效果的其他範例的概略圖。更具體而言,圖12A及12B係X軸方向(圖12A)及Y軸方向(圖12B)上將從目標膜厚之膜厚減少率繪示的圖式。另外,本實施形態中,所謂Y軸方向係晶圓W的中心與旋轉台2的旋轉中心所連結的直線方向(此方向為正),且Y軸之中心係晶圓的中心。又,所謂X軸方向係正交於此Y軸,且通過晶圓之主表面的軸方向,X軸之中心係晶圓的中心,而X軸之正向係從旋轉台2之旋轉上游往旋轉下游的方向。
如圖12A及12B所示,實施例1之減少率係在X軸方向、Y軸方向皆較比較例2之減少率要小,亦即,得知本實施形態相關的基板處理方法係可抑制負載效應發生。
(實施例2)
就確認電漿產生部及晶圓W之間的距離與晶圓自身氮化量的關係的實施形態來加以說明。
使用圖1至圖8所說明的本實施形態相關的基板處理裝置,藉由使用圖9所說明的基板處理方法,以下述條件來對矽晶圓W實施成膜處理。
作為成膜條件,係讓步驟S120中的第1距離及步驟S130中的第2距離為相同值,具體而言,係30mm、37.5mm、60mm、90mm,並測量各距離中之成膜處理後的晶圓氮化量。
於圖13顯示用以說明實施例2相關的基板處理方法之效果其他範例的概略圖。
如圖13所示,電漿產生裝置與晶圓之間的距離變得越小,則晶圓氮化量會變得越大。此情形係意味著電漿產生裝置與晶圓之間的距離越小,則電漿之離子能量越高,而自由基密度越大。特別是成膜製程初期時,因為活性基對晶圓的影響較大,而使得晶圓自身容易被氮化,故較佳是如本實施形態之基板處理方法般,在通過離子能量較小,且自由基濃度較低的區域(第2處理區域P2)之後,再通過離子能量較大,且自由基濃度較高的區域(第3處理區域P3)。
以上,藉由實施例1及實施例2,得知藉由在通過離子能量較小,且自由基濃度較低的區域(第2處理區域P2)之後,再通過離子能量較大,且自由基濃度較高的區域(第3處理區域P3),便可抑制負載效應發生,並可形成所欲膜質之薄膜。
(實施例3)
實施例3相關的基板處理方法中,係使用圖1至8所說明的基板處理裝置,來進行讓旋轉台2於圖2之箭頭所示逆時針旋轉方向上旋轉的情形,以及讓旋轉台2於與圖2之箭頭的相反方向的順時針旋轉方向上旋轉的情形中之成膜量、膜質等的比較實験。
圖14係顯示本發明實施例3相關的基板處理方法之一範例的處理流程的圖式,且為讓旋轉台2於與圖2之箭頭相同的逆時針旋轉方向上旋轉的情形中所進行的處理流程。另外,本實施形態中,係例舉有使用為含矽氣體的DCS來作為第1處理氣體、使用氨氣、氫氣及氬氣所構成的混合氣體來作為第1電漿處理用氣體、使用氨氣來作為第2電漿處理用氣體的範例來加以說明。另外,第1電漿處理用氣體之各氣體的流量係氨氣為0.3slm、氫氣為0.6slm、氬氣為1.9slm之富含氫的混合氣體。又,第2電漿處理用 氣體係氨氣為100%,且流量為4slm。藉由讓旋轉台2於逆時針旋轉方向上旋轉,來依序通過第1處理區域P1、分離區域D、第1電漿產生部81a(以下,亦可稱為「第1電漿處理區域」。)、第2電漿產生部81b(以下,亦可稱為「第2電漿處理區域」。)、分離區域D,且週期性地反覆圖14所示順序之處理流程。
圖15A至15C係顯示進行圖14所示處理流程的情形下,在晶圓W表面所產生之化學反應模式的圖式。圖15A係顯示以第1電漿產生部81a來對晶圓W實施第1電漿處理的狀態之圖式。第1電漿處理中,係藉由以(NH3+H2+Ar)所構成的第1電漿來對吸附有DCS的晶圓W之表面實施電漿處理,則晶圓W表面便會吸附有NH,NH吸附有Si,而末端係成為H。另外,DCS之Cl與H會反應成為HCl而脫離。
圖15B係顯示以第2電漿產生部81b來對晶圓W實施第2電漿處理的狀態之圖式。第2電漿處理中,係藉由以NH3所構成的第2電漿來實施電漿處理,來使得末端的H與NH反應,而讓末端成為NH2
圖15C係顯示在第1處理區域P1,供給為第1處理氣體的DCS至晶圓W的狀態之圖式。供給有DCS時,如圖15B所示,因為吸附側的末端為NH2,故藉由末端的H與DCS之Cl反應,成為HCl而脫離,便可使得Si容易地吸附於NH。
如此般,藉由以含氫氣的第1電漿來進行氮化及含Si膜的改質,接著,以不含氫氣而含氨氣的第2電漿來進行氮化及吸附側之形成,便可在供給有DCS時,使得DCS容易地吸附於吸附側。藉此,便會使得負載效應佔優勢,而可效率良好地進行含Si膜之成膜。
圖16係顯示比較例相關的基板處理方法之一範例的處理流程的圖式,且為讓旋轉台2於與圖2之箭頭相反而以順時針的旋轉方向上旋轉的情形下所進行的處理流程。與圖14比較時,會成為第1電漿處理與第2電漿處理之順序相反,且會成為進行藉由單獨氨氣的第2電漿處理之後,再進行藉由氫氣、氨氣及氬氣之混合氣體的第1電漿處理之順序。
圖17A至17C係顯示在進行圖16所示比較例相關的基板處理方法之處理流程的情形下,在晶圓W表面所發生的化學反應模式的圖式。圖17A係顯示以第2電漿產生部81b來對晶圓W實施有第1(第1次)電漿處理的狀 態之圖式。第1電漿處理中,係藉由在DCS吸附至晶圓W表面的狀態下,以NH3所構成的第1電漿來實施電漿處理,便會使得末端的H與NH反應,而使得末端成為NH2
圖17B係顯示以第1電漿產生部81a來對晶圓W實施第2(第2次)電漿處理的狀態之圖式。藉由在吸附有DCS的晶圓W上,以(NH3+H2+Ar)所構成的第2電漿來實施電漿處理,便會使得末端的NH2會與Cl反應而拔除HCl,而使得末端成為H。
圖17C係顯示在第1處理區域P1,供給為第1處理氣體的DCS至晶圓W的狀態之圖式。供給有DCS時,如圖17B所示,因為吸附側的末端為H,故會使得DCS之吸附變得困難。
如此般,即使以不含氫氣而含氨氣的第1電漿來進行含Si膜的氮化,接著,以含氫氣的第2電漿來進行氮化及含Si膜之改質,仍不會形成吸附側,而成為H末端,即使供給有DCS亦難以進行吸附。藉此,便會使得負載效應不佔優勢,而無法效率良好地進行含Si膜之成膜。
表1係顯示藉由本發明第3實施形態相關的基板處理方法與比較例相關的基板處理方法來朝平坦的晶圓W面成膜均勻全面膜(又稱全面膜)後的結果。另外,製程條件係基板溫度為400℃、真空容器1內之壓力為0.75Torr、DCS之流量為1000sccm(更供給500sccm之N2)、第1電漿處理區域81a中的氨氣之流量為300slm、氫氣之流量為600sccm、氬氣之流量為1900sccm,且第2電漿處理區域81b中的氨氣之流量為4000slm。又,分離區域D中的N2氣體之流量為3000sccm。
如表1所示,1週期中的成膜率係第3實施形態相關的基板處理方法為0.065nm/週期,而比較例相關的基板處理方法為0.060nm/週期,且第3實施形態相關的基板處理方法的一方會高出8%左右。又,面內均勻性係第3實施形態相關的基板處理方法為1.76%,而比較例相關的基板處理方法為2.93%,且第3實施形態相關的基板處理方法的一方可得到較良好的面內均勻性。
圖18係顯示於晶圓W形成有圖案,且具有較平坦面要大10倍的表面積情形中之第3實施形態相關的基板處理方法與比較例相關的基板處理方法之X線中的比較結果之圖式。如圖18所示,面內均勻性係第3實施形態相關的基板處理方法中的Ax曲線的一方會較比較例相關的基板處理方法中的Bx曲線要大幅地降低,而得到良好的面內均勻性。
圖19係顯示於晶圓W形成有圖案,且具有較平坦面要大10倍的表面積情形中之第3實施形態相關的基板處理方法與比較例相關的基板處理方法之Y線中的比較結果之圖式。如圖19所示,面內均勻性係第3實施形態相關的基板處理方法中的Ay曲線的一方會較比較例相關的基板處理方法中的By曲線要大幅地降低,而得到良好的面內均勻性。
如此般,第3實施形態相關的基板處理方法會較比較例相關的基板處理方法在成膜速度及面內均勻性兩者中皆得到較優異結果。
另外,實施形態3相關的基板處理方法雖然可以圖1至8所示之基板處理裝置來實施,但不僅如此,亦可適用於第1電漿產生部81a與第2電漿產生部81b之高度為相同的情形。實施形態3相關的基板處理方法係因為著眼於電漿處理用氣體之供給順序,故無關乎電漿產生部81a、81b之高度如何,皆可適用。
根據本發明之實施形態,便可提供一種可抑制負載效應發生,並可形成所欲膜質的薄膜的基板處理方法。
以上,雖然已就本發明較佳的實施形態來加以詳細說明,但本發明不限於上述實施形態,在不脫離本發明之範圍下,可添加各種變形及置換至上述實施形態。
本申請係基於在2014年2月10日於日本專利局所申請的日本專利申請2014-23006號,以及在2014年10月7日於日本專利局所申請的日本專 利申請2014-206571號而主張優先權,並將日本專利申請2014-23006號及2014-206571號之全部內容引用於此。
1‧‧‧真空容器
2‧‧‧旋轉台
7‧‧‧加熱單元
11‧‧‧頂板
11a‧‧‧開口部
11b‧‧‧內周面
11c‧‧‧密封構件
12‧‧‧容器本體
12a‧‧‧突出部
13‧‧‧密封構件
14‧‧‧底面部
21‧‧‧核心部
22‧‧‧旋轉軸
23‧‧‧驅動部
24‧‧‧凹部
32(34)‧‧‧噴嘴
51‧‧‧分離氣體供給管
62‧‧‧排氣口
63‧‧‧排氣管
64‧‧‧真空幫浦
65‧‧‧壓力調整部
71a(71)‧‧‧罩構件
72‧‧‧吹淨氣體供給管
73‧‧‧吹淨氣體供給管
81a(81b)‧‧‧電漿產生部
82‧‧‧環狀構件
82a‧‧‧波紋管
84‧‧‧匹配器
85‧‧‧高頻電源
86‧‧‧連接電極
90‧‧‧框體
91‧‧‧押壓構件
100‧‧‧側環
101‧‧‧氣體流路
110‧‧‧迂迴構造部
120‧‧‧控制部
121‧‧‧記憶部
C‧‧‧中心部區域
W‧‧‧晶圓

Claims (13)

  1. 一種基板處理方法,係使用基板處理裝置之基板處理方法,該基板處理裝置具有:真空容器;旋轉台,係可旋轉地設置於該真空容器內,並於表面形成有載置基板的基板載置部;處理氣體供給裝置,係供給吸附於該基板表面的處理氣體;第1電漿處理用氣體供給裝置,係供給第1電漿處理用氣體至該基板表面;第2電漿處理用氣體供給裝置,係供給第2電漿處理用氣體至該基板表面;第1分離氣體供給裝置,係供給分離該處理氣體與該第1電漿處理用氣體的分離氣體至該基板表面;第2分離氣體供給裝置,係供給分離該處理氣體與該第2電漿處理用氣體的分離氣體至該基板表面;第1電漿產生裝置,係電漿化該第1電漿處理用氣體;以及第2電漿產生裝置,係電漿化該第2電漿處理用氣體;其中第1分離氣體供給裝置、第1電漿處理用氣體供給裝置、第2電漿處理用氣體供給裝置、第2分離氣體供給裝置係在該旋轉台之旋轉方向上,自該處理氣體供給裝置而依此順序來加以設置;該基板處理方法具有:供給該處理氣體至該基板表面的工序;供給該分離氣體至該基板表面的工序;在該第1電漿產生裝置與該旋轉台之間的距離為第1距離的狀態下,供給該第1電漿處理用氣體至該基板表面的工序;以及在該第2電漿產生裝置與該旋轉台之間的距離為較該第1距離要小的第2距離的狀態下,供給該第2電漿處理用氣體至該基板表面的工序。
  2. 如申請專利範圍第1項之基板處理方法,其中該第1距離為45~120mm之範圍內;該第2距離較該第1距離要短,且為20mm~60mm之範圍內。
  3. 如申請專利範圍第1項之基板處理方法,其中該處理氣體係包含選自二異丙基胺基矽烷、三(二甲胺基)矽烷、二(特丁胺基)矽烷、二氯矽烷、六氯二矽甲烷、四氯化鈦、(甲基戊二酮酸)(雙四甲基庚二酮酸)-鈦、三甲基鋁、四(乙基甲基胺基酸)-鋯、四(乙基甲基胺基酸)-鉿、二(四甲基庚二酮酸)-鍶之群組中之1者以上的氣體;該第1電漿處理用氣體及該第2電漿處理用氣體係至少包含氨氣。
  4. 一種基板處理方法,係包含:供給含矽氣體至處理室內所設置的基板而讓該含矽氣體吸附於該基板表面的工序;於該基板表面,使用由含氫氣的第1電漿處理用氣體所生成的第1電漿來實施第1電漿處理的工序;以及於該基板表面,使用由不含氫氣而含氨氣的第2電漿處理用氣體所生成的第2電漿來實施第2電漿處理的工序。
  5. 如申請專利範圍第4項之基板處理方法,其係周期性地反覆操作供給該含矽氣體至該基板表面的工序、於該基板實施該第1電漿處理的工序及於該基板實施該第2電漿處理的工序。
  6. 如申請專利範圍第5項之基板處理方法,其更具有於供給該含矽氣體至該基板表面的工序之前後,供給吹淨氣體至該基板的工序。
  7. 如申請專利範圍第5項之基板處理方法,其中該處理室內係設置有:於上面設有可載置該基板的旋轉台,並沿著該旋轉台之圓周方向而可供給該處理氣體至該基板的第1處理區域;可進行該第1電漿處理的第1電漿處理區域;以及可進行該第2電漿處理的第2電漿處理區域;藉由讓該旋轉台旋轉而讓該基板依序通過該第1處理區域、該第1電漿處理區域、該第2電漿處理,而週期性地反覆操作供給該含矽氣體至該基板表面的工序、於該基板實施該第1電漿處理的工序,以及於該基板實施該第2電漿處理的工序。
  8. 如申請專利範圍第7項之基板處理方法,其中該第1處理區域之該圓周方向兩側係設置有供給吹淨氣體至該基板的吹淨氣體供給區域,並於讓該含矽氣體吸附於該基板表面的工序之前後,進行吹淨氣體供給工序。
  9. 如申請專利範圍第4項之基板處理方法,其中該第1電漿處理用氣體為包含氫氣、氨氣及氬氣的混合氣體。
  10. 如申請專利範圍第4項之基板處理方法,其中該第2電漿處理用氣體為僅由氨氣所構成的氣體。
  11. 如申請專利範圍第4項之基板處理方法,其中該處理氣體為包含選自二異丙基胺基矽烷、三(二甲胺基)矽烷、二(特丁胺基)矽烷、二氯矽烷、六氯二矽甲烷、四氯化鈦、(甲基戊二酮酸)(雙四甲基庚二酮酸)-鈦、三甲基鋁、四(乙基甲基胺基酸)-鋯、四(乙基甲基胺基酸)-鉿、二(四甲基庚二酮酸)-鍶之群組中之1者以上氣體的氣體。
  12. 一種基板處理裝置,係具有:真空容器;旋轉台,係可旋轉地設置於該真空容器內,並於表面形成有載置基板的基板載置部;處理氣體供給裝置,係供給吸附於該基板表面的處理氣體;第1電漿處理用氣體供給裝置及第2電漿處理用氣體供給裝置,係分別供給第1電漿處理用氣體及第2電漿處理用氣體至該基板表面;第1分離氣體供給裝置,係供給分離該處理氣體與該第1電漿處理用氣體的分離氣體;第2分離氣體供給裝置,係供給分離該處理氣體與該第2電漿處理用氣體的分離氣體;第1電漿產生裝置及第2電漿產生裝置,係分別電漿化該第1電漿處理用氣體及該第2電漿處理用氣體;以及控制部;其中第1分離氣體供給裝置、第1電漿處理用氣體供給裝置、第2電漿處理用氣體供給裝置、第2分離氣體供給裝置係在該旋轉台之旋轉方向上,自該處理氣體供給裝置而依此順序來加以設置;該控制部,係以供給該處理氣體至該基板;供給該分離氣體至供給有該處理氣體的該基板;在該第1電漿產生裝置與該旋轉台之間的距離為第1距離的狀態下,供給該第1電漿處理用氣體至供給有該分離氣體的該基板; 在該第2電漿產生裝置與該旋轉台之間的距離為較該第1距離要小的第2距離的狀態下,供給該第2電漿處理用氣體至供給有該第1電漿處理用氣體的該基板;以及供給該分離氣體至供給有該第2電漿處理用氣體的該基板之方式來控制該基板處理裝置。
  13. 如申請專利範圍第12項之基板處理裝置,其中該第1距離為45~120mm之範圍內;該第2距離較該第1距離要短,且為20mm~60mm之範圍內。
TW104103985A 2014-02-10 2015-02-06 基板處理方法及基板處理裝置 TWI602943B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014023006 2014-02-10
JP2014206571A JP6262115B2 (ja) 2014-02-10 2014-10-07 基板処理方法及び基板処理装置

Publications (2)

Publication Number Publication Date
TW201602383A TW201602383A (zh) 2016-01-16
TWI602943B true TWI602943B (zh) 2017-10-21

Family

ID=53774440

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104103985A TWI602943B (zh) 2014-02-10 2015-02-06 基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (2) US9714467B2 (zh)
JP (1) JP6262115B2 (zh)
KR (1) KR101885411B1 (zh)
CN (1) CN104831255B (zh)
TW (1) TWI602943B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835357B (zh) * 2018-03-23 2024-03-11 日商東京威力科創股份有限公司 加熱處理裝置及加熱處理方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
JP6241460B2 (ja) 2015-08-25 2017-12-06 株式会社デンソー 電動機の制御装置
JP6605946B2 (ja) * 2015-12-24 2019-11-13 株式会社ディスコ チップ収容トレイからチップをピックアップする方法
JP6523185B2 (ja) * 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2017168675A1 (ja) * 2016-03-31 2017-10-05 株式会社日立国際電気 半導体装置の製造方法、基板装填方法および記録媒体
JP6656103B2 (ja) * 2016-07-15 2020-03-04 東京エレクトロン株式会社 窒化膜の成膜方法および成膜装置
KR102014175B1 (ko) * 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
JP6767844B2 (ja) * 2016-11-11 2020-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6750534B2 (ja) * 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
SG11201908711VA (en) * 2017-05-02 2019-10-30 Picosun Oy Ald apparatus, method and valve
KR102452830B1 (ko) * 2017-12-12 2022-10-12 삼성전자주식회사 반도체 공정 챔버
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6989677B2 (ja) * 2018-02-28 2022-01-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN111346620A (zh) * 2018-12-21 2020-06-30 大庆净达环保科技有限公司 具有吸附性能的改性材料、其制备方法及用途
CN114072540A (zh) * 2019-09-20 2022-02-18 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
US20230245854A1 (en) * 2021-02-10 2023-08-03 Lam Research Corporation Hybrid liquid/air cooling system for tcp windows

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201341579A (zh) * 2012-02-09 2013-10-16 Tokyo Electron Ltd 成膜裝置

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854266A (en) 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
TW411458B (en) 1997-05-08 2000-11-11 Matsushita Electric Ind Co Ltd Apparatus and process for production of optical recording medium
KR100253089B1 (ko) 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US5906354A (en) 1998-01-12 1999-05-25 Sigma Scientific Technology, Inc. Ball valve for lethal gas or fluid service
US5849088A (en) 1998-01-16 1998-12-15 Watkins-Johnson Company Free floating shield
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
WO2006070689A1 (ja) 2004-12-28 2006-07-06 Tokyo Electron Limited 半導体製造装置、当該半導体製造装置における異常の検出、異常の原因の特定或いは異常の予測を行う方法、並びに当該方法を実施するためのコンピュータプログラムを記録した記憶媒体
US8475624B2 (en) 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8257503B2 (en) 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US8465592B2 (en) 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
US8465591B2 (en) 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8808456B2 (en) 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US9416448B2 (en) 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5253932B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP2010084230A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5253933B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8961691B2 (en) 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5280964B2 (ja) 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276388B2 (ja) 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5062144B2 (ja) 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5445044B2 (ja) 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010126797A (ja) 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5131240B2 (ja) 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5181100B2 (ja) 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5287592B2 (ja) 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5444961B2 (ja) 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5434484B2 (ja) 2009-11-02 2014-03-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5482196B2 (ja) 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5392069B2 (ja) 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5524139B2 (ja) 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5579009B2 (ja) 2010-09-29 2014-08-27 東京エレクトロン株式会社 成膜装置および成膜方法
JP5599350B2 (ja) 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6088178B2 (ja) * 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5679581B2 (ja) 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
JP5803706B2 (ja) 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
US20150031218A1 (en) 2012-03-15 2015-01-29 Tokyo Electron Limited Film forming process and film forming apparatus
JP5823922B2 (ja) 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
JP6011417B2 (ja) 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5859927B2 (ja) 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6118102B2 (ja) 2012-12-21 2017-04-19 東京エレクトロン株式会社 基板位置検出装置及びこれを用いた基板処理装置、成膜装置
JP6101083B2 (ja) 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5971144B2 (ja) 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6114708B2 (ja) 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6118197B2 (ja) 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
JP2015056632A (ja) 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6114668B2 (ja) 2013-09-18 2017-04-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6204213B2 (ja) 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201341579A (zh) * 2012-02-09 2013-10-16 Tokyo Electron Ltd 成膜裝置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835357B (zh) * 2018-03-23 2024-03-11 日商東京威力科創股份有限公司 加熱處理裝置及加熱處理方法

Also Published As

Publication number Publication date
CN104831255B (zh) 2018-09-07
KR101885411B1 (ko) 2018-08-03
US10151031B2 (en) 2018-12-11
US20150225849A1 (en) 2015-08-13
CN104831255A (zh) 2015-08-12
US20170268104A1 (en) 2017-09-21
TW201602383A (zh) 2016-01-16
JP6262115B2 (ja) 2018-01-17
KR20150094533A (ko) 2015-08-19
US9714467B2 (en) 2017-07-25
JP2015165549A (ja) 2015-09-17

Similar Documents

Publication Publication Date Title
TWI602943B (zh) 基板處理方法及基板處理裝置
TWI625416B (zh) 基板處理裝置及基板處理方法
KR102024983B1 (ko) 성막 방법
TWI616951B (zh) 電漿處理方法及電漿處理裝置
US20140220260A1 (en) Substrate processing apparatus and method of depositing a film
TWI675933B (zh) 成膜方法
TWI721227B (zh) 成膜裝置及成膜方法
KR101922287B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20170167019A1 (en) Plasma processing apparatus and film deposition method
US11118264B2 (en) Plasma processing method and plasma processing apparatus
KR102460932B1 (ko) 기판 처리 장치
TWI672393B (zh) 成膜方法