CN104831255B - 基板处理方法和基板处理装置 - Google Patents

基板处理方法和基板处理装置 Download PDF

Info

Publication number
CN104831255B
CN104831255B CN201510071141.7A CN201510071141A CN104831255B CN 104831255 B CN104831255 B CN 104831255B CN 201510071141 A CN201510071141 A CN 201510071141A CN 104831255 B CN104831255 B CN 104831255B
Authority
CN
China
Prior art keywords
substrate
gas
plasma
processing gas
turntable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510071141.7A
Other languages
English (en)
Other versions
CN104831255A (zh
Inventor
加藤寿
佐藤润
村田昌弘
大下健太郎
菅野智子
三浦繁博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN104831255A publication Critical patent/CN104831255A/zh
Application granted granted Critical
Publication of CN104831255B publication Critical patent/CN104831255B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

本发明提供基板处理方法和基板处理装置。基板处理方法包括以下工序:向基板供给处理气体的工序;向所述基板供给分离气体的工序;在第1等离子体产生部件与所述旋转台之间的距离为第1距离的状态下向所述基板供给第1等离子体处理用气体的工序;在第2等离子体产生部件与所述旋转台之间的距离为比所述第1距离小的第2距离的状态下向所述基板供给第2等离子体处理用气体的工序;以及向所述基板供给所述分离气体的工序。

Description

基板处理方法和基板处理装置
技术领域
本发明涉及基板处理方法和基板处理装置。
背景技术
在半导体装置的制造中,针对作为被处理体的半导体晶圆(以下,称作晶圆),利用原子层沉积(ALD:Atomic Layer Deposition)法等方法实施各种成膜处理。
近年来,作为实施ALD法的成膜装置,正在推进所谓的旋转台式的成膜装置的研究开发。该成膜装置具有旋转台,该旋转台以能够旋转的方式配置在真空容器内,并形成有供多个晶圆分别载置的、具有比晶圆的直径稍大的直径的凹部。而且,具有被划分于该旋转台的上方的反应气体A的供给区域、反应气体B的供给区域以及分离这些供给区域的分离区域。
另外,在旋转台式的成膜装置中,有时搭载例如日本特开2013-161874号公报那样的等离子体产生部。利用由等离子体产生部产生的等离子体来实施在基板上形成各种(功能)膜的成膜处理等。
然而,在使用日本特开2013-161874号公报所记载的基板处理装置的成膜处理中,根据晶圆上的图案的表面积的不同,晶圆面内的成膜量会发生变动,即产生所谓的负载效应(日文:ローディング効果)。
发明内容
针对所述问题,本发明提供一种能够抑制产生负载效应且能够形成期望膜质的薄膜的基板处理方法。
本发明提供一种基板处理方法,在该基板处理方法中使用如下基板处理装置,该基板处理装置具有:真空容器;旋转台,其以能够旋转的方式设于所述真空容器内,在该旋转台的表面形成有用于载置基板的基板载置部;处理气体供给部件,其用于供给要吸附于所述基板的表面的处理气体;第1等离子体处理用气体供给部件,其用于向所述基板的表面供给第1等离子体处理用气体;第2等离子体处理用气体供给部件,其用于向所述基板的表面供给第2等离子体处理用气体;第1分离气体供给部件,其用于向所述基板的表面供给使所述处理气体和所述第1等离子体处理用气体分离的分离气体;第2分离气体供给部件,其用于向所述基板的表面供给使所述处理气体和所述第2等离子体处理用气体分离的分离气体;第1等离子体产生部件,其用于使所述第1等离子体处理用气体等离子体化;以及第2等离子体产生部件,其用于使所述第2等离子体处理用气体等离子体化,自所述处理气体供给部件起,沿所述旋转台的旋转方向依次设有第1分离气体供给部件、第1等离子体处理用气体供给部件、第2等离子体处理用气体供给部件、以及第2分离气体供给部件,其中,该基板处理方法具有以下工序:向所述基板供给所述处理气体的工序;向所述基板供给所述分离气体的工序;在所述第1等离子体产生部件与所述旋转台之间的距离为第1距离的状态下向所述基板供给所述第1等离子体处理用气体的工序;在所述第2等离子体产生部件与所述旋转台之间的距离为比所述第1距离小的第2距离的状态下向所述基板供给所述第2等离子体处理用气体的工序;以及向所述基板供给所述分离气体的工序。
另外,作为另一技术方案,提供一种基板处理方法,其中,该基板处理方法具有以下工序:向设于处理室内的基板供给含硅气体而使所述含硅气体吸附于所述基板的表面的工序;使用由包括氢气在内的第1等离子体处理用气体生成的第1等离子体来对吸附有所述含硅气体的所述基板实施第1等离子体处理的工序;以及使用由不包括氢气而包括氨气的第2等离子体处理用气体生成的第2等离子体来对被实施了该第1等离子体处理后的所述基板实施第2等离子体处理的工序。
另外,作为又一技术方案,提供一种基板处理装置,其具有:真空容器;旋转台,其以能够旋转的方式设于所述真空容器内,在该旋转台的表面形成有用于载置基板的基板载置部;处理气体供给部件,其用于供给要吸附于所述基板的表面的处理气体;第1等离子体处理用气体供给部件,其用于向所述基板的表面供给第1等离子体处理用气体;第2等离子体处理用气体供给部件,其用于向所述基板的表面供给第2等离子体处理用气体;第1分离气体供给部件,其用于供给使所述处理气体和所述第1等离子体处理用气体分离的分离气体;第2分离气体供给部件,其用于供给使所述处理气体和所述第2等离子体处理用气体分离的分离气体;第1等离子体产生部件,其用于使所述第1等离子体处理用气体等离子体化;第2等离子体产生部件,其用于使所述第2等离子体处理用气体等离子体化;以及控制部,其中,自所述处理气体供给部件起,沿所述旋转台的旋转方向依次设有第1分离气体供给部件、第1等离子体处理用气体供给部件、第2等离子体处理用气体供给部件、以及第2分离气体供给部件,所述控制部对所述基板处理装置进行控制,以使得:向所述基板供给所述处理气体,向被供给了所述处理气体后的所述基板供给所述分离气体,在所述第1等离子体产生部件与所述旋转台之间的距离为第1距离的状态下向被供给了所述分离气体后的所述基板供给所述第1等离子体处理用气体,在所述第2等离子体产生部件与所述旋转台之间的距离为比所述第1距离小的第2距离的状态下向被供给了所述第1等离子体处理用气体后的所述基板供给所述第2等离子体处理用气体,向被供给了所述第2等离子体处理用气体后的所述基板供给所述分离气体。
附图说明
图1是本实施方式的基板处理装置的一个例子的概略纵剖视图。
图2是本实施方式的基板处理装置的一个例子的概略俯视图。
图3是本实施方式的基板处理装置的沿着旋转台的同心圆剖切而得到的剖视图。
图4是本实施方式的等离子体产生部的一个例子的纵剖视图。
图5是本实施方式的等离子体产生部的一个例子的分解立体图。
图6是设于本实施方式的等离子体产生部的框体的一个例子的立体图。
图7是本实施方式的等离子体产生部的一个例子的俯视图。
图8是表示设于本实施方式的等离子体产生部的法拉第屏蔽件的一部分的立体图。
图9是本实施方式的基板处理方法的一个例子的流程图。
图10是用于说明本实施方式的基板处理方法的效果的一个例子的概略图。
图11是用于说明本实施方式的基板处理方法的效果的其他例子的概略图。
图12A和图12B是用于说明本实施方式的基板处理方法的效果的其他例子的概略图。
图13是用于说明本实施方式的基板处理方法的效果的其他例子的概略图。
图14是表示本发明的实施方式的基板处理方法的一个例子的处理流程的图。
图15A~图15C是表示在进行了图14所示的处理流程的情况下、在晶圆的表面产生的化学反应的模型的图。
图16是表示比较例的基板处理方法的一个例子的处理流程的图。
图17A~图17C是表示在进行了图16所示的比较例的基板处理方法的处理流程的情况下、在晶圆W的表面产生的化学反应的模型的图。
图18是表示在晶圆上形成图案且该图案具有10倍于平坦面的表面积的情况下的第3实施方式的基板处理方法和比较例的基板处理方法的、沿X线进行比较的比较结果的图。
图19是表示在晶圆上形成图案且该图案具有10倍于平坦面的表面积的情况下的第3实施方式的基板处理方法和比较例的基板处理方法的、沿Y线进行比较的比较结果的图。
具体实施方式
以下,参照附图,说明适合于实施本实施方式的基板处理方法的基板处理装置。本实施方式的基板处理装置构成为,利用ALD法在晶圆W的表面上层叠反应生成物而形成薄膜,并在该薄膜的成膜过程中对晶圆W进行等离子体处理。
基板处理装置的结构
图1是表示本实施方式的基板处理装置的一个例子的概略纵剖视图。另外,图2是表示本实施方式的基板处理装置的一个例子的概略俯视图。而且,在图2中,为了便于说明,省略画出顶板11。
如图1所示,本实施方式的基板处理装置包括:真空容器1,其俯视形状为大致圆形;和旋转台2,其设置在该真空容器1内,该旋转台2在真空容器1的中心处具有旋转中心并用于使晶圆W公转。
真空容器1包括:顶板(顶部)11,其设于与旋转台2的后述的凹部24相对的位置;和容器主体12。另外,在容器主体12的上表面的周缘部设有密封构件13,该密封构件13呈环状设置。并且,顶板11构成为能够相对于容器主体12进行装卸。俯视时的真空容器1的直径尺寸(内径尺寸)并没有限定,例如能够为1100mm左右。
在真空容器1内的上表面侧的中央部连接有用于供给分离气体的分离气体供给管51,以抑制互不相同的处理气体彼此在真空容器1内的中心部区域C发生混合。
旋转台2通过其中心部固定于大致圆筒状的芯部21,构成为:旋转台2利用驱动部23相对于旋转轴22绕铅垂轴线、在图2所示的例子中向顺时针方向自由旋转,该旋转轴22与该芯部21的下表面连接且在铅垂方向上延伸。旋转台2的直径尺寸并没有限定,例如能够为1000mm左右。
旋转轴22和驱动部23收纳于壳体20,该壳体20的上表面侧的凸缘部分气密地安装于真空容器1的底面部14的下表面。另外,该壳体20连接有吹扫气体供给管72,该吹扫气体供给管72用于向旋转台2的下方区域供给作为吹扫气体(分离气体)的氮气等。
真空容器1的底面部14中的靠芯部21的外周侧的部位以从下方侧接近旋转台2的方式形成为环状而构成突出部12a。
在旋转台2的表面部形成有作为基板载置区域的、用于载置直径尺寸是例如300mm的晶圆W的圆形状的凹部24。该凹部24沿着旋转台2的旋转方向设置在多处、例如五处。凹部24具有比晶圆W的直径稍大具体地说大1mm~4mm左右的内径。另外,凹部24的深度构成为:与晶圆W的厚度大致相等,或者比晶圆W的厚度大。因而,若将晶圆W收纳于凹部24,则晶圆W的表面与旋转台2的未载置有晶圆W的区域的表面成为相同的高度,或者晶圆W的表面比旋转台2的表面低。另外,即使在凹部24的深度比晶圆W的厚度深的情况下,若过深,则会对成膜带来影响,因此优选凹部24的深度为晶圆W的厚度的3倍左右的深度。
在凹部24的底面形成有供例如后述的三根升降销贯穿的未图示的通孔,该升降销用于从下方侧顶起晶圆W以使晶圆W升降。
如图2所示,在与旋转台2的凹部24的通过区域相对的位置配置有由例如石英构成的多个、例如五个喷嘴31、32、34、41、42,该五个喷嘴31、32、34、41、42在真空容器1的周向上互相隔开间隔地呈放射状配置。这些各个喷嘴31、32、34、41、42配置在旋转台2与顶板11之间。另外,这些各个喷嘴31、32、34、41、42例如以从真空容器1的外周壁朝向中心部区域C并与晶圆W相对地水平延伸的方式安装。
在图2所示的例子中,自第1处理气体喷嘴31沿顺时针方向(旋转台2的旋转方向)依次排列有分离气体喷嘴42、第1等离子体处理用气体喷嘴32、第2等离子体处理用气体喷嘴34以及分离气体喷嘴41。然而,本实施方式的基板处理装置并不限定于该形态,旋转台2的旋转方向也可以是逆时针方向,在该情况下,自第1处理气体喷嘴31沿逆时针方向依次排列有分离气体喷嘴42、第1等离子体处理用气体喷嘴32、第2等离子体处理用气体喷嘴34以及分离气体喷嘴41。
如图2所示,在第1等离子体处理用气体喷嘴32的上方设有等离子体产生部81a,在第2等离子体处理用气体喷嘴34的上方侧设有等离子体产生部81b,以使自各个等离子体处理用气体喷嘴喷出的气体等离子体化。后面叙述所述等离子体产生部81a、81b。
此外,在本实施方式中,示出了针对各个处理区域分别配置一个喷嘴的例子,但也可以为针对各个处理区域分别配置多个喷嘴的结构。例如,第1等离子体处理用气体喷嘴32既可以是由多个等离子体处理用气体喷嘴构成而分别供给后述的氩气(Ar)、氨气(NH3)、氢气(H2)等的结构,也可以是仅配置一个等离子体处理用气体喷嘴而供给氩气、氨气以及氢气这三者的混合气体的结构。
第1处理气体喷嘴31构成第1处理气体供给部。另外,第1等离子体处理用气体喷嘴32构成第1等离子体处理用气体供给部,第2等离子体处理用气体喷嘴34构成第2等离子体处理用气体供给部。并且,分离气体喷嘴41、42分别构成分离气体供给部。
各喷嘴31、32、34、41、42经由流量调整阀与未图示的各个气体供给源相连接。
作为自第1处理气体喷嘴31供给的第1处理气体的一个例子,也可以使用DCS[二氯硅烷]、HCD[六氯乙硅烷]、DIPAS[二异丙基氨基硅烷]、3DMAS[三(二甲基氨基)硅烷]气体、BTBAS[双叔丁基氨基硅烷]等含硅气体、TiCl4[四氯化钛]、Ti(MPD)(THD)[(甲基戊二酮酸)双(四甲基庚二酮酸)钛]、TMA[三甲基铝]、TEMAZ[四(乙基甲基氨基)锆)]、TEMHF[四(乙基甲基氨基)铪]、Sr(THD)2[双(四甲基庚二酮酸)锶]等含有金属元素的气体。
作为自第1等离子体处理用气体喷嘴32和第2等离子体处理用气体喷嘴34供给的等离子体处理用气体,能够根据等离子体的使用用途等而适当选择,例如,主要可列举出以下两者气体的混合气体:用于产生等离子体的氩气或氦气(He);用于将吸附在晶圆W上的第1处理气体氮化且将获得的氮化膜改性的氨气和氢气等。此外,自第1等离子体处理用气体喷嘴32和第2等离子体处理用气体喷嘴34喷出的等离子体处理用气体既可以为相同的气体种类,也可以是不同的气体种类。能够根据期望的等离子体处理而相应地选择各个等离子体处理用气体。
作为自分离气体喷嘴41、42供给的分离气体,可列举出例如氮气(N2)等。
如上所述,在图2所示的例子中,自第1处理气体喷嘴31沿顺时针方向(旋转台2的旋转方向)依次排列有分离气体喷嘴42、第1等离子体处理用气体喷嘴32、第2等离子体处理用气体喷嘴34以及分离气体喷嘴41。即,在晶圆W的实际处理中,被供给了自第1处理气体喷嘴31供给过来的第1处理气体之后的晶圆W依次被暴露在来自分离气体喷嘴42的分离气体、来自第1等离子体处理用气体喷嘴32的等离子体处理用气体、来自第2等离子体处理用气体喷嘴34的等离子体处理用气体、以及来自分离气体喷嘴41的分离气体中。
在所述喷嘴31、32、34、41、42的下表面侧(与旋转台2相对的一侧),沿着旋转台2的半径方向在多个部位分别例如以等间隔形成有用于喷出所述各气体的气体喷出孔33。各喷嘴31、32、34、41、42各自的下端缘与旋转台2的上表面间的分开距离配置为例如1mm~5mm左右。
第1处理气体喷嘴31的下方区域成为用于使第1处理气体吸附于晶圆W的第1处理区域P1。另外,第1等离子体处理用气体喷嘴32的下方区域成为用于对晶圆W上的薄膜进行第1等离子体处理的第2处理区域P2,第2等离子体处理用气体喷嘴34的下方区域成为用于对晶圆W上的薄膜进行第2等离子体处理的第3处理区域P3。
图3是表示本实施方式的基板处理装置的沿着旋转台的同心圆剖切而得到的剖视图。此外,图3是从分离区域D经由第1处理区域P1到达分离区域D的剖视图。
在真空容器1的顶板11的位于分离区域D中的部分设有大致扇形的凸状部4。凸状部4安装于顶板11的背面,在真空容器1内形成有凸状部4的下表面即平坦且较低的顶面44(第1顶面)和位于该顶面44的周向两侧的、比顶面44高的顶面45(第2顶面)。
如图2所示,形成顶面44的凸状部4具有顶部被切断为圆弧状的扇型的俯视形状。另外,在凸状部4的周向中央形成有以沿半径方向延伸的方式形成的槽部43,分离气体喷嘴41、42收纳于该槽部43内。此外,凸状部4的周缘部(真空容器1的外缘侧的部位)以与旋转台2的外端面相对且与容器主体12略微分开的方式弯曲成L字型,以阻止各处理气体彼此的混合。
在第1处理气体喷嘴31的上方侧设有喷嘴罩230,以使第1处理气体沿着晶圆W流通且使分离气体避开晶圆W的附近而在真空容器1的顶板11侧流通。如图3所示,该喷嘴罩230包括:大致箱形的罩体231,其为了收纳第1处理气体喷嘴31而下表面侧开口;以及作为板状体的整流板232,其分别与该罩体231的下表面侧开口端的靠旋转台2的旋转方向上游侧和下游侧的部位相连接。此外,罩体231的靠旋转台2的旋转中心侧的侧壁面以与第1处理气体喷嘴31的顶端部相对的方式朝向旋转台2伸出。另外,罩体231的靠旋转台2的外缘侧的侧壁面为了不与第1处理气体喷嘴31发生干涉而形成有缺口。
接下来,详细说明分别配置于第1等离子体处理用气体喷嘴32、34的上方侧的、第1等离子体产生部81a和第2等离子体产生部81b。此外,在本实施方式中,第1等离子体产生部81a和第2等离子体产生部81b能够分别执行独立的等离子体处理,但各自具体的结构能够相同。
图4是表示本实施方式的等离子体产生部的一个例子的纵剖视图。另外,图5是表示本实施方式的等离子体产生部的一个例子的分解立体图。并且,图6是表示设于本实施方式的等离子体产生部的框体的一个例子的立体图。
等离子体产生部81a、81b通过将由金属线等形成的天线83以线圈状例如绕铅垂轴线卷绕3圈而构成。另外,等离子体产生部81以在俯视时包围沿旋转台2的径向延伸的带状体区域且横跨旋转台2上的晶圆W的直径部分的方式配置。
天线83经由匹配器84与频率例如是13.56MHz且输出功率例如是5000W的高频电源85相连接。并且,天线83以被与真空容器1的内部区域气密地划分开的方式设置。此外,附图标记86是用于将天线83和匹配器84及高频电源85电连接的连接电极。
如图4和图5所示,在顶板11的位于第1等离子体处理用气体喷嘴32的上方侧的部位形成有在俯视时呈大致扇形开口的开口部11a。
如图4所示,开口部11a具有环状构件82,该环状构件82沿着开口部11a的开口缘部气密地设于该开口部11a。后述的框体90气密地设于该环状构件82的内周面侧。即,环状构件82气密地设于环状构件82的外周侧与顶板11的面对开口部11a的内周面11b相对且环状构件82的内周侧与后述的框体90的凸缘部90a相对的位置。并且,隔着该环状构件82在开口部11a设有由例如石英等感应体构成的框体90,以使天线83位于比顶板11靠下方侧的位置。
另外,如图4所示,环状构件82具有能够沿铅垂方向伸缩的波纹管82a。另外,等离子体产生部81a、81b形成为能够利用电动致动器等未图示的驱动机构(升降机构)分别独立地进行升降。通过使波纹管82a与等离子体产生部81a、81b的升降相对应地伸缩,能够改变等离子体处理时的等离子体产生部81a、81b各自与晶圆W(即,旋转台2)之间的距离(以后,有时称作等离子体生成空间的距离)。
如图6所示,框体90以其上方侧的周缘部在整个周向上呈凸缘状水平伸出而构成凸缘部90a、并且俯视时其中央部朝向下方侧的真空容器1的内部区域凹陷的方式形成。
框体90配置为:当晶圆W位于该框体90的下方时,框体90横跨晶圆W的在旋转台2的径向上的直径部分。此外,在环状构件82与顶板11之间设有O型密封圈等密封构件11c。
借助环状构件82和框体90气密地设定了真空容器1的内部气氛。具体而言,将环状构件82和框体90放入到开口部11a内,接着,利用按压构件91将框体90在整个周向上朝向下方侧按压,该按压构件91以沿着环状构件82和框体90的上表面的位于环状构件82与框体90之间相接触的接触部的方式形成为框状。并且,使用未图示的螺栓等将该按压构件91固定于顶板11。由此,气密地设定真空容器1的内部气氛。此外,在图5中,为了简化图示而省略了环状构件82。
如图6所示,在框体90的下表面形成有突起部92,该突起部92以沿着周向分别包围该框体90的下方侧的处理区域P2、P3的方式朝向旋转台2垂直地伸出。并且,在由该突起部92的内周面、框体90的下表面以及旋转台2的上表面围成的区域内,收纳有所述的第1等离子体处理用气体喷嘴32和第2等离子体处理用气体喷嘴34。此外,第1等离子体处理用气体喷嘴32和第2等离子体处理用气体喷嘴34这两者的基端部(真空容器1的内壁侧)的突起部92以沿着第2等离子体处理用气体喷嘴34的外形的方式形成有大致圆弧状的缺口。
如图4所示,在框体90的下方侧,在整个周向上形成有突起部92。密封构件11c利用该突起部92而不会直接暴露在等离子体中,即与等离子体生成区域隔离。因此,即使等离子体欲从等离子体生成区域向例如密封构件11c侧扩散,由于等离子体要经由突起部92的下方行进,因此等离子体会在到达密封构件11c之前失去活性。
在框体90的上方侧收纳有接地的法拉第屏蔽件95,该法拉第屏蔽件95由作为以大致沿着该框体90的内部形状的方式形成的导电性的板状体的金属板例如铜等构成。该法拉第屏蔽件95包括:水平面95a,其以沿着框体90的底面的方式水平地形成;和铅垂面95b,其从该水平面95a的外周端在整个周向上向上方侧延伸,该法拉第屏蔽件95也可以构成为在俯视时呈例如大致六边形。
图7是表示本实施方式的等离子体产生部的一个例子的俯视图,图8是表示设于本实施方式的等离子体产生部的法拉第屏蔽件的一部分的立体图。
从旋转台2的旋转中心看法拉第屏蔽件95时,法拉第屏蔽件95的右侧和左侧的上端缘分别向右侧和左侧水平地伸出而构成支承部96。并且,在法拉第屏蔽件95与框体90之间设有框状体99,该框状体99从下方侧支承支承部96且分别被凸缘部90a的靠框体90的中心部区域C侧的部分和凸缘部90a的靠旋转台2的外缘部侧的部分支承。
在由天线83生成的电场到达晶圆W的情况下,有时在晶圆W的内部形成的图案(电气布线等)会受到电损伤。因此,如图8所示,在水平面95a上形成有许多狭缝97,以阻止在天线83中产生的电场和磁场(电磁场)中的电场成分朝向下方的晶圆W去并使磁场到达晶圆W。
如图7和图8所示,狭缝97以在与天线83的卷绕方向正交的方向上延伸的方式在法拉第屏蔽件95的整个周向上形成在天线83的下方位置。在此,狭缝97形成为与向天线83供给的高频对应的波长的1/10000以下程度的宽度尺寸。另外,在各个狭缝97的长度方向上的一端侧和另一端侧,沿整个周向分别配置有由接地的导电体等形成的导电路径97a,以封堵这些狭缝97的开口端。在法拉第屏蔽件95中,在这些狭缝97的形成区域以外的区域、即卷绕有天线83的区域的中央侧,形成有用于经由该区域确认等离子体的发光状态的开口部98。此外,在所述图2中,为了简化而省略了狭缝97,用单点划线表示狭缝97的形成区域。
如图5所示,在法拉第屏蔽件95的水平面95a上层叠有由厚度尺寸例如为2mm左右的石英等形成的绝缘板94,以确保法拉第屏蔽件95与载置于法拉第屏蔽件95的上方的等离子体产生部81a、81b之间的绝缘性。即,等离子体产生部81a、81b分别配置为隔着框体90、法拉第屏蔽件95以及绝缘板94面对真空容器1的内部(旋转台2上的晶圆W)。
接着,说明本实施方式的基板处理装置的其他构成要件。
在旋转台2的外周侧,在比旋转台2略微靠下的位置如图2所示那样配置有作为罩体的侧环100。在侧环100的上表面以相互在周向上分开的方式形成有例如两处排气口61、62。换言之,在真空容器1的底板面形成有两个排气口,在侧环100的与这些排气口对应的位置处形成有排气口61、62。
在本说明书中,将排气口61、62中的一个称为第1排气口61、将另一个称为第2排气口62。在此,第1排气口61形成在分离气体喷嘴42与相对于该分离气体喷嘴42而言位于靠旋转台的旋转方向下游侧的位置的第1等离子体产生部81a之间。另外,第2排气口62形成在第2等离子体产生部81b与比该第2等离子体产生部81b靠旋转台2的旋转方向下游侧的分离区域D之间。
第1排气口61用于排出第1处理气体、分离气体,第2排气口62用于排出等离子体处理用气体、分离气体。这些第1排气口61和第2排气口62分别利用夹设有蝶阀等压力调整部65的排气管63与作为真空排气机构的例如真空泵64相连接。
如上所述,由于从中心部区域C侧到外缘侧地配置有框体90,因而,从旋转台2的旋转方向上游侧向等离子体处理区域P2、P3流通过来的气体中的欲朝向排气口62行进的气流有时被该框体90限制。因此,在侧环100的比框体90靠外周侧的部分的上表面上形成有用于供气体流动的槽状的气体流路101(参照图1和图2)。
如图1所示,在顶板11的下表面的中央部设有突出部5,该突出部5与凸状部4的在中心部区域C侧的部位连续且在整个周向上形成为大致环状,并且,突出部5的下表面与凸状部4的下表面(顶面44)形成为相同的高度。在比该突出部5靠旋转台2的旋转中心侧的芯部21的上方侧,配置有用于抑制各种气体在中心部区域C中发生互相混合的迷宫式结构部110。
如上所述,由于框体90形成至靠近中心部区域C侧的位置,因此,用于支承旋转台2的中央部的芯部21为了使旋转台2的上方侧的部位避开框体90而形成在旋转中心侧。因而,与外缘部侧相比,在中心部区域C侧呈各种气体彼此容易混合的状态。因此,通过在芯部21的上方侧形成迷宫式结构,能够发挥气体的流路的作用来防止气体彼此发生混合。
更具体而言,迷宫式结构部110具有以下结构:在整个周向上分别形成有从旋转台2侧朝向顶板11侧垂直地延伸的壁部和从顶板11侧朝向旋转台2垂直地延伸的壁部,并且,所述壁部在旋转台2的半径方向上交替配置。在迷宫式结构部110中,例如从第1处理气体喷嘴31喷出出后欲朝向中心部区域C行进的第1处理气体需要越过迷宫结构部110。因此,流速随着朝向中心部区域C去而变慢,从而变得难以扩散。结果,在处理气体到达中心部区域C之前,利用向该中心部区域C供给的分离气体将处理气体挤回到处理区域P1侧。另外,对于欲朝向中心部区域C行进的其他气体,也同样由于迷宫式结构部110而变得难以到达中心部区域C。因此,能够防止处理气体彼此在中心部区域C发生互相混合。
另一方面,从分离气体供给管51向该中心部区域C供给的分离气体欲在周向上迅猛地进行扩散,但是,由于设有迷宫式结构部110,因此在越过迷宫式结构部110时流速受到抑制。在该情况下,氮气还欲进入到例如旋转台2与突起部92之间的极为狭窄的区域中,但是,由于流速受到了迷宫式结构部110的抑制,因此氮气流向例如输送臂10的进退区域等相对广阔的区域。因此,抑制了氮气向框体90的下方侧的流入。
如图1所示,在旋转台2与真空容器1的底面部14之间的空间内设有作为加热机构的加热单元7。该加热单元7构成为能够隔着旋转台2将旋转台2上的晶圆W加热至例如室温~760℃左右。此外,图1中的附图标记71a是设于加热单元7的侧方侧的罩构件,附图标记7a是覆盖该加热单元7的上方侧的覆盖构件。另外,在真空容器1的底面部14,在整个周向上的多个部位设有在加热单元7的下方侧对加热单元7的配置空间进行吹扫的吹扫气体供给管73。
如图2所示,在真空容器1的侧壁上形成有输送口15,该输送口15用于在输送臂10与旋转台2之间进行晶圆W的交接,该输送口15构成为利用闸阀G气密地开闭自由。并且,在顶板11的、输送臂10相对于真空容器1进退的区域处的上方设有用于检测晶圆W的周缘部的摄像单元10a。该摄像单元10a用于通过拍摄晶圆W的周缘部来检测例如在输送臂10上有无晶圆W、载置于旋转台2上的晶圆W的位置偏移、输送臂10上的晶圆W的位置偏移。摄像单元10a构成为具有与晶圆W的直径尺寸对应的程度的广阔的视场。
在面对该输送口15的位置,在旋转台2的凹部24与输送臂10之间交接晶圆W。因此,在旋转台2的下方侧的与交接位置对应的部位设有未图示的升降销和升降机构,该升降销用于贯穿凹部24而从背面举起晶圆W。
另外,在本实施方式的基板处理装置中,设有用于控制整个装置的动作的由计算机构成的控制部120。在该控制部120的存储器内存储有用于进行后述的基板处理的程序。该程序为了执行装置的各种动作而编入有步骤组,该程序自硬盘、光盘、光磁盘、存储卡、软盘等作为存储介质的存储部121安装到控制部120内。
基板处理方法
接下来,说明使用本实施方式的基板处理装置的基板处理方法。
在利用等离子体的、旋转式的基板处理装置的ALD法中,通常,在使规定的处理气体吸附在晶圆W上之后,利用由等离子体生成的自由基和离子的能量来以较低的温度实施规定的膜的成膜和膜质改善。然而,在要在晶圆W上形成例如氮化硅膜等氮化膜的情况下,虽然能够在较短时间内容易地使处理气体吸附在晶圆W上,但吸附后的处理气体的氮化需要非常多的氮化气体并需要长时间的反应时间。在旋转式的基板处理装置中,由于装置尺寸、装置成本的原因,能够设置的等离子体产生部的数量、等离子体处理区域的范围(取决于电极尺寸等)存在限制,从而需要在被限制的等离子体产生部的数量、等离子体处理区域的范围内以期望的生产率获得期望膜质。
另外,在成膜处理中,根据预先形成在晶圆W上的电气布线图案的表面积的不同,会产生成膜速度变动的现象(负载效应)。尤其是,随着近年来的半导体装置的电气布线图案的微细化要求,要求一种能够抑制产生负载效应而能够形成期望膜质的薄膜的基板处理装置。对于用于形成氮化膜的反应系统中的负载效应,公知的是,在氮化部的压力较高的情况下,容易产生该负载效应,在氮化部的压力越低的情况下,越能够抑制产生该负载效应。然而,在旋转式的基板处理装置中,当使氮化部的压力低压化时,用于使处理气体吸附的吸附部也同时被低压化,从而使处理气体的吸附效率降低而使生产率降低、生产成本变高。另外,由于需要使真空泵大型化的、高真空性能的泵的设置等,因此还使装置成本上升。
因此,在本实施方式的基板处理方法中使用基板处理装置,该基板处理装置具有:真空容器;旋转台,其以能够旋转的方式设于所述真空容器内,在该旋转台的表面形成有用于载置基板的基板载置部;第1处理气体供给部件,其用于供给要吸附于所述基板的表面的第1处理气体;第1等离子体处理用气体供给部件,其用于向所述基板的表面供给第1等离子体处理用气体;第2等离子体处理用气体供给部件,其用于向所述基板的表面供给第2等离子体处理用气体;第1分离气体供给部件,其用于供给使所述第1处理气体和所述第1等离子体处理用气体分离的分离气体;第2分离气体供给部件,其用于供给使所述第1处理气体和所述第2等离子体处理用气体分离的分离气体;第1等离子体产生部件,其用于使所述第1等离子体处理用气体等离子体化;以及第2等离子体产生部件,其用于使所述第2等离子体处理用气体等离子体化,自所述第1处理气体供给部件起,沿所述旋转台的旋转方向依次设有第1分离气体供给部件、第1等离子体处理用气体供给部件、第2等离子体处理用气体供给部件、以及第2分离气体供给部件。
具体而言,本实施方式的基板处理方法包括以下工序:向所述基板供给所述第1处理气体的工序(S100);向被供给了所述第1处理气体后的所述基板供给所述分离气体的工序(S110);在所述第1等离子体产生部件与所述旋转台之间的距离为第1距离的状态下向被供给了所述分离气体后的所述基板供给所述第1等离子体处理用气体的工序(S120);在所述第2等离子体产生部件与所述旋转台之间的距离为比所述第1距离小的第2距离的状态下向被供给了所述第1等离子体处理用气体后的所述基板供给所述第2等离子体处理用气体的工序(S130);向被供给了所述第2等离子体处理用气体后的所述基板供给所述分离气体的工序(S140)。
在本实施方式的基板处理方法的等离子体处理中,以使等离子体产生部件与旋转台之间的距离成为第1距离、接着成为比第1距离小的第2距离的方式使基板通过等离子体处理区域。即,使基板在通过离子能量较小且自由基浓度较低的区域(第2处理区域P2)之后通过离子能量较大且自由基浓度较高的区域(第3处理区域P3)。由此,能够抑制产生负载效应而能够形成期望膜质的薄膜。
从晶圆W的输入开始列举具体的实施方式来说明各个工序的详细内容。
首先,在向所述基板处理装置输入晶圆W时,先打开闸阀G。然后,一边使旋转台2间歇地旋转,一边利用输送臂10经由输送口15将晶圆W载置到旋转台2上。
接着,关闭闸阀G,并利用加热单元7将晶圆加热至规定的温度。接着,自第1处理气体喷嘴31以规定的流量喷出第1处理气体并自第1等离子体处理用气体喷嘴32和第2等离子体处理用气体喷嘴34以规定的流量供给等离子体处理用气体。
接着,将第1等离子体产生部81a与旋转台2之间的距离设定为规定的第1距离。然后,将第2等离子体产生部81b与旋转台2之间的距离设定为比所述第1距离小的第2距离。
然后,利用压力调整部65将真空容器1内调整至规定的压力。另外,在等离子体产生部81a、81b中,分别向天线83施加规定功率的高频电力。
利用旋转台2的旋转,使晶圆W的表面在第1处理区域P1中吸附第1处理气体(S100)。吸附有第1处理气体的晶圆W利用旋转台2的旋转通过分离区域D(S110)。在该分离区域D中,向晶圆W的表面供给分离气体,从而去除与第1处理气体有关的、不需要的物理吸附成分。
接下来,晶圆W利用旋转台2的旋转通过第2处理区域P2(S120)。在第2处理区域P2中,利用自第1等离子体处理用气体喷嘴32供给过来的等离子体处理用气体的等离子体来使第1处理气体氮化,从而对形成后的氮化膜进行改性处理。
通常,作为由等离子体处理用的气体的等离子体产生的活性种,公知有离子和自由基,离子主要有助于氮化膜的改性处理,自由基主要有助于氮化膜的形成处理。另外,公知的是,与自由基相比,离子的寿命较短,通过使等离子体产生部81a、81b与旋转台2之间的距离较长,从而使到达晶圆W的离子能量大大减少。
于是,在第2处理区域P2中,将第1等离子体产生部81a与旋转台2之间的距离设定为比后述的第2距离大的第1距离(参照S120)。利用该较大的第1距离,在第2处理区域P2中使到达晶圆W的离子大大减少,从而向晶圆主要供给自由基。即,在第2处理区域P2中,晶圆W上的第1处理气体被离子能量较小的等离子体(初始)氮化,从而形成1层或多层作为薄膜成分的氮化膜的分子层。另外,利用等离子体对形成后的氮化膜进行改性处理。
另外,在成膜工艺的初始阶段,活性种对晶圆的影响较大,在例如使用离子能量较大的等离子体的情况下,有时晶圆本身被氮化。从该观点考虑,优选的是,在第2处理区域P2的处理中,首先利用离子能量较小的等离子体来进行等离子体处理。
第1距离并没有限定,但从利用离子能量较小的等离子体来高效地在晶圆W上形成氮化膜的观点考虑,优选使第1距离在45mm~120mm的范围内。
接下来,通过第2处理区域P2后的晶圆W利用旋转台2的旋转通过第3处理区域P3(S130)。在第3处理区域P3中,与第2处理区域P2同样地,利用自第2等离子体处理用气体喷嘴34供给过来的等离子体处理用气体的等离子体来使第1处理气体氮化,并对形成后的氮化膜进行改性处理。
此处,在第3处理区域P3中,将第2等离子体产生部81b与旋转台2之间的距离设定为比所述第1距离小的第2距离(参照S130)。利用相对地小于第1距离的第2距离,在第3处理区域P3中,使到达晶圆W的离子量多于在第2处理区域P2中到达晶圆W的离子量。此外,需要注意的是,在第3处理区域P3中,到达晶圆W的自由基量也多于在第2处理区域P2中到达晶圆W的自由基量。因而,在第3处理区域P3中,晶圆W上的第1处理气体被离子能量较大且具有高密度的自由基的等离子体氮化,与第2处理区域P2相比,能够更高效地对形成后的氮化膜进行改性处理。
第2距离只要小于第1距离即可,并没有限定,从更高效地将氮化膜改性的观点考虑,优选使第2距离在20mm~60mm的范围内。
经等离子体处理后的晶圆W利用旋转台2的旋转通过分离区域D(S140)。该分离区域D是使第1处理区域P1和第3处理区域P3分离的区域,以使不需要的氮化性气体、改性气体不会进入到第1处理区域P1中。
在本实施方式中,通过使旋转台2持续旋转,依次进行多次如下过程:第1处理气体吸附于晶圆W表面、吸附于晶圆W表面的处理气体成分的氧化或氮化以及反应生成物的等离子体改性。即,通过旋转台2的旋转而进行多次的、基于ALD法的成膜处理和形成后的膜的改性处理。
此外,在本实施方式的基板处理装置的处理区域P1、P2之间的、旋转台2的周向两侧配置有分离区域D。因此,在分离区域D中,既能阻止处理气体与等离子体处理用气体间的混合,又能朝向排气口61、62排出各气体。
接下来,说明在例如在晶圆W上实施氮化膜的成膜和形成后的氮化膜的改性处理的情况下的、优选实施条件例。
成膜工序中的第1处理气体的流量并没有限定,例如能够为900sccm~1500sccm左右。
在等离子体处理用气体中含有的含氨气体的流量并没有限定,能够为例如4000sccm~5000sccm左右。
真空容器1内的压力并没有限定,能够为例如0.75Torr~0.9Torr左右。
晶圆W的温度并没有限定,能够为例如350℃~450℃左右。
旋转台2的旋转速度并没有限定,能够为例如60rpm~300rpm左右。
接下来,列举具体的实施方式来更详细地说明本发明。
实施例1
说明实施例1,在该实施例1中确认了:在本实施方式的基板处理方法的等离子体处理中,通过使基板在通过离子能量较小且自由基浓度较低的区域(第2处理区域P2)之后通过离子能量较大且自由基浓度较高的区域(第3处理区域P3),能够抑制产生负载效应而能够形成期望膜质的薄膜。
利用使用图1~图8说明的本实施方式的基板处理装置并使用图9说明的基板处理方法以下述条件对硅晶圆W实施了成膜处理。
作为实施例1的成膜条件,进行了如下设定。
第1处理气体:DCS(二氯硅烷)
步骤S120中的处理气体:NH3=4000sccm
步骤S120中的第1距离:90mm
步骤S130中的处理气体:NH3/Ar/H2=300/1900/600sccm
步骤S130中的第2距离:37.5mm。
另外,在比较例1中,使步骤S130中的第2距离为90mm,除此以外,利用与实施例1相同的方法实施了比较例1的成膜处理。
并且,在比较例2中,使步骤S120中的第1距离为37.5mm并使步骤S130中的第2距离为90mm,除此以外,利用与实施例1相同的方法实施了比较例2的成膜处理。
通过测定经实施例1和比较例1、2后得到的晶圆的反应生成物(氮化膜)的膜厚,从而求出了自步骤S100起到步骤S140为止的每次循环的成膜速度和与成膜有关的面内均匀性。
图10是用于说明实施例1的基板处理方法的效果的一个例子的概略图。更具体而言,图10的条形图是与成膜速度有关的结果,曲线图是与面内均匀性有关的结果。此外,面内均匀性的数据是利用最大膜厚除晶圆面内的最大膜厚与最少膜厚之间的差而得到的值,意思是该数值越低,面内均匀性越优异。
从图10所示的成膜速度可知,在实施例1、比较例1、2之间,每次循环的成膜速度并没有较大的差异。在第1距离和第2距离均为90mm的比较例1中,成膜速度也与其他实施方式为相同程度,因此,可以想到,在所有的实施方式中,吸附后的第1处理气体均被良好地氮化。
另一方面,由图10所示的面内均匀性的结果可知,与比较例1、2的基板处理方法相比,实施例1的基板处理方法是能够形成面内均匀性非常优异的膜的基板处理方法。
即,由以上的结果可知,在实施例1的基板处理方法的等离子体处理中,使基板在通过离子能量较小且自由基浓度较低的区域(第2处理区域P2)之后通过离子能量较大且自由基浓度较高的区域(第3处理区域P3),由此,能够在维持成膜速度的基础上形成面内均匀性优异的膜。
另外,对于获得的膜,使用0.5%的稀氟酸(DFH)进行了湿蚀刻。
图11是用于说明实施例1的基板处理方法的效果的其他例子的概略图。更具体而言,图11是表示获得的膜的湿蚀刻速率的图。
如图11所示,通过实施例1获得的氮化硅膜的蚀刻速率低于通过比较例1、2获得的氮化硅膜的蚀刻速率。即,可知,通过本实施方式的基板处理方法获得的氮化硅膜能够较佳地使用于例如蚀刻中的掩模等用途。可以想到其原因在于,在本实施方式的基板处理方法的等离子体处理中,由于使基板在通过离子能量较小且自由基浓度较低的区域(第2处理区域P2)之后通过离子能量较大且自由基浓度较高的区域(第3处理区域P3),因此能够更高效地对氮化膜进行改性处理。
对于能否通过实施例1的基板处理方法来抑制负载效应进行了评价。
图12A和图12B是用于说明实施例1的基板处理方法的效果的其他例子的概略图。更具体而言,图12A和12B分别是描绘X轴方向(图12A)和Y轴方向(图12B)上的、膜厚自目标膜厚减少的减少率而成的曲线图。此外,在本实施方式中,Y轴方向是将晶圆W的中心和旋转台2的旋转中心相连的直线方向(以该朝向为正),Y轴的中心是晶圆的中心。另外,X轴方向是与该Y轴正交且通过晶圆的主表面的轴方向,X轴的中心是晶圆的中心,X轴的正的朝向是自旋转台2的旋转上游朝向旋转下游的朝向。
如图12A和12B所示,可知,在X轴方向和Y轴方向上,实施例1的减少率均小于比较例2的减少率,即,本实施方式的基板处理方法能够抑制产生负载效应。
实施例2
说明对等离子体产生部与晶圆W之间的距离同晶圆本身的氮化量之间的关系进行确认的实施方式。
利用使用图1~图8说明的本实施方式的基板处理装置并利用使用图9说明的基板处理方法以下述条件对硅晶圆W实施了成膜处理。
作为成膜条件,使步骤S120中的第1距离和步骤S130中的第2距离为相同值,具体而言,为30mm、37.5mm、60mm、90mm,测定了以各个距离进行成膜处理后的晶圆的氮化量。
图13是用于说明实施例2的基板处理方法的效果的其他例子的概略图。
如图13所示,等离子体产生部件与晶圆之间的距离越小,晶圆的氮化量越大。这意味着,等离子体产生部件与晶圆之间的距离越小,等离子体的离子能量越高,自由基的密度越大。尤其是,在成膜工艺的初始阶段,活性种对晶圆的影响较大,晶圆本身容易被氮化,因此,如本实施方式的基板处理方法那样,优选使基板在通过离子能量较小且自由基浓度较低的区域(第2处理区域P2)之后通过离子能量较大且自由基浓度较高的区域(第3处理区域P3)。
以上,通过实施例1和实施例2可知,通过使基板在通过离子能量较小且自由基浓度较低的区域(第2处理区域P2)之后通过离子能量较大且自由基浓度较高的区域(第3处理区域P3),能够抑制产生负载效应而能够形成期望膜质的薄膜。
实施例3
在实施例3的基板处理方法中,在使用在图1~图8中说明的基板处理装置来使旋转台2与图2的箭头相反的方向的逆时针旋转方向旋转的情况和使旋转台2沿图2的箭头所示的顺时针旋转方向旋转的情况下,对成膜量、膜质等进行了比较实验。
图14是表示本发明的实施例3的基板处理方法的一个例子的处理流程的图,该处理流程是在使旋转台2与图2的箭头同样地沿逆时针旋转方向旋转的情况下进行的。此外,在本实施方式中,列举作为第1处理气体而使用含硅气体即DCS、作为第1等离子体处理用气体而使用由氨气、氢气以及氩气构成的混合气体、以及作为第2等离子体处理用气体而使用氨气的例子进行说明。此外,第1等离子体处理用气体的各气体是氨气流量为0.3slm、氢气流量为0.6slm、氩气流量为1.9slm的富氢的混合气体。另外,第2等离子体处理用气体是氨气为100%、流量为4slm的气体。通过使旋转台2沿逆时针旋转方向旋转,从而使基板依次通过第1处理区域P1、分离区域D、第1等离子体产生部81a(以下,也可称作“第1等离子体处理区域”。)、第2等离子体产生部81b(以下,也可称作“第2等离子体处理区域”。)、分离区域D,并周期性地重复进行图14所示那样的顺序的处理流程。
图15A~图15C是表示在进行了图14所示的处理流程的情况下、在晶圆W的表面产生的化学反应的模型的图。图15A是表示利用第1等离子体产生部81a对晶圆W实施了第1等离子体处理后的状态的图。在第1等离子体处理中,通过利用(NH3+H2+Ar)构成的第1等离子体对吸附有DCS的晶圆W的表面实施等离子体处理,从而使NH吸附在晶圆W的表面上,使Si吸附于NH,末端变成H。此外,DCS的Cl与H反应,变成HCl而脱去。
图15B是表示利用第2等离子体产生部81b对晶圆W实施了第2等离子体处理后的状态的图。在第2等离子体处理中,通过利用由NH3构成的第2等离子体实施等离子体处理,从而使末端的H与NH反应而使末端变成NH2
图15C是表示在第1处理区域P1中对晶圆W供给作为第1处理气体的DCS后的状态的图。在供给DCS时,如图15B所示那样,由于吸附位点的末端是NH2,因此,末端的H与DCS的Cl反应,变成HCl而脱去,由此能够易于使Si吸附于NH。
如上所述,利用包括氢气的第1等离子体来进行氮化和含有Si的膜的改性,接着,利用不包括氢气而包括氨气的第2等离子体来进行氮化和形成吸附位点,由此,在供给DCS时,能够易于使DCS吸附于吸附位点。由此,负载效应变得良好,能够高效地形成含有Si的膜。
图16是表示比较例的基板处理方法的一个例子的处理流程的图,该处理流程是在使旋转台2沿图2的箭头所示的顺时针旋转方向旋转的情况下进行的。与图14相比,第1等离子体处理和第2等离子体处理的顺序相反,在单独利用氨气进行第2等离子体处理之后,利用氢气、氨气以及氩气的混合气体进行第1等离子体处理。
图17A~图17C是表示在进行了图16所示的比较例的基板处理方法的处理流程的情况下、在晶圆W的表面产生的化学反应的模型的图。图17A是表示在利用第2等离子体产生部81b对晶圆W实施了第1(第1次)等离子体处理的状态后的图。在第1等离子体处理中,在DCS吸附于晶圆W的表面的状态下,利用由NH3构成的第1等离子体来实施等离子体处理,由此使末端的H和NH反应而使末端变成NH2
图17B是表示在利用第1等离子体产生部81a对晶圆W实施了第2(第2次)等离子体处理后的状态的图。通过利用由(NH3+H2+Ar)构成的第2等离子体在吸附有DCS的晶圆W上实施等离子体处理,从而使末端的NH2和Cl反应而脱去HCl,使末端变成H。
图17C是表示在第1处理区域P1中向晶圆W供给作为第1处理气体的DCS后的状态的图。在供给DCS时,如图17B所示,由于吸附位点的末端是H,因此,难以吸附DCS。
如上所述,即使利用不包括氢气而包括氨气的第1等离子体来进行含有Si的膜的氮化,并接着利用包括氢气的第2等离子体来进行氮化和含有Si的膜的改性,也不能形成吸附位点,而成为H末端,即使供给DCS,也难以进行吸附。由此,负载效应不良,不能高效地进行含有Si的膜的成膜。
表1是表示利用本发明的第3实施方式的基板处理方法和比较例的基板处理方法在平坦的晶圆W面上形成均匀的全面膜(所谓的ベタ膜)后的结果。此外,工艺条件如下,基板温度为400℃,真空容器1内的压力为0.75Torr,DCS的流量为1000sccm(并以500sccm供给N2),第1等离子体处理区域81a中的氨气的流量为300slm、氢气的流量为600sccm、氩气的流量为1900sccm,第2等离子体处理区域81b中的氨气的流量为4000slm。另外,分离区域D中的N2气体的流量为3000sccm。
表1
项目 CCW CW
平均膜厚(nm) 24.2599 22.4666
最大值(nm) 24.6184 22.9386
最小值(nm) 23.7627 21.6213
范围(nm) 0.856 1.317
蚀刻速率(nm/min) 0.651 0.601
成膜速率(nm/cycle) 0.065 0.060
面内均匀性(±%) 1.76 2.93
厚度 24.26 22.47
如表1所示,在第3实施方式的基板处理方法中,1周期中的成膜速率为0.065nm/周期,在比较例的基板处理方法中,1周期中的成膜速率是0.060nm/周期,第3实施方式的基板处理方法的1周期中的成膜速率比比较例的基板处理方法的1周期中的成膜速率高8%左右。另外,在第3实施方式的基板处理方法中,面内均匀性为1.76%,在比较例的基板处理方法中,面内均匀性为2.93%,与比较例的基板处理方法相比,第3实施方式的基板处理方法获得了良好的面内均匀性。
图18是表示在晶圆W上形成图案且该图案具有10倍于平坦面的表面积的情况下的第3实施方式的基板处理方法和比较例的基板处理方法的、沿X线进行比较的比较结果的图。如图18所示,对于面内均匀性,第3实施方式的基板处理方法中的Ax曲线大幅低于比较例的基板处理方法中的Bx曲线,这表明第3实施方式的基板处理方法获得了良好的面内均匀性。
图19是表示在晶圆W上形成图案且该图案具有10倍于平坦面的表面积的情况下的第3实施方式的基板处理方法和比较例的基板处理方法的、沿Y线进行比较的比较结果的图。如图19所示,对于面内均匀性,第3实施方式的基板处理方法中的Ay曲线大幅低于比较例的基板处理方法中的By曲线,这表明第3实施方式的基板处理方法获得了良好的面内均匀性。
如上所述,与比较例的基板处理方法相比,第3实施方式的基板处理方法在成膜速度和面内均匀性这俩方面均获得了优异的结果。
此外,能够利用图1~图8所示的基板处理装置来实施实施方式3的基板处理方法,但并不限于此,实施方式3的基板处理方法也能够适用于第1等离子体产生部81a和第2等离子体产生部81b的高度相等的情况。实施方式3的基板处理方法着眼于等离子体处理用气体的供给顺序,因此,不管等离子体产生部81a、81b的高度的如何,均能够适用实施方式3的基板处理方法。
采用本发明的实施方式,能够提供一种能够抑制产生负载效应而能够形成期望膜质的薄膜的基板处理方法。
以上,详细说明了本发明的优选实施方式,但本发明并不限定于所述实施方式,而能够在不脱离本发明的范围内对所述实施方式进行各种变形和置换。
本申请基于2014年2月10日向日本国特许厅提出申请的日本特许出愿2014-23006号和2014年10月7日向日本国特许厅提出申请的日本特许出愿2014-206571号主张优先权,在此引用日本特许出愿2014-23006号和2014-206571号的全部内容。

Claims (12)

1.一种基板处理方法,在该基板处理方法中使用如下基板处理装置,该基板处理装置具有:
真空容器;
旋转台,其以能够旋转的方式设于所述真空容器内,在该旋转台的表面形成有用于载置基板的基板载置部;
处理气体供给部件,其用于供给要吸附于所述基板的表面的处理气体;
第1等离子体处理用气体供给部件,其用于向所述基板的表面供给第1等离子体处理用气体;
第2等离子体处理用气体供给部件,其用于向所述基板的表面供给第2等离子体处理用气体;
第1分离气体供给部件,其用于向所述基板的表面供给使所述处理气体和所述第1等离子体处理用气体分离的分离气体;
第2分离气体供给部件,其用于向所述基板的表面供给使所述处理气体和所述第2等离子体处理用气体分离的分离气体;
第1等离子体产生部件,其用于使所述第1等离子体处理用气体等离子体化;以及
第2等离子体产生部件,其用于使所述第2等离子体处理用气体等离子体化,
自所述处理气体供给部件起,沿所述旋转台的旋转方向依次设有第1分离气体供给部件、第1等离子体处理用气体供给部件、第2等离子体处理用气体供给部件、以及第2分离气体供给部件,其中,
该基板处理方法具有以下工序:
向所述基板的表面供给所述处理气体的工序;
向所述基板的表面供给所述分离气体的工序;
在所述第1等离子体产生部件与所述旋转台之间的距离为第1距离的状态下向所述基板的表面供给所述第1等离子体处理用气体的工序;
在所述第2等离子体产生部件与所述旋转台之间的距离为比所述第1距离小的第2距离的状态下向所述基板的表面供给所述第2等离子体处理用气体的工序;以及
向所述基板的表面供给所述分离气体的工序。
2.根据权利要求1所述的基板处理方法,其中,
所述第1距离在45mm~120mm的范围内,
所述第2距离比所述第1距离短且在20mm~60mm的范围内。
3.根据权利要求1所述的基板处理方法,其中,
所述处理气体包含从包括二异丙基氨基硅烷、三(二甲基氨基)硅烷、双叔丁基氨基硅烷、二氯硅烷、六氯乙硅烷、四氯化钛、(甲基戊二酮酸)双(四甲基庚二酮酸)钛、三甲基铝、四(乙基甲基氨基)锆)、四(乙基甲基氨基)铪、双(四甲基庚二酮酸)锶在内的组中选择的1个以上的气体,
所述第1等离子体处理用气体和所述第2等离子体处理用气体至少包括氨气。
4.一种基板处理方法,其中,
该基板处理方法具有以下工序:
向设于处理室内的基板供给含硅气体而使所述含硅气体吸附于所述基板的表面的工序;
使用由包括氢气在内的第1等离子体处理用气体生成的第1等离子体来对所述基板的表面实施第1等离子体处理的工序;以及
使用由不包括氢气而包括氨气的第2等离子体处理用气体生成的第2等离子体来对所述基板的表面实施第2等离子体处理的工序,
其中,所述第1等离子体处理用气体是包括氢气、氨气以及氩气的混合气体。
5.根据权利要求4所述的基板处理方法,其中,
周期性地重复进行向所述基板的表面供给所述含硅气体的工序、对所述基板实施所述第1等离子体处理的工序以及对所述基板实施所述第2等离子体处理的工序。
6.根据权利要求5所述的基板处理方法,其中,
在向所述基板的表面供给所述含硅气体的工序的前后,该基板处理方法还具有向所述基板供给吹扫气体的工序。
7.根据权利要求5所述的基板处理方法,其中,
在所述处理室内设有能够在上表面上载置所述基板的旋转台,并且,沿着该旋转台的周向设有能够向所述基板供给所述含硅气体的第1处理区域、能够进行所述第1等离子体处理的第1等离子体处理区域、以及能够进行所述第2等离子体处理的第2等离子体处理区域,
通过使所述旋转台旋转而使所述基板依次通过所述第1处理区域、所述第1等离子体处理区域、以及所述第2等离子体处理区域,从而周期性地重复进行向所述基板的表面供给所述含硅气体的工序、对所述基板实施所述第1等离子体处理的工序以及对所述基板实施所述第2等离子体处理的工序。
8.根据权利要求7所述的基板处理方法,其中,
在所述第1处理区域的所述周向的两侧设有用于向所述基板供给吹扫气体的吹扫气体供给区域,在使所述含硅气体吸附于所述基板的表面的工序的前后进行吹扫气体供给工序。
9.根据权利要求4所述的基板处理方法,其中,
所述第2等离子体处理用气体是仅由氨气构成的气体。
10.根据权利要求4所述的基板处理方法,其中,
所述含硅气体是包含从包括二异丙基氨基硅烷、三(二甲基氨基)硅烷、双叔丁基氨基硅烷、二氯硅烷、六氯乙硅烷在内的组中选择的1个以上的气体。
11.一种基板处理装置,其具有:
真空容器;
旋转台,其以能够旋转的方式设于所述真空容器内,在该旋转台的表面形成有用于载置基板的基板载置部;
处理气体供给部件,其用于供给要吸附于所述基板的表面的处理气体;
第1等离子体处理用气体供给部件,其用于向所述基板的表面供给第1等离子体处理用气体;
第2等离子体处理用气体供给部件,其用于向所述基板的表面供给第2等离子体处理用气体;
第1分离气体供给部件,其用于供给使所述处理气体和所述第1等离子体处理用气体分离的分离气体;
第2分离气体供给部件,其用于供给使所述处理气体和所述第2等离子体处理用气体分离的分离气体;
第1等离子体产生部件,其用于使所述第1等离子体处理用气体等离子体化;
第2等离子体产生部件,其用于使所述第2等离子体处理用气体等离子体化;以及
控制部,其中,
自所述处理气体供给部件起,沿所述旋转台的旋转方向依次设有第1分离气体供给部件、第1等离子体处理用气体供给部件、第2等离子体处理用气体供给部件、以及第2分离气体供给部件,
所述控制部对所述基板处理装置进行控制,以使得:
向所述基板供给所述处理气体,
向被供给了所述处理气体后的所述基板供给所述分离气体,
在所述第1等离子体产生部件与所述旋转台之间的距离为第1距离的状态下向被供给了所述分离气体后的所述基板供给所述第1等离子体处理用气体,
在所述第2等离子体产生部件与所述旋转台之间的距离为比所述第1距离小的第2距离的状态下向被供给了所述第1等离子体处理用气体后的所述基板供给所述第2等离子体处理用气体,
向被供给了所述第2等离子体处理用气体后的所述基板供给所述分离气体。
12.根据权利要求11所述的基板处理装置,其中,
所述第1距离在45mm~120mm的范围内,
所述第2距离比所述第1距离短且在20mm~60mm的范围内。
CN201510071141.7A 2014-02-10 2015-02-10 基板处理方法和基板处理装置 Active CN104831255B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2014-023006 2014-02-10
JP2014023006 2014-02-10
JP2014-206571 2014-10-07
JP2014206571A JP6262115B2 (ja) 2014-02-10 2014-10-07 基板処理方法及び基板処理装置

Publications (2)

Publication Number Publication Date
CN104831255A CN104831255A (zh) 2015-08-12
CN104831255B true CN104831255B (zh) 2018-09-07

Family

ID=53774440

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510071141.7A Active CN104831255B (zh) 2014-02-10 2015-02-10 基板处理方法和基板处理装置

Country Status (5)

Country Link
US (2) US9714467B2 (zh)
JP (1) JP6262115B2 (zh)
KR (1) KR101885411B1 (zh)
CN (1) CN104831255B (zh)
TW (1) TWI602943B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
JP6241460B2 (ja) 2015-08-25 2017-12-06 株式会社デンソー 電動機の制御装置
JP6605946B2 (ja) * 2015-12-24 2019-11-13 株式会社ディスコ チップ収容トレイからチップをピックアップする方法
JP6523185B2 (ja) * 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102052435B1 (ko) * 2016-03-31 2019-12-05 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 장전 방법 및 기록 매체
JP6656103B2 (ja) * 2016-07-15 2020-03-04 東京エレクトロン株式会社 窒化膜の成膜方法および成膜装置
KR102014175B1 (ko) * 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
JP6767844B2 (ja) * 2016-11-11 2020-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6750534B2 (ja) * 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
EP3619335A4 (en) 2017-05-02 2021-03-10 Picosun Oy ALD APPARATUS, PROCESS AND VALVE
KR102452830B1 (ko) * 2017-12-12 2022-10-12 삼성전자주식회사 반도체 공정 챔버
JP6989677B2 (ja) * 2018-02-28 2022-01-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN111346620A (zh) * 2018-12-21 2020-06-30 大庆净达环保科技有限公司 具有吸附性能的改性材料、其制备方法及用途
JP7200880B2 (ja) * 2019-08-19 2023-01-10 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20220044357A (ko) * 2019-09-20 2022-04-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기록 매체 및 기판 처리 장치
WO2022173695A1 (en) * 2021-02-10 2022-08-18 Lam Research Corporation Hybrid liquid/air cooling system for tcp windows

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110572A (zh) * 2009-12-25 2011-06-29 东京毅力科创株式会社 等离子处理装置
CN102251229A (zh) * 2010-03-29 2011-11-23 东京毅力科创株式会社 成膜装置和成膜方法
TW201318038A (zh) * 2011-10-07 2013-05-01 Hitachi Int Electric Inc 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
CN103243314A (zh) * 2012-02-09 2013-08-14 东京毅力科创株式会社 成膜装置

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854266A (en) 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
CN1144198C (zh) 1997-05-08 2004-03-31 松下电器产业株式会社 光记录媒体制造装置和制造方法
KR100253089B1 (ko) 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US5906354A (en) 1998-01-12 1999-05-25 Sigma Scientific Technology, Inc. Ball valve for lethal gas or fluid service
US5849088A (en) 1998-01-16 1998-12-15 Watkins-Johnson Company Free floating shield
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
EP1845553B1 (en) 2004-12-28 2009-10-21 Tokyo Electron Ltd. Semiconductor manufacturing apparatus, abnormality detection in such semiconductor manufacturing apparatus, method for specifying abnormality cause or predicting abnormality, and recording medium wherein computer program for executing such method is recorded
US8475624B2 (en) 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8257503B2 (en) 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US20090324826A1 (en) 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
US8465592B2 (en) 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8465591B2 (en) 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8808456B2 (en) 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US9416448B2 (en) 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5253933B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276388B2 (ja) 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2010084230A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
US8961691B2 (en) 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5253932B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5280964B2 (ja) 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5062144B2 (ja) 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5445044B2 (ja) 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010126797A (ja) 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5181100B2 (ja) 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5287592B2 (ja) 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5444961B2 (ja) 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5434484B2 (ja) 2009-11-02 2014-03-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5482196B2 (ja) 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5392069B2 (ja) 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP2012054508A (ja) 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5524139B2 (ja) 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5579009B2 (ja) 2010-09-29 2014-08-27 東京エレクトロン株式会社 成膜装置および成膜方法
JP5599350B2 (ja) 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5679581B2 (ja) 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
JP5803706B2 (ja) 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP5823922B2 (ja) 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
JP6011417B2 (ja) 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5859927B2 (ja) 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6118102B2 (ja) 2012-12-21 2017-04-19 東京エレクトロン株式会社 基板位置検出装置及びこれを用いた基板処理装置、成膜装置
JP6101083B2 (ja) 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5971144B2 (ja) 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6114708B2 (ja) 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6118197B2 (ja) 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
JP2015056632A (ja) 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6114668B2 (ja) 2013-09-18 2017-04-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6204213B2 (ja) 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110572A (zh) * 2009-12-25 2011-06-29 东京毅力科创株式会社 等离子处理装置
CN102251229A (zh) * 2010-03-29 2011-11-23 东京毅力科创株式会社 成膜装置和成膜方法
TW201318038A (zh) * 2011-10-07 2013-05-01 Hitachi Int Electric Inc 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
CN103243314A (zh) * 2012-02-09 2013-08-14 东京毅力科创株式会社 成膜装置

Also Published As

Publication number Publication date
JP6262115B2 (ja) 2018-01-17
US10151031B2 (en) 2018-12-11
US9714467B2 (en) 2017-07-25
US20150225849A1 (en) 2015-08-13
JP2015165549A (ja) 2015-09-17
US20170268104A1 (en) 2017-09-21
KR20150094533A (ko) 2015-08-19
TW201602383A (zh) 2016-01-16
CN104831255A (zh) 2015-08-12
TWI602943B (zh) 2017-10-21
KR101885411B1 (ko) 2018-08-03

Similar Documents

Publication Publication Date Title
CN104831255B (zh) 基板处理方法和基板处理装置
JP7386270B2 (ja) 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
CN105097459B (zh) 等离子体处理方法及等离子体处理装置
CN104637769B (zh) 基板处理装置和基板处理方法
TWI718131B (zh) 超薄原子層沉積膜精度厚度控制
KR102024983B1 (ko) 성막 방법
JP5679581B2 (ja) 成膜方法
JP6869141B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
US9508545B2 (en) Selectively lateral growth of silicon oxide thin film
KR102350840B1 (ko) 실리콘 질화막의 성막 방법 및 성막 장치
CN102110572A (zh) 等离子处理装置
TWI675933B (zh) 成膜方法
CN105296962B (zh) 成膜装置
CN101660138A (zh) 活化气体注入装置、成膜装置和成膜方法
KR20120127281A (ko) 성막 장치, 성막 방법 및 기억 매체
CN101325160A (zh) 半导体处理用的成膜方法和装置
TWI721227B (zh) 成膜裝置及成膜方法
KR20180028937A (ko) 안테나 장치 및 이것을 사용한 플라즈마 발생 장치, 및 플라즈마 처리 장치
JP2016117933A (ja) 成膜装置
CN102776491B (zh) 成膜装置和成膜方法
KR102161875B1 (ko) 성막 장치, 성막 방법 및 기억 매체
US11823865B2 (en) Plasma generation apparatus, deposition apparatus using the same, and deposition method
TW202217049A (zh) 沉積氧化矽之方法、形成半導體結構之方法、形成半導體裝置之方法、及沉積總成
JP2020012136A (ja) 成膜方法
JP2019019391A (ja) 成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant