TW201318038A - 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體 - Google Patents

半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體 Download PDF

Info

Publication number
TW201318038A
TW201318038A TW101132696A TW101132696A TW201318038A TW 201318038 A TW201318038 A TW 201318038A TW 101132696 A TW101132696 A TW 101132696A TW 101132696 A TW101132696 A TW 101132696A TW 201318038 A TW201318038 A TW 201318038A
Authority
TW
Taiwan
Prior art keywords
gas
plasma
excited
substrate
gas supply
Prior art date
Application number
TW101132696A
Other languages
English (en)
Other versions
TWI475599B (zh
Inventor
Kazuyuki Okuda
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201318038A publication Critical patent/TW201318038A/zh
Application granted granted Critical
Publication of TWI475599B publication Critical patent/TWI475599B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明之課題為在低溫區域下,形成對氫氟酸之耐性高的氮化膜。本發明之解決手段係具有藉由進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:對基板供給原料氣體之步驟;對基板供給經電漿激發之含氫氣體之步驟;對基板供給經電漿激發或熱激發之氮化氣體之步驟;與對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。

Description

半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
本發明係關於包含於基板上形成薄膜之步驟的半導體裝置之製造方法及基板處理方法,以及該步驟中適合使用之基板處理裝置及記錄媒體。
作為LSI、SRAM、DRAM等半導體裝置之製造步驟中之一步驟,已有進行在基板上形成矽氮化膜(SiN膜)的步驟。矽氮化膜可藉由交替重複進行對處理室內之基板供給例如含矽氣體之步驟、與對處理室內之基板供給經電漿激發之含氮氣體之步驟而形成。矽氮化膜係於半導體裝置之製造步驟中,有時被使用作為例如使用氫氟酸(HF)含有液對矽氧化膜(SiO膜)等進行蝕刻等時的蝕刻終止層。
近年來,由製造半導體裝置時之要求,而要求矽氮化膜之成膜溫度的低溫化。然而,在使矽氮化膜之成膜溫度低溫化時,有膜質降低、對氫氟酸含有液之蝕刻速率增大的情形。
本發明之目的在於提供一種於低溫區域下,可形成對氫氟酸之耐性高之氮化膜的半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體。
根據本發明之一態樣,提供:一種半導體裝置之製造方法,係具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:(a)對基板供給原料氣體之步驟;(b)對上述基板供給經電漿激發之含氫氣體之步驟;(c)對上述基板供給經電漿激發或熱激發之氮化氣體之步驟;(d)對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
根據本發明之其他態樣,提供:一種基板處理方法,其具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:(a)對基板供給原料氣體之步驟;(b)對上述基板供給經電漿激發之含氫氣體之步驟;(c)對上述基板供給經電漿激發或熱激發之氮化氣體之步驟;(d)對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
根據本發明之再其他態樣,提供:一種基板處理裝置,係具有:處理容器,用以收容基板;第1氣體供給系統,對上述處理容器內之基板供給原料氣 體;第2氣體供給系統,對上述處理容器內之基板供給氮化氣體;第3氣體供給系統,對上述處理容器內之基板供給含氫氣體;與第4氣體供給系統,對上述處理容器內之基板供給氮氣及稀有氣體中之至少任一者;激發部,使氣體被電漿激發或熱激發;與控制器,係依下述方式控制上述第1氣體供給系統、上述第2氣體供給系統、上述第3氣體供給系統、上述第4氣體供給系統及上述激發部:藉由進行既定次數之含有下述處理的周期,而進行於上述基板上形成氮化膜的處理:(a)對上述處理室內之基板供給原料氣體之處理;(b)對上述處理室內之上述基板供給經電漿激發之含氫氣體之處理;(c)對上述處理室內之上述基板供給經電漿激發或熱激發之氮化氣體之處理;(d)對上述處理室內之上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之處理。
根據本發明之再其他態樣,提供:一種記錄媒體,其係記錄了下述程式之電腦可讀取者;該程式係使電腦執行藉由進行既定次數之含有下述程序的周期,而於基板上形 成氮化膜的程序:(a)對基板處理裝置之處理室內的基板供給原料氣體之程序;(b)對上述處理室內之上述基板供給經電漿激發之含氫氣體之程序;(c)對上述處理室內之上述基板供給經電漿激發或熱激發之氮化氣體之程序;(d)對上述處理室內之上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之程序。
根據本發明,可提供一種於低溫區域下,可形成對氫氟酸之耐性高之氮化膜的半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體。
<本發明之第1實施形態>
如上述,在使矽氮化膜之成膜溫度低溫化的情況,有膜質降低、對氫氟酸含有液之濕式蝕刻速率增大的情況。圖23為表示矽氮化膜之濕式蝕刻速率與成膜溫度間之關係的圖。圖23之橫軸表示矽氮化膜形成時之成膜溫度,縱軸表示所形成之矽氮化膜藉氫氟酸含有液進行蝕刻時之濕式蝕刻速率(Å/min)。如圖23所示,可知越使成膜溫度降低,則矽氮化膜之濕式蝕刻速率上升越大。
發明者等人針對低溫區域之氮化膜的形成方法進行潛心研究,其結果發現,藉由交替重複進行對收容於處理室內之基板供給原料氣體之步驟、與對處理室內之基板供給經電漿激發之含氮氣體之步驟,而在基板上形成氮化膜時,於供給原料氣體後且供給含氮氣體前及/或供給含氮氣體後且供給原料氣體前,對處理室內之基板,實施將含有氫、氮及氬中之至少任一元素之改質氣體經電漿激發而供給的步驟,藉此可於低溫區域,形成對氫氟酸之耐性高的氮化膜。
尚且,將改質氣體經電漿激發而供給的步驟,可僅於供給原料氣體後且供給含氮氣體前進行,亦可僅於供給含氮氣體後且供給原料氣體前進行,或可於供給原料氣體後且供給含氮氣體前及供給含氮氣體後且供給原料氣體前之雙方進行。
本發明係根據發明者等人所獲得之此等見解而形成者。以下參照圖式說明本發明之一實施形態。
<本發明之第1實施形態>
(1)基板處理裝置之構成
圖1為本實施形態所適合使用之基板處理裝置之縱型處理爐之概略構成圖,以縱剖面圖表示處理爐202部分。又,圖2為本實施形態所適合使用之縱型處理爐之概略構成圖,以圖1之A-A線剖面圖表示處理爐202部分。又,本發明並不限定於本實施形態之基板處理裝置,亦可適合應用於具有葉片式、熱壁(Hot Wall)型、、冷壁型之處理爐的基 板處理裝置中。
如圖1所示,處理爐202具有作為加熱手段(加熱機構)的加熱器207。加熱器207為圓筒形狀,藉由作為支撐板之加熱器基底(未圖示)所支撐而垂直地裝配。又,加熱器207亦具有如後述般藉由熱使氣體活性化的活性化機構的功能。
於加熱器207內側,與加熱器207呈同心圓狀地配設有構成反應容器(處理容器)的反應管203。反應管203係由例如石英(SiO2)或碳化矽(SiC)等之耐熱性材料所構成,形成為上端封閉、下端開口的圓筒形狀。於反應管203之筒中空部形成有處理室201,構成為可將作為基板之晶圓200藉後述板217依水平姿勢朝垂直方向多段整列的狀態進行收容。
處理室201內,使作為第1氣體導入部之第1噴嘴233a、作為第2氣體導入部之第2噴嘴233b、與作為第3氣體導入部之第3噴嘴233c設置成貫通反應管203下部側壁。於第1噴嘴233a連接著第1氣體供給管232a。於第2噴嘴233b分別連接著第2氣體供給管232b、第4氣體供給管232d及第6氣體供給管232f、第8氣體供給管232h。於第3噴嘴233c分別連接著第3氣體供給管232c、第5氣體供給管232e、第7氣體供給管232g、第9氣體供給管232i。如此,於反應管203設有3根之噴嘴233a、233b、233c與9根之氣體供給管232a、232b、232c、232d、232e、232f、232g、232h、232i,構成為可對處理室201內供給複數種、此處為 5種氣體。
尚且,於反應管203下方,設置支撐反應管203的金屬製多岐管,亦可將各噴嘴設置成貫通該金屬製多岐管的側壁。此時,於該金屬製多岐管亦可進一步設置後述之排氣管231。又,即使是此情況,排氣管231可不設於金屬製多岐管,而設於反應管203下部。如此,使處理爐202之爐口部成為金屬製,亦可於該金屬製爐口部安裝噴嘴等。
於第1氣體供給管232a,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241a、及屬於開關閥之閥243a。又,在第1氣體供給管232a之較閥243a更下游側,連接有第1惰性氣體供給管232j。於該第1惰性氣體供給管232j,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241j、及屬於開關閥之閥243j。又,於第1氣體供給管232a之前端部,連接有上述第1噴嘴233a。第1噴嘴233a係於反應管203之內壁與晶圓200之間的圓弧狀空間,由反應管203內壁之下部起朝上部,設置成朝晶圓200之積載方向上方立起。亦即,第1噴嘴233a係在晶圓200所配列之晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。第1噴嘴233a係構成為L字型的長噴嘴,其水平部設置成貫通反應管203下部側壁,其垂直部設置成至少由晶圓配列區域之一端側朝另一端側立起。於第1噴嘴233a側面設有 供給氣體之氣體供給孔248a。氣體供給孔248a係朝向反應管203中心開口,可朝晶圓200供給氣體。該氣體供給孔248a係涵括反應管203下部至上部而複數設置,分別具有相同之開口面積,進而依相同開口間距設置。
於第2氣體供給管232b,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241b、及屬於開關閥之閥243b。又,在第2氣體供給管232b之較閥243b更下游側,連接有第2惰性氣體供給管232k。於該第2惰性氣體供給管232k,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241k、及屬於開關閥之閥243k。又,於第2氣體供給管232b之前端部,連接有上述第2噴嘴233b。第2噴嘴233b係設於屬於氣體分散空間的緩衝室237b內。
緩衝室237b係於反應管203之內壁與晶圓200之間的圓弧狀空間,或由反應管203內壁之下部起朝上部之部分,沿著晶圓200之積載方向設置。亦即,緩衝室237b係在晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。於緩衝室237b之與晶圓200鄰接之壁的端部,設有供給氣體之氣體供給孔238b。氣體供給孔238b係朝向反應管203中心開口,可朝晶圓200供給氣體。該氣體供給孔238b係涵括反應管203下部至上部而複數設置,分別具有相同之開口面積,進而依相同開口間距設置。
第2噴嘴233b係於緩衝室237b之設有氣體供給孔238b的端部相反側的端部,沿著反應管203內壁之下部起朝上部,設置成朝晶圓200之積載方向上方立起。亦即,第2噴嘴233b係在晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。第2噴嘴233b係構成為L字型的長噴嘴,其水平部設置成貫通反應管203下部側壁,其垂直部設置成至少由晶圓配列區域之一端側朝另一端側立起。於第2噴嘴233b側面設有供給氣體之氣體供給孔248b。氣體供給孔248b係朝向緩衝室237b中心開口。該氣體供給孔248b係與緩衝室237b之氣體供給孔238b同樣地,涵括反應管203下部至上部而複數設置。該複數之氣體供給孔248b之各自的開口面積,係在緩衝室237b內與處理室201內之差壓較小時,由上游側(下部)起至下游側(上部),分別設為相同之開口面積且相同之開口間距;但在差壓較大時,係由上游側起至下游側,可分別增大開口面積且減小開口間距。
本實施形態中,係藉由將第2噴嘴233b之氣體供給孔248b之各個開口面積或開口間距,涵括上游側至下游側如上述般進行調節,藉此,首先,雖然分別來自氣體供給孔248b之流速有差別,但使其噴出流率為幾乎相同的氣體。然後,將分別由該氣體供給孔248b所噴出之氣體,暫時導入緩衝室237b內,於緩衝室237b入進行氣體之流率差的均 勻化。亦即,使分別由第2噴嘴233b之氣體供給孔248b噴出至緩衝室237b內的氣體,於緩衝室237b內緩和各氣體之粒子速度後,再由緩衝室237b之氣體供給孔238b噴出至處理室201內。藉此,分別由第2噴嘴233b之氣體供給孔248b噴出至緩衝室237b內的氣體,係在分別由緩衝室237b之氣體供給孔238b噴出至處理室201內時,成為具有均勻之流率與流速的氣體。
於第3氣體供給管232c,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241c、及屬於開關閥之閥243c。又,在第3氣體供給管232c之較閥243c更下游側,連接有第3惰性氣體供給管232l。於該第3惰性氣體供給管232l,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241l、及屬於開關閥之閥243l。又,於第3氣體供給管232c之前端部連接著上述第3噴嘴233c。第3噴嘴233c係設於屬於氣體分散空間的緩衝室237c內。
緩衝室237c係於反應管203之內壁與晶圓200之間的圓弧狀空間,或由反應管203內壁之下部起朝上部之部分,沿著晶圓200之積載方向設置。亦即,緩衝室237c係在晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。於緩衝室237c之與晶圓200鄰接之壁的端部,設有供給氣體之氣體供給孔238c。氣體供給孔238c 係朝向反應管203中心開口,可朝晶圓200供給氣體。該氣體供給孔238c係涵括反應管203下部至上部而複數設置,分別具有相同之開口面積,進而依相同開口間距設置。
第3噴嘴233c係於緩衝室237c之設有氣體供給孔238c的端部相反側的端部,沿著反應管203內壁之下部起朝上部,設置成朝晶圓200之積載方向上方立起。亦即,第3噴嘴233c係在晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。第3噴嘴233c係構成為L字型的長噴嘴,其水平部設置成貫通反應管203下部側壁,其垂直部設置成至少由晶圓配列區域之一端側朝另一端側立起。於第3噴嘴233c側面設有供給氣體之氣體供給孔248c。氣體供給孔248c係朝向緩衝室237c中心開口。該氣體供給孔248c係與緩衝室237c之氣體供給孔238b同樣地,涵括反應管203下部至上部而複數設置。該複數之氣體供給孔248c之各自的開口面積,係在緩衝室237c內與處理室201內之差壓較小時,由上游側(下部)起至下游側(上部),分別設為相同之開口面積且相同之開口間距;但在差壓較大時,係由上游側起至下游側,可分別增大開口面積且減小開口間距。
本實施形態中,係藉由將第3噴嘴233c之氣體供給孔248c之各個開口面積或開口間距,涵括上游側至下游側如上述般進行調節,藉此,首先,雖然分別來自氣體供給孔248c之 流速有差別,但使其噴出流率為幾乎相同的氣體。然後,將分別由該氣體供給孔248c所噴出之氣體,暫時導入緩衝室237c內,於緩衝室237c入進行氣體之流率差的均勻化。亦即,使分別由第3噴嘴233c之氣體供給孔248c噴出至緩衝室237c內的氣體,於緩衝室237c內緩和各氣體之粒子速度後,再由緩衝室237c之氣體供給孔238c噴出至處理室201內。藉此,分別由第3噴嘴233c之氣體供給孔248c噴出至緩衝室237c內的氣體,係在分別由緩衝室237c之氣體供給孔238c噴出至處理室201內時,成為具有均勻之流率與流速的氣體。
於第4氣體供給管232d,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241d、及屬於開關閥之閥243d。又,在第4氣體供給管232d之較閥243d更下游側,連接有第4惰性氣體供給管232m。於該第4惰性氣體供給管232m,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241m、及屬於開關閥之閥243m。又,於第4氣體供給管232d之前端部,係連接於第2氣體供給管232b之較閥243b更下游側。
於第5氣體供給管232e,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241e、及屬於開關閥之閥243e。又,在第5氣體供給管232e之較閥243e更下游側,連接有第5惰性氣體供給管232n。於該第5惰 性氣體供給管232n,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241n、及屬於開關閥之閥243n。又,於第5氣體供給管232e之前端部,係連接於第3氣體供給管232c之較閥243c更下游側。
於第6氣體供給管232f,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241f、及屬於開關閥之閥243f。又,在第6氣體供給管232f之較閥243f更下游側,連接有第6惰性氣體供給管232o。於該第6惰性氣體供給管232o,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241o、及屬於開關閥之閥243o。又,於第6氣體供給管232f之前端部,係連接於第氣體供給管232b之較閥243b更下游側。
於第7氣體供給管232g,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241g、及屬於開關閥之閥243g。又,在第7氣體供給管232g之較閥243g更下游側,連接有第7惰性氣體供給管232p。於該第7惰性氣體供給管232p,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241p、及屬於開關閥之閥243p。又,於第7氣體供給管232g之前端部,係連接於第3氣體供給管232c之較閥243c更下游側。
於第8氣體供給管232h,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241h、及屬 於開關閥之閥243h。又,又,於第8氣體供給管232h之前端部,係連接於第2氣體供給管232b之較閥243b更下游側。
於第9氣體供給管232i,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241i、及屬於開關閥之閥243i。又,於第9氣體供給管232i之前端部,係連接於第3氣體供給管232c之較閥243c更下游側。
如此,本實施形態中之氣體供給的方法,係經由配置在由反應管203內壁與所積載之複數片晶圓200之端部所定義的圓弧狀縱長空間內的噴嘴233a、233b、233c及緩衝室237b、237c而搬送氣體,由分別開口於噴嘴233a、233b、233c及緩衝室237b、237c的氣體供給孔248a、248b、2480c、238b、238c,於晶圓200附近初次使氣體噴出至反應管內203,使反應管203內之氣體的主要流動成為與晶圓200表面呈平行的方向、亦即水平方向。藉由此種構成,可對各晶圓200均勻地供給氣體,具有可使形成於各晶圓200之薄膜的膜厚均一的效果。又,反應後之殘留氣體係朝排氣口、亦即後述之排氣管231的方向流動,但該殘留氣體之流動方向係藉排氣口位置而適當特定,並不限於垂直方向。
尚且,2個緩衝室237b、237c係配置成挾持晶圓200中心(亦即反應管203中心)而呈相對向。具體而言,2個緩衝室237b、237c係如圖2所示般於俯視時,以連接晶圓200中心、與設於反應管203側壁之後述排氣口231a之中心的 直線為對象軸,配置成線對稱。而且,配置成使連接緩衝室237b之氣體供給孔238b、緩衝室237c之氣體供給孔238c、排氣口231a之各中心的直線構成為二等邊三角形。藉此,使來自2個緩衝室237b、237c對晶圓200所流通的氣體變得均勻。亦即,使來自2個緩衝室237b、237c對晶圓200所流通的氣體,以連接晶圓200中心與排氣口231a中心之直線為對象軸而呈線對稱。
由第1氣體供給管232a,作為含有既定元素之原料氣體、亦即含有作為既定元素之矽(Si)的原料氣體(含矽氣體),使例如屬於氯矽烷原料之一種的二氯矽烷(SiH2Cl2,簡稱DCS)氣體,經由質量流率控制器241a、閥243a、第1噴嘴233a供給至處理室201內。在此同時,由第1惰性氣體供給管232j,使惰性氣體經由質量流率控制器241j、閥243j供給至第1氣體供給管232a內。
由第2氣體供給管232b,作為含有氮之原料氣體(含氮氣體)、亦即氮化氣體,使例如氨(NH3)氣體,經由質量流率控制器241b、閥243b、第2噴嘴233b、衝緩室237b供給至處理室201內。在此同時,由第2惰性氣體供給管232k,使惰性氣體經由質量流率控制器241k、閥243k供給至第2氣體供給管232b內。
由第3氣體供給管232c,作為含有氮之原料氣體(含氮氣體)、亦即氮化氣體,使例如氨(NH3)氣體,經由質量流率控 制器241c、閥243c、第3噴嘴233c、衝緩室237c供給至處理室201內。在此同時,由第3惰性氣體供給管232l,使惰性氣體經由質量流率控制器241l、閥243l供給至第3氣體供給管232c內。
由第4氣體供給管232d,作為屬於改質氣體之含有氫之氣體(含氫氣體)、亦即還原氣體,使例如氫(H2)氣體,經由質量流率控制器241d、閥243d、第2氣體供給管232b、第2噴嘴233b、緩衝室237b供給至處理室201內。在此同時,由第4惰性氣體供給管232m,使惰性氣體經由質量流率控制器241m、閥243m供給至第4氣體供給管232d內。
由第5氣體供給管232e,作為屬於改質氣體之含有氫之氣體(含氫氣體)、亦即還原氣體,使例如氫(H2)氣體,經由質量流率控制器241e、閥243e、第3氣體供給管232c、第3噴嘴233c、緩衝室237c供給至處理室201內。在此同時,由第5惰性氣體供給管232n,使惰性氣體經由質量流率控制器241n、閥243n供給至第5氣體供給管232e內。
由第6氣體供給管232f,作為屬於改質氣體之稀有氣體,使例如氬(Ar)氣體,經由質量流率控制器241f、閥243f、第2氣體供給管232b、第2噴嘴233b、緩衝室237b供給至處理室201內。在此同時,由第6惰性氣體供給管232o,使惰性氣體經由質量流率控制器241o、閥243o供給至第6氣體供給管232f內。
由第7氣體供給管232g,作為屬於改質氣體之稀有氣體,使例如氬(Ar)氣體,經由質量流率控制器241g、閥243g、第3氣體供給管232c、第3噴嘴233c、緩衝室237c供給至處理室201內。在此同時,由第7惰性氣體供給管232p,使惰性氣體經由質量流率控制器241p、閥243p供給至第7氣體供給管232g內。
由第8氣體供給管232h,作為改質氣體,使例如氮(N2)氣體,經由質量流率控制器241h、閥243h、第2氣體供給管232b、第2噴嘴233b、緩衝室237b供給至處理室201內。
由第9氣體供給管232i,作為改質氣體,使例如氮(N2)氣體,經由質量流率控制器241i、閥243i、第3氣體供給管232c、第3噴嘴233c、緩衝室237c供給至處理室201內。
在由第1氣體供給管232a如上述般流通氣體時,主要由第1氣體供給管232a、質量流率控制器241a、閥243a,構成對處理室201內之晶圓200供給原料氣體(DCS氣體)的第1氣體供給系統(原料氣體供給系統)、亦即含矽氣體供給系統(DCS氣體供給系統)。又,亦可認為第1噴嘴233a被涵括於第1氣體供給系統中。又,主要由第1惰性氣體供給管232j、質量流率控制器241j、閥243j構成第1惰性氣體供給系統。第1惰性氣體供給系統亦具有作為迫淨氣體供給系統的功能。
在由第2氣體供給管232b、第3氣體供給管232c如上述般流通氣體時,主要由第2氣體供給管232b、第3氣體供給管232c、質量流率控制器241b、241c、閥243b、243c,構成對處理室201內之晶圓200供給氮化氣體(NH3氣體)的第2氣體供給系統(氮化氣體供給系統)、亦即含氮氣體供給系統(NH3氣體供給系統)。又,亦可認為第2噴嘴233b、第3噴嘴233c、緩衝室237b、237c被涵括於第2氣體供給系統中。又,主要由第2惰性氣體供給管232k、第3惰性氣體供給管232l、質量流率控制器241k、241l、閥243k、243l構成第2惰性氣體供給系統。第2惰性氣體供給系統亦具有作為迫淨氣體供給系統的功能。
在由第4氣體供給管232d、第5氣體供給管232e如上述般流通氣體時,主要由第4氣體供給管232d、第5氣體供給管232e、質量流率控制器241d、241e、閥243d、243e,構成對處理室201內之晶圓200供給含氫氣體(H2氣體)的第3氣體供給系統(還原氣體供給系統)、亦即含氫氣體供給系統(H2氣體供給系統)。又,亦可認為在較第2氣體供給管232b之與第4氣體供給管232d之連接部更下游側、較第3氣體供給管232c之與第5氣體供給管232e之連接部更下游側、第2噴嘴233b、第3噴嘴233c、緩衝室237b、237c亦被涵括於第3氣體供給系統中。又,主要由第4惰性氣體供給管232m、第5惰性氣體供給管232n、質量流率控制器 241m、241n、閥243m、243n構成第3惰性氣體供給系統。第3惰性氣體供給系統亦具有作為迫淨氣體供給系統的功能。
在由第6氣體供給管232f、第7氣體供給管232g如上述般流通氣體時,主要由第6氣體供給管232f、第7氣體供給管232g、質量流率控制器241f、241g、閥243f、243g,構成對處理室201內之晶圓200供給稀有氣體(Ar氣體)的稀有氣體供給系統(Ar氣體供給系統)。又,亦可認為在較第2氣體供給管232b之與第6氣體供給管232f之連接部更下游側、較第3氣體供給管232c之一第7氣體供給管232g之連接部更下游側、第2噴嘴233b、第3噴嘴233c、緩衝室237b、237c被涵括於稀有氣體供給系統中。又,主要由第6惰性氣體供給管232o、第7惰性氣體供給管232p、質量流率控制器241o、241p、閥243o、243p構成第4惰性氣體供給系統。第4惰性氣體供給系統亦具有作為迫淨氣體供給系統的功能。
在由第8氣體供給管232h、第9氣體供給管232i如上述般流通氣體時,主要由第8氣體供給管232h、第9氣體供給管232i、質量流率控制器241h、241i、閥243h、243i,構成對處理室201內之晶圓200供給氮氣(N2氣體)的氮氣供給系統(N2氣體供給系統)。又,亦可認為在較第2氣體供給管232b之與第8氣體供給管232h之連接部更下游側、較第 3氣體供給管232c之與第9氣體供給管232i之連接部更下游側、第2噴嘴233b、第3噴嘴233c、緩衝室237b、237c被涵括於第2氣體供給系統中。
而且,主要由上述稀有氣體供給系統及氮氣供給系統,構成對處理室201內之晶圓200供給氮氣(N2氣體)及稀有氣體(Ar氣體)中之至少任一者的第4氣體供給系統。第4氣體供給系統亦具有作為迫淨氣體供給系統的功能。
尚且,本實施形態中,由於還原氣體(H2氣體)、氮氣(N2氣體)、稀有氣體(Ar氣體)分別具有改質氣體之作用,故亦可將第3氣體供給系統(還原氣體供給系統)、第4氣體供給系統(氮氣供給系統及稀有氣體供給系統)分別稱為改質氣體供給系統。又,由於氮化氣體(NH3)具有反應氣體之作用,故亦可將第2氣體供給系統(氮化氣體供給系統)稱為反應氣體供給系統。
如圖2所示,於緩衝室237b內,使具有細長構造之屬於第1電極之第1棒狀電極269b及屬於第2電極之第2棒狀電極270b,涵括反應管203之下部至上部沿著晶圓200之積層方向配設。第1棒狀電極269b及第2棒狀電極270b分別與第2噴嘴233b平行設置。第1棒狀電極269b及第2棒狀電極270b分別涵括上部至下部,由保護各電極之屬於保護管的電極保護管275b所被覆而受到保護。該第1棒狀電極269b或第2棒狀電極270b之任一者,係經由整合器 272連接於高頻電源273,另一者則連接至屬於基準電位的地線。經由整合器272由高頻電源273對第1棒狀電極269b及第2棒狀電極270b間施加高頻電力,藉此於第1棒狀電極269b及第2棒狀電極270b之間的電漿生成區域224b生成電漿。
同樣地,於緩衝室237c內,使具有細長構造之屬於第1電極之第1棒狀電極269c及屬於第2電極之第2棒狀電極270c,涵括反應管203之下部至上部沿著晶圓200之積層方向配設。第1棒狀電極269c及第2棒狀電極270c分別與第3噴嘴233c平行設置。第1棒狀電極269c及第2棒狀電極270c分別涵括上部至下部,由保護各電極之屬於保護管的電極保護管275c所被覆而受到保護。該第1棒狀電極269c或第2棒狀電極270c之任一者,係經由整合器272連接於高頻電源273,另一者則連接至屬於基準電位的地線。經由整合器272由高頻電源273對第1棒狀電極269c及第2棒狀電極270c間施加高頻電力,藉此於第1棒狀電極269c及第2棒狀電極270c之間的電漿生成區域224c生成電漿。
主要由第1棒狀電極269b、第2棒狀電極270b、電極保護管275b構成作為電漿產生器(電漿產生部)的第1電漿源。又,亦可認為整合器272、高頻電源273包含於第1電漿源中。主要由第1棒狀電極269c、第2棒狀電極270c、電極保護管275c構成作為電漿產生器(電漿產生部)的第2 電漿源。又,亦可認為整合器272、高頻電源273包含於第2電漿源中。第1電漿源及第2電漿源係如後述般作為藉電漿使氣體活性化(激發)的活性化機構(激發部)而作用。如此,於本實施形態之基板處理裝置中,配置了複數、於此為2個激發部。而且,此等複數之激發部係與緩衝室237b、237c同樣地分散配置。
電極保護管275b、275c係具有可依使第1棒狀電極269b、269c及第2棒狀電極270b、270c分別與緩衝室237b、237c內之環境隔離的狀態,插入至緩衝室237b、237c內的構造。於此,若電極保護管275b、275c之內部之氧濃度與外氣(大氣)氧濃度為相同程度,則分別插入至電極保護管275b、275c內之第1棒狀電極269b、269c及第2棒狀電極270b、270c將因加熱器207所造成之熱而被氧化。因此,藉由事先將電極保護管275b、275c內部以氮氣等之惰性氣體填充,或將電極保護管275b、275c內部使用惰性氣體迫淨機構藉氮氣等之惰性氣體進行迫淨,則構成為可減低電極保護管275b、275c內部的氧濃度,並可防止第1棒狀電極269b、269c或第2棒狀電極270b、270c的氧化。
於反應管203設有上述之排氣口231a。排氣口231a連接有可將處理室201內之環境氣體進行排氣的排氣管231。於排氣管231,經由作為檢測處理室201內壓力之壓力檢測器(壓力檢測器)的壓力感應器245及作為壓力調整器(壓力調 整部)的APC(Auto Pressure Controller)閥244,連接著作為真空排氣裝置的真空泵246。又,APC閥244係構成為藉由在使真空泵246作動的狀態下關閉閥,則可進行處理室201內之真空排氣及真空排氣停止,進而,藉由在使真空泵246作動的狀態下調節閥開度,則可調整處理201內的壓力。主要由排氣管231、APC閥244、壓力感應器245構成排氣系統。又,亦可認為真空泵246包含於排氣系統中。排氣系統係構成一邊使真空泵246作動,一邊根據由壓力感應器245所檢測出之壓力資訊調節APC閥244之閥開度,藉此可使處理室201內之壓力進行真空排氣至既定壓力(真空度)。
於反應管203下方,設有作為可使反應管203之下端開口氣密性封閉之爐口蓋體的密封蓋219。密封蓋219係由反應管203之垂直方向下側抵接於反應管203下端。密封蓋219例如由不銹鋼等金屬所構成,形成為圓盤狀。於密封蓋219上面設有與反應管203下端抵接之作為密封構件的O型環220。在密封蓋219之與處理室201呈相反的側,設置後述之作為基板保持具之使板217旋轉的旋轉機構267。旋轉機構267之旋轉軸255係貫通密封蓋219,連接至後述之板217。旋轉機構267係構成為藉由使板217旋轉而使晶圓200旋轉。密封蓋219係構成為藉由於反應管203外部垂直設置之作為升降機構的板升降器115而於垂直方向上進行升降。板升降器115係構成為藉由使密封蓋219升降,而可將 板217對處理室201內外進行搬入搬出。亦即,板升降器115係構成為將板217、亦即晶圓200於處理室201內外進行搬送的搬送裝置(搬送機構)。
作為基板支撐具的板217,係例如由石英或碳化矽等之耐熱性材料所構成,構成為使複數片晶圓200呈水平姿勢且依彼此中心齊合的狀態整列而予以多段性支撐。又,於板217下部,設有例如由石英或碳化矽等之耐熱性材料所構成的斷熱構件218。構成為使來自加熱器207之熱不易傳達至密封蓋219側。又,斷熱構件218亦可由含有石英或碳化矽等之耐熱性材料的複數片之斷熱板、與將其等依水平姿勢多段性地支撐的斷熱板固定器所構成。
反應管203內設置有作為溫度檢測器的溫度感應器263,根據由溫度感應器263所檢測出之溫度資訊調整對加熱器207的通電程度,藉此構成為使處理室201內之溫度成為所需之溫度分佈。溫度感應器263係與第1噴嘴233a同樣地構成為L字型,沿著反應管203內壁設置。
如圖4所示般,屬於控制部(控制手段)之控制器121係構成為具備CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶裝置121c、I/O埠121d的電腦。RAM121b、記憶裝置121c、I/O埠121d係經由內部匯流排121e,構成為可與CPU121a進行資料交換。於控制器121連接有例如構成為觸控面板等的輸出入裝置122。
記憶裝置121c係由例如快閃記憶體、HDD(Hard Disk Drive)等所構成。於記憶裝置121c內,可讀取性地儲存著控制基板處理裝置之動作的控制程式、或記載了後述基板處理之程序或條件等之製程內容。又,製程內容係組合成使控制部121執行後述基板處理步驟中之各步驟,而可得到既定結果者,發揮作為程式的機能。以下,有時將該製程內容或控制程式等整合簡稱為程式。又,本說明書中使用所謂程式的情況,係指僅包括製程內容單體的情況、僅包括控制程式單體的情況、或包括其兩者的情況。又,RAM121b係構成為暫時性保存由CPU121a所讀取之程式或資料等的記憶體區域(工作區域)。
I/O埠121d係連接於上述之質量流率控制器241a、241b、241c、241d、241e、241f、241g、241h、241i、241j、241k、241l、241m、241n、241o、241p、閥243a、243b、243c、243d、243e、243f、243g、243h、243i、243j、243k、243l、243m、243n、243o、243p、壓力感應器245、APC閥244、真空泵246、加熱器207、溫度感應器263、旋轉機構267、板升降器115、高頻電源273、整合器272等。
CPU121a係構成為由記憶裝置121c讀取控制程式而執行,並配合來自輸出入裝置122之操作指令之輸入等而由記憶裝置121c讀取製程內容。然後,CPU121a係構成為沿著所讀取之製程內容,控制由質量流率控制器241a、241b、 241c、241d、241e、241f、241g、241h、241i、241j、241k、241l、241m、241n、241o、241p所進行之各種氣體的流率調整動作,閥243a、243b、243c、243d、243e、243f、243g、243h、243i、243j、243k、243l、243m、243n、243o、243p之閉關動作,APC閥244之開關動作及由APC閥244所進行之根據壓力感應器245的壓力調整動作,根據溫度感應器263之加熱器207的溫度調整動作,真空泵246之起動及停止,由旋轉機構267所進行之板217之旋轉及旋轉速度調節動作,板升降器115所進行之板217的升降動作,高頻電源273之電力供給,整合器272之阻抗調整動作等。
尚且,控制器121並不限於構成為專用電腦的情形,亦可構成為通用電腦。例如,準備儲存了上述程式之外部記憶裝置(例如磁帶、軟碟或硬碟等之磁性碟、CD或DVD等之光碟、MO等之光磁性碟、USB記憶體或記憶卡等之半導體記憶體)123,使用此種外部記憶裝置123對通用電腦安裝程式等,藉此可構成本實施形態之控制器121。又,用於對電腦供給程式的手段,並不限定於經由外部記憶裝置123供給的情形。亦可例如使用網路或專用迴路等之通信手段,不經由外部記憶裝置123而供給程式。又,記憶裝置121c或外部記憶裝置123係構成電腦可讀取的記錄媒體。以下,有時將此等整合簡稱為記錄媒體。又,本說明書中,在使用所謂記錄媒體的用語時,係指僅包括記憶裝置121c單體的情形、 僅包括外部記憶裝置123單體的情形、或包括其兩者的情形。
(2)基板處理步驟
接著,作為使用上述基板處理裝置之處理爐的半導體裝置(device)之製造步驟的一步驟,針對於基板上形成作為絕緣膜之氮化膜之方法的例子。又,以下說明中,構成基板處理裝置之各部動作係由控制器121所控制。
本實施形態中,係針對進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜:對基板供給原料氣體之步驟;對基板供給經電漿激發之含氫氣體之步驟;對基板供給經電漿激發或熱激發之氮化氣體(含氮氣體)之步驟;與對基板供給經電漿激發之含氫氣體之步驟。
以下針對本實施形態之成膜程序進行具體說明。
圖5為表示本實施形態之成膜流程圖,圖10為表示本實施形態之成膜程序中之氣體供給及電漿電源供給的時機圖。本實施形態之成膜程序中,係使用DCS氣體作為原料氣體,使用NH3氣體作為含氮氣體,藉由交替重複進行對收容於處理室201內之晶圓200供給DCS氣體的步驟(DCS氣體供給步驟)、與對處理室201內之晶圓200供給經電漿激發之NH3氣體的步驟(NH3氣體供給步驟),而在晶圓200 上,形成矽氮化膜(Si3N4膜,以下有時簡稱為SiN膜)作為絕緣膜。此時,使用N2氣體作為迫淨氣體,於供給了DCS氣體後對處理室201內藉N2氣體進行迫淨步驟(第1迫淨步驟),於供給了NH3氣體後對處理室201內藉N2氣體進行迫淨步驟(第2迫淨步驟)。
然後,此時,使用H2氣體作為改質氣體,在供給了DCS氣體後且供給NH3氣體前、及供給了NH3氣體後且供給DCS氣體前之雙方,對處理室201內之晶圓200,分別實施將H2氣體經電漿激發而供給的步驟(第1改質步驟、第2改質步驟)。
亦即,本實施形態之成膜程序中,係藉由以對處理室201內之晶圓200供給DCS氣體之步驟(DCS氣體供給步驟)、對處理室201內進行迫淨的步驟(第1迫淨步驟)、對處理室201內之晶圓200供給經電漿激發之H2氣體的步驟(第1改質步驟)、對處理室201內之晶圓200供給經電漿激發之NH3氣體的步驟(NH3氣體供給步驟)、對處理室201內進行迫淨的步驟(第2迫淨步驟)、與對處理室201內之晶圓200供給經電漿激發之H2氣體的步驟(第2改質步驟)作為1周期,並進行既定次數之該周期,而於晶圓200上形成矽氮化膜。以下更具體說明本實施形態之成膜程序。
尚且,本說明書中於使用「晶圓」之用語的情況,係包括意指「晶圓本身」之情形,或意指「晶圓與形成於其表面之 既定層或膜等的積層體(集合體)」之情形(亦即,包含形成於表面之既定層或膜而稱為晶圓的情形)。又,本說明書中於使用「晶圓表面」之用語的情況,係包括意指「晶圓本身之表面(露出面)」之情形,或意指「形成於晶圓上之既定層或膜等的表面,亦即作為積層體之晶圓的最表面」之情形。
因此,本說明書中在記載為「對晶圓供給既定氣體」時,係包括意指「對晶圓本身之表面(露出面)直接供給既定氣體」的情形,或意指「對形成於晶圓上之層或膜等、亦即作為積層體之晶圓的最表面,供給既定氣體」的情形。又,本說明書中,在記載為「於晶圓上形成既定層(或膜)」時,係包括意指「於晶圓本身之表面(露出面)上直接形成既定層(或膜)」的情形,或意指「在形成於晶圓上之層或膜等上、亦即作為積層體之晶圓的最表面上,形成既定層(或膜)」的情形。
尚且,本說明書中,在使用「基板」之用語時,亦與使用「晶圓」之用語的情況相同,此時,可於上述說明中將「晶圓」置換為「基板。」
(晶圓載入及板搬入)
將複數片之晶圓200載入於板217(晶圓載入)時,如圖1所示般,支撐複數片晶圓200之板217藉板升降器115被上舉並搬入至處理室201內(板搬入)。於此狀態下,密封蓋219成為經由O型環220而將反應管203下端密封的狀態。
(壓力調整及溫度調整)
依處理室201內成為所需壓力(真空度)之方式藉真空泵246進行真空排氣。此時,處理室201內之壓力係藉壓力感應器245所測定,根據其測定之壓力資訊,使APC閥244進行回饋控制(壓力調整)。又,真空泵246係在至少對晶圓200之處理結束為止的期間,維持經常作動的狀態。又,依使處理室201內成為所需溫度的方式,藉加熱器207進行加熱。此時,依處理室201內成為所需溫度分佈之方式,根據由溫度感應器263所檢測之溫度資訊對加熱器207之通電程度進行回饋控制(溫度調整)。又,由加熱器207所進行之處理室201內的加熱,係至少在對晶圓200之處理結束為止的期間內持續進行。接著,使由旋轉機構267所進行之板217及晶圓200的旋轉開始。又,由旋轉機構267所進行之板217及晶圓200的旋轉,係在至少對晶圓200之處理結束為止的期間內持續進行。其後,依序進行後述6個步驟。
[步驟1a]
打開第1氣體供給管232a之閥243a、第1惰性氣體供給管232j之閥243j,於第1氣體供給管232a流通HCDS氣體,於第1惰性氣體供給管232j流通N2氣體。DCS氣體係由第1氣體供給管232a所流通,藉由質量流率控制器241a進行流率調整。N2氣體係由第1惰性氣體供給管232j所流通,藉由質量流率控制器241j進行流率調整。經流率調整之 DCS氣體係與經流量調整之N2氣體於第1氣體供給管232a內混合,由第1噴嘴233a之氣體供給孔248a,供給至經加熱之減壓狀態的處理室201內,並由排氣管231被排氣。此時,對晶圓200供給DCS氣體(DCS氣體供給步驟)。
此時,為了防止DCS氣體侵入至緩衝室237b、237c內、或第2噴嘴233b、第3噴嘴233c內,而打開閥243k、243l、243m、243n、243o、243p、243h、243i,於第2惰性氣體供給管232k、第3惰性氣體供給管232l、第4惰性氣體供給管232m、第5惰性氣體供給管232n、第6惰性氣體供給管232o、第7惰性氣體供給管232p、第8氣體供給管232h、第9氣體供給管232i內流通N2氣體。N2氣體係經由第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d、第5氣體供給管232e、第6氣體供給管232f、第7氣體供給管232g、第8氣體供給管232h、第9氣體供給管232i、第2噴嘴233b、第3噴嘴233c、緩衝室237b、237c而供給至處理室201內,並由排氣管231被排氣。
此時,適當調整APC閥244而使處理室201內之壓力成為未滿大氣壓,維持例如10~1000Pa範圍內的壓力。藉由質量流率控制器241a所控制之DCS氣體的供給流率,設為例如100~2000sccm(0.1~2slm)之範圍內的流率。藉質量流率控制器241j、241k、241l、241m、241n、241o、241p、241h、241i所控制之N2氣體的供給流率,分別設為例如 100~2000sccm(0.1~2slm)範圍內的流率。將DCS氣體對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度係設定為在上述壓力帶下於處理室201內不發生化學性蒸鍍反應的溫度。亦即,依使晶圓200之溫度成為例如300~650℃、較佳300~600℃、更佳300~550℃範圍內之一定溫度的方式,設定加熱器207的溫度。
尚且,若晶圓200之溫度未滿300℃,則於晶圓200上DCS氣體不易分解、吸附,成膜速度降低。又,若晶圓200之溫度未滿300℃,則即使於晶圓200上DCS氣體進行分解、吸附,仍因晶圓200面內之部位或晶圓200之位置而產生分解量、吸附量的偏差,於晶圓200面內或晶圓200間,DCS無法均勻地分解、吸附。藉由將晶圓200溫度設為300℃以上,則可消除此等情形。
另外,若晶圓200溫度超過600℃,則氣相反應具有支配性,尤其在超過650℃時,容易使膜厚均一性惡化,其控制變得困難。藉由將晶圓200溫度設為650℃以下,則可控制膜厚均勻性之惡化,而可加以控制,藉由設為600℃以下,則可避免氣相反應成為具有支配性的狀態。尤其是藉由設為550℃以下,則表面反應具有支配性,容易確保膜厚均勻性,其控制變得容易。
由以上,晶圓200溫度可設為300℃以上且650℃以下、 較佳300℃以上且600℃以下、更佳300℃以上且550℃以下。
藉由於上述條件、亦即產生化學性蒸鍍反應之條件下將DCS氣體供給至處理室201內,於晶圓200(表面之基底膜)上,形成例如未滿1原子層至數原子層程度之厚度的含矽層。含矽層可為DCS氣體之吸附層,亦可為矽層(Si層),亦可包括其兩者。其中,含矽層較佳係含有矽(Si)及氯(Cl)的層。
於此,所謂矽層,係除了由矽(Si)所構成之連續層之外,亦包括不連續之層、或將此等重疊而成的矽薄膜的總稱。又,有時亦將由Si所構成之連續層稱為矽薄膜。又,構成矽層之Si亦包括與Cl或H之鍵結未完全切斷者。
又,DCS氣體之吸附層係除了DCS氣體之氣體分子之連續性化學吸附層之外,亦包括不連續的化學吸附層。亦即,DCS氣體之吸附層係包括由DCS分子所構成之1分子層或未滿1分子層之厚度的化學吸附層。又,構成DCS氣體之吸附層的DCS(SiH2Cl2)分子,亦包括Si與Cl之鍵結或Si與H之鍵結一部分切斷者(SiHxCly分子)。亦即,DCS之吸附層係包括SiH2Cl2分子及/或SiHxCly分子的連續化學吸附層或不連續化學吸附層。又,所謂未滿1原子層之厚度之層,係指不連續地形成的原子層,所謂1原子層之厚度之層,係指連續形成之原子層。又,所謂未滿1分子層之厚度之層,係指不連續形成之分子層,所謂1分子層之厚度之 層,係指連續形成之分子量。
在DCS氣體進行自己分解(熱分解)的條件下、亦即發生DCS之熱分解反應的條件下,藉由於晶圓200上堆積Si而形成矽層。在DCS氣體不進行自己分子(熱分解)的條件下、亦即不發生DCS之熱分解反應的條件下,於晶圓200上吸附DCS氣體而形成DCS氣體的吸附層。又,相較於在晶圓200上形成DCS氣體之吸附層,於晶圓200上形成矽層者可增加成膜速率,故較佳。
若形成於晶圓200上之含矽層之厚度超過數原子層,則有於後述之步驟3a中之氯脫離作用未能到達含矽層整體的情形。又,後述之步驟4a中之氮化作用或氯脫離作用難以到達含矽層整體,進而後述之步驟6a中之氯脫離作用難以到達矽氮化層整體。又,可形成於晶圓200上之含矽層的厚度最小值為未滿1原子層。因此,含矽層之厚度較佳為未滿1原子層至數原子層左右。又,藉由將含矽層之厚度設為1原子層以下、亦即1原子層或未滿1原子層,則可相對地提高後述步驟3a、4a、6a中之氮化作用或氯脫離作用,可縮短步驟3a、4a、6a中之氮化反應或氯脫離反應所需的時間。亦可縮短步驟1a之含矽層形成所需的時間。結果,可縮短每1周期之處理時間,亦可縮短總合的處理時間。亦即,亦可提高成膜速率。又,藉由將含矽層之厚度設為1原子層以下,亦可提高膜厚均一性的控制性。
作為含矽之原料、亦即矽烷係原料,除了二氯矽烷(SiH2Cl2,簡稱:DCS)氣體之外,亦可使用單氯矽烷(SiH3Cl,簡稱:MCS)氣體、六氯矽烷(Si2Cl6,簡稱:HCD)、四氯矽烷、亦即四氯化矽(SiCl4,簡稱:STC)氣體、三氯矽烷(SiHCl3,簡稱:TCS)氣體等之氯矽烷系,或三矽烷(Si3H8,簡稱:TS)、二矽烷(Si2H6,簡稱:DS)、單矽烷(SiH4,簡稱:MS)等之無機原料,或胺基矽烷系之肆二甲基胺基矽烷(Si[N(CH3)2]4,簡稱:4DMAS)、參二甲基胺基矽烷(Si[N(CH3)2]3H,簡稱:3DMAS)、雙二乙基胺基矽烷(Si[N(C2H5)2]2H2,簡稱:2DEAS)、雙第三丁基胺基矽烷(SiH2[NH(C4H9)]2,簡稱:BTBAS)等之有機原料。其中,在使用含氯(Cl)之氯矽烷系原料時,較佳係組成式中之Cl數較少的原料,例如較佳係使用DCS或MCS。作為惰性氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
[步驟2a]
於晶圓200上形成含矽層後,關閉第1氣體供給管232a之閥243a,停止DCS氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201進行真空排氣,使殘留於處理室201內之未反應或貢獻至含矽層形成後之DCS氣體由處理室201被排除。又,使閥243j、243k、243l、243m、243n、243o、243p、243h、243i維持打開, 並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體作用為迫淨氣體,藉此,可提高使處理室201內殘殘之未反應或貢獻至含矽層形成後之DCS氣體由處理室201被排除的效果(第1迫淨步驟)。
尚且,此時,亦可不使殘留於處理室201內之氣體完全排除,亦可不對處理室201內進行完全迫淨。殘留於處理室201內之氣體若為微量,則不致於後述進行之步驟3a中造成不良影響。此時,供給至處理室201內之N2氣體的流率亦不需設為大流率,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟3a中不發生不良影響之程度的迫淨。如此,藉由不對處理室201進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與DCS氣體供給時相同的300~650℃、較佳300~600℃、更佳300~550℃範圍內的一定溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
[步驟3a]
去除處理室201內的殘留氣體後,打開2個電漿發生部(激發部)使H2氣體同時藉電漿進行激發,將經電漿激發之H2 氣體由2個電漿產生部(激發部)同時供給至處理室201內,進行含矽層的改質處理(第1改質步驟)。
亦即,打開第4氣體供給管232d之閥243d,於第4氣體供給管232d流通H2氣體。於第4氣體供給管232d內流通之H2氣體,係藉由質量流率控制器241d進行流率調整。經流率調整之H2氣體係經由第2氣體供給管232b,由第2噴嘴233b之氣體供給孔248b供給至緩衝室237b內。此時,當對第1棒狀電極269b及第2棒狀電極270b間由高頻電源273經由整合器272施加高頻電力時,供給至緩衝室237b內之H2氣體被電漿激發,作為激發種、亦即活性種(H2 )而由氣體供給孔238b被供給至處理室201內,並由排氣管231被排氣。此時,對晶圓200供給經電漿激發之H2氣體。此時,打開閥243m,於第4惰性氣體供給管232m內流通N2氣體。N2氣體係與H2氣體一起供給至處理室201內,並由排氣管231被排氣。
又,同時打開第5氣體供給管232e之閥243e,於第5氣體供給管232e內流通H2氣體。於第5氣體供給管232e內流通之H2氣體,係藉由質量流率控制器241e進行流率調整。經流率調整之H2氣體係經由第3氣體供給管232c,由第3噴嘴233c之氣體供給孔248c供給至緩衝室237c內。此時,當對第1棒狀電極269c及第2棒狀電極270c間由高頻電源273經由整合器272施加高頻電力時,供給至緩衝室 237c內之H2氣體被電漿激發,作為激發種(H2 )而由氣體供給孔238c被供給至處理室201內,並由排氣管231被排氣。此時,對晶圓200供給經電漿激發之H2氣體。此時,打開閥243n,於第5惰性氣體供給管232n內流通N2氣體。N2氣體係與H2氣體一起供給至處理室201內,並由排氣管231被排氣。
此時,為了防止H2氣體侵入至第1噴嘴233a內、或第2氣體供給管232b之上游側、第3氣體供給管232c之上游側、第6氣體供給管232f、第7氣體供給管232g、第8氣體供給管232h、第9氣體供給管232i內,而打開閥243j、243k、243l、243o、243p、243h、243i,於第1惰性氣體供給管232j、第2惰性氣體供給管232k、第3惰性氣體供給管232l、第6惰性氣體供給管232o、第7惰性氣體供給管232p、第8氣體供給管232h、第9氣體供給管232i內流通N2氣體。N2氣體係經由第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c、第6氣體供給管232f、第7氣體供給管232g、第8氣體供給管232h、第9氣體供給管232i、第1噴嘴233a、第2噴嘴233b、第3噴嘴233c、緩衝室237b、237c而供給至處理室201內,並由排氣管231被排氣。
在將H2氣體藉由電漿激發而作成激發種並流通時,係適當調整APC閥244,將處理室201內之壓力設為例如 10~1000Pa範圍內的壓力。藉由質量流率控制器241e、241e所控制之H2氣體的供給流率,分別設為例如100~10000sccm(0.01~10slm)之範圍內的流率。藉質量流率控制器241m、241n、241j、241k、241l、241o、241p、241h、241i所控制之N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。將H2氣體藉電漿激發而得之激發種對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度若考慮到產率,較佳係設定為與步驟1a之DCS氣體之供給時相同的溫度帶、亦即設定為於步驟1a~步驟3a將處理室201內之溫度保持為同樣的溫度帶。此時,依於步驟1a~步驟3a中晶圓200溫度、亦即處理室201內溫度成為300~650℃、較佳300~600℃、更佳300~550℃範圍內之一定溫度的方式,設定加熱器207的溫度。再者,較佳係依於步驟1a~步驟6a(後述)中使處理室201內之溫度保持為相同溫度帶的方式,設定加熱器207之溫度。由高頻電源273對第1棒狀電極269b、269c及第2棒狀電極270b、270c施加的高頻電力,分別設定為例如50~1000W範圍內的電力。
藉由依上述條件將H2氣體供給至處理室201內,經電漿激發而成為激發種之H2氣體,係與於步驟1a中在晶圓200上所形成之含矽層之至少一部分進行反應。藉此,可使含矽層中所含之氫(H)或氯(Cl)等之雜質有效率地脫離。而且,可 形成雜質濃度極低之含矽層。又,藉由使氯有效率地脫離,可提升在後述步驟4a中進行之氮化處理的效率。亦即,藉由使成為阻礙氮化要因之氯由含矽層有效率地脫離,則可提升後述步驟4a中進行之氮化處理的效率。如此進行含矽層的改質處理。又,由含矽層所脫離之氫或氯等之雜質,係由排氣管231被排氣至處理室201外。
於步驟3a中,藉由使用複數之電漿產生部,則可在使對各電漿產生部(激發部)所施加之高頻電力分別減小而使各電漿產生部(激發部)中之電漿輸出作為低輸出之下,增加激發種對晶圓200的供給量。藉此,可於抑制對晶圓200或含矽層的電漿損傷之下,增加激發種對晶圓200的供給量。
而且,藉此,可於抑制對晶圓200或含矽層之電漿損傷之下,增加激發種對晶圓200的供給量,提高上述雜質去除效率,並減低含矽層的雜質濃度。其結果可縮短處理時間。又,可提高雜質濃度之晶圓面內均勻性。亦即,可對晶圓200面內全域使激發種更均勻地供給,例如在晶圓200之外周附近與晶圓200之中心側之間不致發生明顯的差異。
[步驟4a]
進行含矽層之改質處理後,藉2個電漿發生部(激發部)使NH3氣體同時藉電漿進行激發,將經電漿激發之NH3氣體由2個電漿產生部(激發部)同時供給至處理室201內,進行改質處理後之含矽層的氮化處理(NH3氣體供給步驟)。
亦即,打開第2氣體供給管232b之閥243b,於第2氣體供給管232b流通NH3氣體。於第2氣體供給管232b內流通之NH3氣體,係藉由質量流率控制器241b進行流率調整。經流率調整之NH3氣體係由第2氣體供給管232b之氣體供給孔248b供給至緩衝室237b內。此時,當對第1棒狀電極269b及第2棒狀電極270b間由高頻電源273經由整合器272施加高頻電力時,供給至緩衝室237b內之NH3氣體被電漿激發,作為激發種(NH3 )而由氣體供給孔238b被供給至處理室201內,並由排氣管231被排氣。此時,對晶圓200供給經電漿激發之NH3氣體。此時,打開閥243k,於第2惰性氣體供給管232k內流通N2氣體。N2氣體係與NH3氣體一起供給至處理室201內,並由排氣管231被排氣。
又,同時打開第3氣體供給管232c之閥243c,於第3氣體供給管232c內流通NH3氣體。於第3氣體供給管232c內流通之NH3氣體,係藉由質量流率控制器241c進行流率調整。經流率調整之NH3氣體係由第3氣體供給管232c之氣體供給孔248c供給至緩衝室237c內。此時,當對第1棒狀電極269c及第2棒狀電極270c間由高頻電源273經由整合器272施加高頻電力時,供給至緩衝室237c內之NH3氣體被電漿激發,作為激發種(NH3 )而由氣體供給孔238c被供給至處理室201內,並由排氣管231被排氣。此時,對晶圓200供給經電漿激發之NH3氣體體。此時,打開閥243l, 於第3惰性氣體供給管232l內流通N2氣體。N2氣體係與NH3氣體一起供給至處理室201內,並由排氣管231被排氣。
此時,為了防止NH3氣體侵入至第1噴嘴233a內、或第4氣體供給管232d、第5氣體供給管232e、第6氣體供給管232f、第7氣體供給管232g、第8氣體供給管232h、第9氣體供給管232i內,而打開閥243j、243m、243n、243o、243p、243h、243i,於第1惰性氣體供給管232j、第4惰性氣體供給管232m、第5惰性氣體供給管232n、第6惰性氣體供給管232o、第7惰性氣體供給管232p、第8氣體供給管232h、第9氣體供給管232i內流通N2氣體。N2氣體係經由第1氣體供給管232a、第4氣體供給管232d、第5氣體供給管232e、第6氣體供給管232f、第7氣體供給管232g、第8氣體供給管232h、第9氣體供給管232i、第1噴嘴233a、第2噴嘴233b、第3噴嘴233c、緩衝室237b、237c而供給至處理室201內,並由排氣管231被排氣。
在將NH3氣體藉由電漿激發而作成激發種並流通時,係適當調整APC閥244,將處理室201內之壓力設為例如10~1000Pa範圍內的壓力。藉由質量流率控制器241b、241c所控制之NH3氣體的供給流率,分別設為例如1000~10000sccm(1~10slm)之範圍內的流率。藉質量流率控制器241k、241l、241j、241m、241n、241o、241p、241h、241i所控制之N2氣體的供給流率,分別設為例如 100~2000sccm(0.1~2slm)範圍內的流率。將NH3氣體藉電漿激發而得之激發種對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度若考慮到產率,較佳係設定為與使含矽層被氮化之溫度、即步驟1a之DCS氣體之供給時相同的溫度帶、亦即設定為於步驟1a~步驟4a將處理室201內之溫度保持為同樣的溫度帶。此時,依於步驟1a~步驟4a中晶圓200溫度、亦即處理室201內溫度成為300~650℃、較佳300~600℃、更佳300~550℃範圍內之一定溫度的方式,設定加熱器207的溫度。再者,較佳係依於步驟1a~步驟6a(後述)中使處理室201內之溫度保持為相同溫度帶的方式,設定加熱器207之溫度。由高頻電源273對第1棒狀電極269b、269c及第2棒狀電極270b、270c施加的高頻電力,分別設定為例如50~1000W範圍內的電力。此時,亦可將NH3氣體進行熱激發、亦即藉熱予以活性化而供給。然而,在為了於減壓環境下將NH3氣體藉熱活性化而流通的情況得到充分氮化力時,必須將處理室201內之壓力設為較高之壓力帶、例如10~3000Pa範圍內的壓力,並將晶圓200之溫度設為550℃以上。相對於此,在將NH3氣體經電漿激發而流通的情況,即使將處理室201內溫度設為例如300℃以上,仍可得到充分的氮化力。又,在將NH3氣體經電漿激發而流通的情況,即使將處理室201內溫度設為常溫仍可得 到充分的氮化力。其中,若處理室201內溫度設為未滿150℃,則氯化銨(NH4Cl)等反應副產物附著於處理室201內或晶圓200等。因此,處理室201內溫度較佳係設為150℃,本實施形態係設為300℃以上。
藉由依上述條件將NH3氣體供給至處理室201內,經電漿激發而成為激發種之NH3氣體,係與於步驟1a中在晶圓200上所形成之、於步驟3a中經雜質去除之含矽層之至少一部分進行反應。藉此,對含矽層進行氮化處理,藉此氮化處理,使含矽層變化(改質)為矽氮化層(Si3N4層,以下有時簡稱為SiN層)
於步驟4a中,藉由使用複數之電漿產生部,則可在使對各電漿產生部(激發部)所施加之高頻電力分別減小而使各電漿產生部(激發部)中之電漿輸出作為低輸出之下,增加激發種對晶圓200的供給量。藉此,可於抑制對晶圓200或含矽層的電漿損傷之下,增加激發種對晶圓200的供給量。
而且,藉此,可於抑制對晶圓200或含矽層之電漿損傷之下,增加激發種對晶圓200的供給量,提高氮化率,促進含矽層的氮化。亦即,可提高氮化效率。而且,含矽層之氮化達飽和而可迅速地過渡至呈自限狀態(完全完化狀態),可縮短氮化時間。其結果可縮短處理時間。又,可提升氮化處理之晶圓面內均勻性。亦即,可對晶圓200面內全域使激發種更均勻地供給,例如在晶圓200之外周附近與晶圓200之中 心側之間不致發生氮化程度的明顯差異。
又,藉由使用複數電漿產生部,抑制對於晶圓200與含矽層之電漿損傷,同時增加對晶圓200的激發種的供給量,並可進一步使在步驟1a中形成,且在步驟3a已去除雜質為低氯濃度之含矽層中所含的氯脫離。藉此,可形成氯濃度非常低之矽氮化層。又,藉由使氯有效率地脫離,可進一步提高氮化效率。亦即,可使成為阻礙氮化要因之氯從含矽層有效率地脫離,因而可有進一步地提升氮化效率。此外,自含矽層脫離之氯係自排氣管231朝處理室201外進行排氣。
作為含氮氣體,除了氨(NH3)氣體之外,亦可使用二氮烯(N2H2)氣體、聯胺(N2H4)氣體、N3H8氣體,或乙基胺、甲基胺等之含氮元素的胺系氣體。
[步驟5a]
使含矽層變化為矽氮化層後,分別關閉第2氣體供給管232b之閥243b及第3氣體供給管232c之閥243c,停止NH3氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201進行真空排氣,使殘留於處理室201內之未反應或貢獻至矽氮化層形成後之NH3氣體或反應副產物由處理室201被排除。又,使閥243k、243l、243j、243m、243n、243o、243p、243h、243i維持打開,並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體作用為迫淨氣體,藉此,可提高使處理室201內殘留之未反應 或貢獻至矽氮化層形成後之NH3氣體或反應副產物由處理室201被排除的效果(第2迫淨步驟)。
又,此時,亦可不使殘留於處理室201內之氣體完全排除,亦可不對處理室201內進行完全迫淨。殘留於處理室201內之氣體若為微量,則不致於後述進行之步驟6a中造成不良影響。此時,供給至處理室201內之N2氣體的流率亦不需設為大流率,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟6a中不發生不良影響之程度的迫淨。如此,藉由不對處理室201內進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與NH3氣體供給時相同的300~650℃、較佳300~600℃、更佳300~550℃範圍內的一定溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
[步驟6a]
去除處理室201內之殘留氣體後,藉由與上述步驟3a(第1改質步驟)相同的程序及同樣的條件,藉2個電漿發生部(激發部)使H2氣體同時藉電漿進行激發,將經電漿激發之H2氣體由2個電漿產生部(激發部)同時供給至處理室201 內,進行矽氮化層的改質處理(第2改質步驟)。
藉由將經電漿激發之H2氣體供給至處理室201內,經電漿激發而成為激發種之H2氣體,係與於步驟4a中在晶圓200上所形成之矽氮化層之至少一部分進行反應。藉此,可使矽氮化層中所含之氫或氯等之雜質有效率地脫離。亦即,可使在步驟3a及步驟4a中使雜質脫離而形成之氫濃度或氯濃度較低之矽氮化層中所含之氫或氯,更有效率地脫離。藉此,可形成雜質濃度極低之矽氮化層。如此進行矽氮化層的改質處理。又,由矽氮化層所脫離之氫或氯等之雜質,係由排氣管231被排氣至處理室201外。
於步驟6a中,藉由使用複數之電漿產生部,則可在使對各電漿產生部(激發部)所施加之高頻電力分別減小而使各電漿產生部(激發部)中之電漿輸出作為低輸出之下,增加激發種對晶圓200的供給量。藉此,可於抑制對晶圓200或矽氮化層的電漿損傷之下,增加激發種對晶圓200的供給量。
而且,藉此,可於抑制對晶圓200或矽氮化層之電漿損傷之下,增加激發種對晶圓200的供給量,提高上述雜質去除效率,並減低矽氮化層的雜質濃度。其結果可縮短處理時間。又,可提高雜質濃度之晶圓面內均勻性。亦即,可對晶圓200面內全域使激發種更均勻地供給,例如在晶圓200之外周附近與晶圓200之中心側之間不致發生明顯的差異。
以上述步驟1a~6a作為1周期,實施該周期既定次數、較 佳為複數次,而可於晶圓200上形成既定膜厚之矽氮化膜(Si3N4膜,以下有時簡稱為SiN膜)。
尚且,在重複上述周期時之至少第2周期以後的各步驟中,記載為「對晶圓200供給既定氣體」的部分,係意指對「晶圓200上所形成之層、亦即作為積層體之晶圓200之最表面供給既定氣體」,記載為「於晶圓200上形成既定層」的部分,係意指「在形成於晶圓200上之層上、亦即作為積層體之晶圓200之最表面上形成既定層」。此點係如上述。又,此點於後述其他實施形態中亦相同。
(迫淨及大氣壓回復)
在形成既定膜厚之矽氮化膜時,打開閥243j、243k、243l、243m、243n、243o、243p、243h、243i,分別由各惰性氣體供給系統對處理室201內供給作為惰性氣體的N2氣體,並由排氣管231予以排氣。N2氣體作用為迫淨氣體,藉此,使處理室201內被惰性氣體所迫淨,由處理室內201去除殘留於處理室201內之氣體。其後,使處理室201內之環境置換為惰性氣體,並使處理室201內之壓力回復為常壓(大氣壓回復)。
(板搬出及晶圓卸載)
其後,藉板升降器115使密封蓋219下降,在反應管203下端呈開口的同時,使處理完成的晶圓200依被板217支撐的狀態由反應管203下端被搬出至反應管203外部(板搬 出)。其後,由板217取出處理完成晶圓200(晶圓卸載)。
(3)本實施形態之效果
根據本實施形態,可發揮以下所示之一個或複數個效果。
(a)本實施形態之步驟4a中,係藉由將經電漿激發而變成激發種之NH3氣體對含矽層進行供給,而可於低溫區域,有效率地使含矽層被氮化。藉此,可於低溫區域形成矽氮化膜。又,可使含矽層中所含有之氫或氯等之雜質有效率地脫離。其結果,可形成雜質濃度低之矽氮化膜、亦即高膜密度的矽氮化膜,而可提升矽氮化膜對氫氟酸的耐性。又,亦可提升矽氮化膜的絕緣性。
(b)本實施形態之步驟3a中,係藉由將經電漿激發而變成激發種之H2氣體對含矽層進行供給,而可使含矽層中所含有之氫或氯等之雜質有效率地脫離。其結果,可於低溫度區域形成雜質濃度更低之矽氮化膜、亦即更高膜密度的矽氮化膜,而可進一步提升矽氮化膜對氫氟酸的耐性。又,亦可進一步提升矽氮化膜的絕緣性。
(c)本實施形態之步驟6a中,係藉由將經電漿激發而變成激發種之H2氣體對矽氮化層進行供給,而可使矽氮化層中所含有之氫或氯等之雜質有效率地脫離。其結果,可於低溫區域形成雜質濃度更低之矽氮化膜、亦即更高膜密度的矽氮化膜,而可更加提升矽氮化膜對氫氟酸的耐性。又,亦可進一步提升矽氮化膜的絕緣性。
(d)本實施形態之步驟3a、步驟4a中,係藉由由含矽層或矽氮化層中使氯有效率地脫離,而可提升步驟4a中所進行之氮化處理的效率。亦即,藉由使成為阻礙氮化之要因的氯由含矽層或矽氮化層有效率地脫離,則可提升步驟4a中所進行之氮化處理的效率。藉此,可縮短矽氮化層之成膜時間,而可提升生產性。
(e)本實施形態之步驟3a、步驟4a、步驟6a中,係藉由使用複數之電漿產生部,可在使對各電漿產生部(激發部)所施加之高頻電力分別減小而使各電漿產生部(激發部)中之電漿輸出成為低輸出之下,增加激發種對晶圓200的供給量。藉此,可於抑制對晶圓200或含矽層或矽氮化層的電漿損傷之下,增加激發種對晶圓200的供給量。
而且藉此,於步驟4a中,可提高氮化力、促進含矽層的氮化。亦即,可提高氮化效率。而且,含矽層之氮化達飽和而可迅速地過渡至呈自限狀態(完全氮化狀態),可縮短氮化時間。其結果可縮短處理時間。又,可提升氮化處理之晶圓面內均勻性。亦即,藉由使用複數之電漿產生部,可對晶圓200面內全域使激發種更均勻地供給,例如在晶圓200之外周附近與晶圓200之中心側之間不致發生氮化程度的明顯差異。另外,於步驟4a中,可使在步驟1a中所形成、在步驟3a中經雜質去除之氯濃度低之含矽層中所含的氯,更有效率地脫離。藉此,可形成氯濃度極低的矽氮化層。又,藉 由使氯有效率地脫離,則可更加提升氮化效率。亦即,藉由使成為阻礙氮化要因之氯由含矽層有效率地脫離,則可更加提升氮化效率。
另外,於步驟3a、步驟6a中,可更加提高由含矽層或矽氮化層之雜質去除效率,而可更加減低矽氮化層的雜質濃度。又,可使矽氮化層中之雜質濃度之晶圓面內均勻性提升。亦即,藉由使用複數之電漿產生部,可對晶圓200面內全域使激發種更均勻地供給,例如在晶圓200之外周附近與晶圓200之中心側之間不致發生矽氮化層中之雜質濃度的明顯差異。
另外,在僅設有一個電漿產生部的情況,在增加激發種對晶圓200的供給量時,必須加大電漿輸出。然而,此情況下,被電漿化的範圍變得過大,而使晶圓200亦曝露於電漿。而且有對晶圓200或形成於晶圓200上之矽氮化膜施加較大的損傷(電漿損傷)的情形。又,晶圓200或其周邊有被電漿所濺鍍而發生顆粒、或使矽氮化層之膜質降低的情形。又,有形成於晶圓200上之矽氮化層之膜質,在曝露於電漿之晶圓200外周附近與未曝露於電漿之晶圓200中心側之間發生明顯差異的情形。
相對於此,在如本實施形態使用複數之電漿產生部的情形,可在使各電漿產生部之電漿輸出成為低輸出之下,增加激發種下晶圓200的供給量,而不致發生此等問題。
(f)本實施形態中,藉由使用複數之電漿產生部,而可得到與提高成膜中之晶圓200之旋轉數(加快旋轉速度)時同等的效果,而可使矽氮化層之晶圓面內膜厚均勻性提高。亦即,本實施形態之成膜程序中,係一邊旋轉晶圓200、一邊進行DCS氣體或NH3氣體或H2氣體的間歇供給,而此程序中,在晶圓200之旋轉數與矽氮化膜之晶圓面內膜厚均勻性之間存在一定的相關關係。具體而言,旋轉數越高(旋轉速度越快),以1次氣體供給而所迫淨之晶圓200之區域越增加,故可提升矽氮化膜之晶圓面內膜厚均勻性。然而,為了晶圓200之振動防止等,晶圓200的旋轉數有其上限,例如難以增加至大於3rpm。相對於此,本實施形態中,藉由使用2個電漿產生部,而可得到與將旋轉數實質地增加2倍同等的效果,可提升矽氮化膜之晶圓面內膜厚均勻性。此種效果對於將矽氮化膜設為例如50Å以下之厚度的薄膜時特別有效。
(g)如上述,根據本實施形態之成膜程序,在例如550℃以下的低溫區域,可形成氫或氯等之雜質濃度極低的矽氮化膜、亦即膜密度極高的矽氮化膜。藉此,可提升矽氮化膜對氫氟酸的耐性及絕緣性,可提升膜質。又,可在抑制對晶圓200或含矽層或矽氮化膜之電漿損傷之下,提高含矽層的氮化效率,縮短氮化時間,縮短處理時間,可提升產率。又,可提升雜質去除或氮化處理之晶圓面內均勻性,使矽氮化膜之晶圓面內膜質均勻性及晶圓面內膜厚均勻分別提升。又, 可使成膜時之因立體障礙所造成之未鍵結鍵的發生減低。又,由於膜中之氯濃度低,故可抑制在板搬出時等之晶圓200搬送中之矽氮化膜的自然懲化。
尚且,若藉由本實施形態之成膜程序形成矽氮化膜,則相較於藉由一般之DCS與NH3之交替供給而形成矽氮化膜的情況,本實施形態之矽氮化膜的密度成為更高密度,確認到膜密度之面內均勻性亦極高。又,若藉由本實施形態之成膜程序形成矽氮化膜,則相較於藉由一般之DCS與NH3之交替供給而形成矽氮化膜的情況,本實施形態之矽氮化膜中之氯等之雜質濃度極低,確認到雜質濃度之面內均勻性亦極高。又,根據本實施形態之成膜程序,即使在使用不含氯原子的矽原料的情況,仍確認到可減低對氫氟酸的蝕刻速率。
<本發明之第2實施形態>
上述第1實施形態中,係於第1改質步驟及第2改質步驟之雙方使用H2氣體等之含氫氣體作為改質氣體,但本發明並不限定於此形態。例如,亦可在第1改質步驟中使用H2氣體等之含氫氣體作為改質氣體,於第2改質步驟中使用Ar氣體或He氣體等之稀有氣體及N2氣體中之至少任一者作為改質氣體。
亦即,本實施形態中,係具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜:對基板供給原料氣體之步驟; 對基板供給經電漿激發之含氫氣體之步驟;對基板供給經電漿激發或熱激發之氮化氣體(含氮氣體)之步驟;與對基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
具體而言,本實施形態之成膜程序中,係以對處理室201內之晶圓200供給DCS氣體之步驟(DCS氣體供給步驟)、對處理室201內進行迫淨之步驟(第1迫淨步驟)、對處理室201內之晶圓200供給經電漿激發之H2氣體之步驟(第1改質步驟)、對處理室201內之晶圓200供給經電漿激發之NH3氣體之步驟(NH3氣體供給步驟)、對處理室201內進行迫淨之步驟(第2迫淨步驟)、與對處理室201內之晶圓200供給經電漿激發之N2氣體及經電漿激發之Ar氣體中之至少任一者的步驟(第2改質步驟)作為1周期,將該周期進行既定次數,而於晶圓200上形成矽氮化層。以下,更具體說明本實施形態之成膜程序。
圖6為表示本發明第2實施形態之成膜流程的圖。圖11係表示本發明第2實施形態之氣體供給及電漿電源供給之時機的圖。此等圖係表示於第1改質步驟中使用H2氣體作為改質氣體,於第2改質步驟中使用Ar氣體或N2氣體作為改質氣體的例子。又,此成膜程序與第1實施形態的差異,僅在於在第2改質步驟中使用Ar氣體或N2氣體作為改質氣 體此點,其他則與第1實施形態相同。以下針對與第1實施形態相異之第2改質步驟(步驟6b)進行說明。
(使用Ar氣體作為改質氣體的情況)
在第2改質步驟中使用Ar氣體作為改質氣體的情況,係進行與第1實施形態之步驟1a~5a相同的步驟1b~5b,於晶圓200上形成矽氮化層,去除處理室201內之殘留氣體。其後,藉2個電漿產生部(激發部)將Ar氣體同時藉電漿進行激發,而使經電漿激發之Ar氣體由2個電漿產生部(激發部)同時供給至處理室201內,進行矽氮化層的改質處理(第2改質步驟)。
亦即,打開第6氣體供給管232f之閥243f,於第6氣體供給管232f流通Ar氣體。於第6氣體供給管232f內流通之Ar氣體,係藉由質量流率控制器241f進行流率調整。經流率調整之Ar氣體係經由第2氣體供給管232b,由第2噴嘴233b之氣體供給孔248b供給至緩衝室237b內。此時,當對第1棒狀電極269b及第2棒狀電極270b間由高頻電源273經由整合器272施加高頻電力時,供給至緩衝室237b內之Ar氣體被電漿激發,作為激發種(Ar)而由氣體供給孔238b被供給至處理室201內,並由排氣管231被排氣。此時,對晶圓200供給經電漿激發之Ar氣體。
又,在此同時,打開第7氣體供給管232g之閥243g,於第7氣體供給管232g內流通Ar氣體。於第7氣體供給管 232g內流通之Ar氣體,係藉由質量流率控制器241g進行流率調整。經流率調整之Ar氣體係經由第3氣體供給管232c,由第3噴嘴233c之氣體供給孔248c供給至緩衝室237c內。此時,當對第1棒狀電極269c及第2棒狀電極270c間由高頻電源273經由整合器272施加高頻電力時,供給至緩衝室237c內之Ar氣體被電漿激發,作為激發種(Ar)而由氣體供給孔238c被供給至處理室201內,並由排氣管231被排氣。此時,對晶圓200供給經電漿激發之Ar氣體。
此時,為了防止經電漿激發之Ar氣體侵入至第1噴嘴233a內,而打開閥243j,於第1惰性氣體供給管232j內流通N2氣體。N2氣體係經由第1氣體供給管232a、第1噴嘴233a而供給至處理室201內,並由排氣管231被排氣。
在將Ar氣體藉由電漿激發而作成激發種並流通時,係適當調整APC閥244,將處理室201內之壓力設為例如10~1000Pa範圍內的壓力。藉由質量流率控制器241f、241g所控制之Ar氣體的供給流率,分別設為例如100~10000sccm(0.1~10slm)之範圍內的流率。藉質量流率控制器241j所控制之N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。將Ar氣體藉電漿激發而得之激發種對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度若考慮到產率,較佳係與第1實施形態同樣 地,依成為與步驟1b之DCS氣體之供給時相同的溫度帶的方式,亦即依於步驟1b~步驟6b將晶圓200之溫度、亦即處理室201內之溫度成為300~650℃、較佳300~600℃、更佳300~550℃範圍內之一定溫度的方式,設定加熱器207的溫度。由高頻電源273對第1棒狀電極269b、269c及第2棒狀電極270b、270c間施加的高頻電力,分別設定為例如50~1000W範圍內的電力。
作為改質氣體所使用之稀有氣體,係除了氬(Ar)之外,亦可使用氦(He)氣、氖(Ne)氣、氙(Xe)氣等。其中,較佳係使用Ar氣體及He氣體中之至少任一者,更佳為使用Ar氣體。
(使用N2氣體作為改質氣體的情況)
在第2改質步驟中使用N2氣體作為改質氣體的情況,係進行與第1實施形態之步驟1a~5a相同的步驟1b~5b,於晶圓200上形成矽氮化層,去除處理室201內之殘留氣體。其後,藉2個電漿產生部(激發部)將N2氣體同時藉電漿進行激發,而使經電漿激發之N2氣體由2個電漿產生部(激發部)同時供給至處理室201內,進行矽氮化層的改質處理(第2改質步驟)。
亦即,打開第8氣體供給管232h之閥243h,於第8氣體供給管232h流通N2氣體。於第8氣體供給管232h內流通之N2氣體,係藉由質量流率控制器241h進行流率調整。經流率調整之N2氣體係經由第2氣體供給管232b,由第2噴 嘴233b之氣體供給孔248b供給至緩衝室237b內。此時,當對第1棒狀電極269b及第2棒狀電極270b間由高頻電源273經由整合器272施加高頻電力時,供給至緩衝室237b內之N2氣體被電漿激發,作為激發種(N2 )而由氣體供給孔238b被供給至處理室201內,並由排氣管231被排氣。此時,對晶圓200供給經電漿激發之N2氣體。
又,在此同時,打開第9氣體供給管232i之閥243i,於第8氣體供給管232i內流通N2氣體。於第9氣體供給管232i內流通之N2氣體,係藉由質量流率控制器241i進行流率調整。經流率調整之N2氣體係經由第3氣體供給管232c,由第3噴嘴233c之氣體供給孔248c供給至緩衝室237c內。此時,當對第1棒狀電極269c及第2棒狀電極270c間由高頻電源273經由整合器272施加高頻電力時,供給至緩衝室237c內之N2氣體被電漿激發,作為激發種(N2 )而由氣體供給孔238c被供給至處理室201內,並由排氣管231被排氣。此時,對晶圓200供給經電漿激發之N2氣體。
尚且,此時,為了防止經電漿激發之N2氣體侵入至第1噴嘴233a內,而打開閥243j,於第1惰性氣體供給管232j內流通N2氣體。N2氣體係經由第1氣體供給管232a、第1噴嘴233a而供給至處理室201內,並由排氣管231被排氣。
在將N2氣體藉由電漿激發而作成激發種並流通時,係適當調整APC閥244,將處理室201內之壓力設為例如 10~1000Pa範圍內的壓力。藉由質量流率控制器241h、241i所控制之N2氣體的供給流率,分別設為例如100~10000sccm(0.1~10slm)之範圍內的流率。藉質量流率控制器241j所控制之N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。將N2氣體藉電漿激發而得之激發種對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度若考慮到產率,較佳係與第1實施形態同樣地,依成為與步驟1b之DCS氣體之供給時相同的溫度帶的方式,亦即依於步驟1b~步驟6b將晶圓200之溫度、亦即處理室201內之溫度成為300~650℃、較佳300~600℃、更佳300~550℃範圍內之一定溫度的方式,設定加熱器207的溫度。由高頻電源273對第1棒狀電極269b、269c及第2棒狀電極270b、270c間施加的高頻電力,分別設定為例如50~1000W範圍內的電力。
藉由使用Ar氣體或N2氣體作為改質氣體,進行上述第2改質步驟(步驟6b),則可由矽氮化層去除雜質。其後,藉由以步驟1b~6b作為1周期並實施該周期既定次數、較佳為複數次,則可於晶圓200上形成既定膜厚之矽氮化膜。
本實施形態中,亦可發揮與上述第1實施形態相同的效果。
尚且,在使用Ar氣體或N2氣體作為改質氣體時,相較於 使用H2氣體作為改質氣體的情況,可更加提升矽氮化膜之晶圓200面內的膜厚均勻性。其理由認為係因藉由將Ar氣體或N2氣體進行電漿激發而生成的激發種,較藉由將H2氣體進行電漿激發而生成的激發種重,故在使用Ar氣體或N2氣體作為改質氣體時,於矽氮化膜之膜厚容易變厚的晶圓200周緣部,可使矽氮化膜之構成成分的分解反應或脫離反應發生。
另外,於使用H2氣體作為改質氣體時,相較於使用Ar氣體或N2氣體作為改質氣體的情況,可更加提升矽氮化膜之晶圓200面內之蝕刻速率的均勻性、亦即膜質均勻性。其理由認為係因藉由將H2氣體進行電漿激發而生成的激發種,其壽命較藉由將Ar氣體或N2氣體進行電漿激發而生成的激發種長,故在使用H2氣體作為改質氣體時,可更有效率地進行激發種對晶圓200中心部的供給,因此,可更加促進晶圓200中心部之雜質由含矽層或矽氮化層的脫離。
尚且,上述成膜程序中,雖針對於第1改質步驟中使用H2氣體作為改質氣體,於第2改質步驟中使用Ar氣體或N2氣體作為改質氣體的情況進行了說明,但亦可與此相反地,於第1改質步驟中使用Ar氣體或N2氣體作為改質氣體,於第2改質步驟中使用H2氣體作為改質氣體。又,第1改質步驟及第2改質步驟中,並不限定於分別以單體使用H2氣體、N2氣體、Ar氣體作為改質氣體的情況,亦可使用 將其等任意組合混合的氣體。
<本發明之第3實施形態>
上述第1實施形態中,係於供給原料氣體後且供給含氮氣體前、及供給含氮氣體後且供給原料氣體前之雙方進行使改質氣體經電漿激發而供給的步驟,但本發明並不限定於此形態。例如,亦可僅在供給原料氣體後且供給含氮氣體前進行使改質氣體經電漿激發而供給的步驟。亦即,第1形態中雖進行第1改質步驟及第2改質步驟之兩者,但本發明並不限定於此形態,亦可僅進行第1改質步驟並省略第2改質步驟。
亦即,本實施形態中,係具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜:對基板供給原料氣體之步驟;對基板供給經電漿激發之含氫氣體之步驟;與對基板供給經電漿激發或熱激發之氮化氣體(含氮氣體)之步驟。
具體而言,本實施形態之成膜程序中,係以對處理室201內之晶圓200供給DCS氣體之步驟(DCS氣體供給步驟)、對處理室201內進行迫淨之步驟(第1迫淨步驟)、對處理室201內之晶圓200供給經電漿激發之H2氣體之步驟(第1改質步驟)、對處理室201內之晶圓200供給經電漿激發之NH3氣體之步驟(NH3氣體供給步驟)、與對處理室201內進行迫淨之步驟(第2迫淨步驟)作為1周期,將該周期進行既定次 數,而於晶圓200上形成矽氮化層。以下,更具體說明本實施形態之成膜程序。
圖7為表示本發明第3實施形態之成膜流程的圖。圖12係表示本實施形態之氣體供給及電漿電源供給之時機的圖。又,本實施形態與第1實施形態的差異,僅在於只在供給原料氣體後且供給含氮氣體前進行使改質氣體經電漿激發而供給的步驟(亦即省略了第2改質步驟)此點,其他則與第1實施形態相同。如此等圖所示,本實施形態中,係以與第1實施形態之步驟1a~5a相同的步驟1c~5c作為1周期並實施該周期既定次數、較佳為複數次,藉由於晶圓200上形成既定膜厚的矽氮化膜。
本實施形態中,亦可發揮與上述第1實施形態相同的效果。又,由於省略了第2改質步驟,故相較於第1實施形態,可縮短每1周期的所需時間,可提升成膜速率。
<本發明之第4實施形態>
上述第1實施形態中,係於供給原料氣體後且供給含氮氣體前、及供給含氮氣體後且供給原料氣體前之雙方進行使改質氣體經電漿激發而供給的步驟,但本發明並不限定於此形態。例如,亦可僅在供給含氮氣體後且供給原料氣體前進行使改質氣體經電漿激發而供給的步驟。亦即,第1形態中雖進行第1改質步驟及第2改質步驟之兩者,但本發明並不限定於此形態,亦可省略第1改質步驟而僅進行第2改質步驟。
亦即,本實施形態中,係具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜:對基板供給原料氣體之步驟;對基板供給經電漿激發或熱激發之氮化氣體(含氮氣體)之步驟;與對基板供給經電漿激發之含氫氣體之步驟。
具體而言,本實施形態之成膜程序中,係以對處理室201內之晶圓200供給DCS氣體之步驟(DCS氣體供給步驟)、對處理室201內進行迫淨之步驟(第1迫淨步驟)、對處理室201內之晶圓200供給經電漿激發之NH3氣體之步驟(NH3氣體供給步驟)、對處理室201內進行迫淨之步驟(第2迫淨步驟)、與對處理室201內之晶圓200供給經電漿激發之H2氣體之步驟(第2改質步驟)作為1周期,將該周期進行既定次數,而於晶圓200上形成矽氮化層。以下,更具體說明本實施形態之成膜程序。
圖8為表示本實施形態之成膜流程的圖。圖13係表示本實施形態之氣體供給及電漿電源供給之時機的圖。又,本實施形態與第1實施形態的差異,僅在於只在供給含氮氣體後且供給原料氣體前進行使改質氣體經電漿激發而供給的步驟(亦即省略了第1改質步驟)此點,其他則與第1實施形態相同。如此等圖所示,本實施形態中,係以與第1實施形態之步驟1a、2a、4a~6a相同的步驟1d~5d作為1周期並實施 該周期既定次數、較佳為複數次,藉由於晶圓200上形成既定膜厚的矽氮化膜。
本實施形態中,亦可發揮與上述第1實施形態相同的效果。又,由於省略了第1改質步驟,故相較於第1實施形態,可縮短每1周期的所需時間,可提升成膜速率。
<本發明之第5實施形態>
上述第1實施形態中,係於供給含氮氣體之步驟(NH3氣體供給步驟)與使改質氣體經電漿激發而供給的步驟(第2改質步驟)之間,設有對處理室內進行迫淨之步驟(第2迫淨步驟),但本發明並不限定於此形態。例如,可省略第2迫淨步驟,而連續地進行NH3氣體供給步驟與第2改質步驟。
亦即,本實施形態中,係具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜:對基板供給原料氣體之步驟;對基板供給經電漿激發之含氫氣體之步驟;對基板供給經電漿激發或熱激發之氮化氣體(含氮氣體)之步驟;與對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
具體而言,本實施形態之成膜程序中,係以對處理室201內之晶圓200供給DCS氣體之步驟(DCS氣體供給步驟)、對處理室201內進行迫淨之步驟(第1迫淨步驟)、對處理室 201內之晶圓200供給經電漿激發之H2氣體之步驟(第1改質步驟)、對處理室201內之晶圓200供給經電漿激發之NH3氣體之步驟(NH3氣體供給步驟)、與對處理室201內之晶圓200供給經電漿激發之N2氣體及經電漿激發之Ar氣體中之至少任一者之步驟(第2改質步驟)作為1周期,將該周期進行既定次數,而於晶圓200上形成矽氮化層。以下,更具體說明本實施形態之成膜程序。
圖9為表示本實施形態之成膜流程的圖。圖14係表示本實施形態之氣體供給及電漿電源供給之時機的圖。又,本實施形態與第2實施形態的差異,僅在於省略第2迫淨步驟,而連續進行NH3氣體供給步驟與第2改質步驟此點,其他則與第5實施形態相同。如此等圖所示,本實施形態中,係以與第2實施形態之步驟1b~4b、6b相同的步驟1e~5e作為1周期並實施該周期既定次數、較佳為複數次,藉由於晶圓200上形成既定膜厚的矽氮化膜。
本實施形態中,亦可發揮與上述第2實施形態相同的效果。又,由於省略了第2迫淨,故相較於第2實施形態,可縮短每1周期的所需時間,可提升成膜速率。
<本發明之其他實施形態>
以上具體說明了本發明實施形態,但本發明並不限定於上述實施形態,在不脫離其要旨之範圍內可進行各種變更。
例如,上述實施形態中雖針對使用DCS氣體作為原料氣 體的情況進行了說明,但本發明並不限定於此形態。例如,使用MCS氣體作為原料氣體的情況,亦可適合應用本發明。作為原料氣體,藉由使用氯(Cl)含有率較DCS氣體低、表面吸附力較高之氣體的MCS氣體,則可減低供給至處理室201內的氯量。藉此,可形成氯濃度低的含矽層。其結果,於步驟4a中,可形成氯濃度低的矽氮化層。結果可形成雜質濃度低之矽氮化膜、亦即膜密度高的矽氮化膜,而可提升矽氮化膜對氫氟酸的耐性。又,亦可提高矽氮化膜的絕緣性。
另外,藉由使用MCS氣體作為原料氣體,而減少含矽層中之Si-Cl鍵結,則可增加含矽層中之Si-H鍵結。Si-Cl鍵結係鍵結能量較Si-H鍵結大,而有阻礙步驟4a中之Si-N鍵結之形成、亦即含矽層之氮化的作用。相反地,Si-H鍵結之鍵結能量較Si-Cl鍵結小,而有促進Si-N鍵結之形成、亦即含矽層之氮化的作用。亦即,藉由使用MCS氣體作為原料氣體,形成Si-Cl鍵結少且氯濃度低之含矽層,則可減低阻礙含矽層之氮化的要因,而可促進步驟4a中含矽層的氮化。又,藉由使Si-H鍵結於含矽層中增加,則可增加促進含矽層氮化的要因,而可更加促進步驟4a中之含矽層的氮化。藉此,可提高步驟4a中之含矽層的氮化效率,縮短氮化時間、縮短處理時間。其結果,可縮短矽氮化膜的成膜時間,提升生產性。
尚且,在使用MCS氣體作為原料氣體時,亦可於對第1 氣體供給管232a內供給MCS氣體之MCS氣體供給源或第1氣體供給管232a之上游側等(亦即氣體保管庫或汽缸室等),設置將MCS氣體保管溫度維持為例如30℃左右的溫度調整機構。MCS氣體係分解性高,於一般的特殊高壓氣體的保管溫度下將發生分解。若因MCS氣體分解而生成單矽烷(SiH4),則有矽氮化膜之膜厚均勻性降低、生產性惡化等情形。又,若MCS氣體保管溫度過於低溫,則MCS難以氣化,而有MCS氣體對處理室201危的供給流量減少之虞。藉由設置溫度調整機構,可解決此等問題。
另外,例如在上述實施形態中,係在將原料氣體供給至處理室201內時(步驟1a),依打開APC閥244之狀態,一邊對處理室201內連續地進行排氣、一邊供給原料氣體,但本發明並不限定於此形態。例如,如圖3所示,亦可於第1氣體供給管232a之較閥243a更下游側設置氣體滯留部(槽)250a,將滯留於氣體滯留部250a內之原料氣體,依關閉APC閥244之狀態一口氣(脈衝性地)供給至經減壓的處理室201內,其後,將因原料氣體之供給而呈升壓狀態之處理室201內維持既定時間。
在使用氣體滯留部250a一口氣地供給原料氣體時,首先,關於設於第1氣體供給管232a之較氣體滯留部250a更下游側的閥243a’,打開設於氣體滯留部250a上游側之閥243a,藉此使原料氣體滯留於氣體滯留部250a內。然後, 在氣體滯留部250a內滯留了既定壓、既定量之原料氣體後,關閉上游側之閥243a。於氣體滯留部250a內,依氣體滯留部250a內之壓力成為例如20000Pa以上之方式滯留原料氣體。滯留於氣體滯留部250a內之原料氣體量設為例如100~1000cc。又,依氣體滯留部250a與處理室201之間的導率成為1.5x10-3m3/s以上之方式構成裝置。又,若考慮到處理室201之內積與對其所必要之氣體滯留部250a之容積的比,則在處理室201之容積為例如1001(公升)的情況,氣體滯留部250a之容積較佳為100~300c,較佳係設為處理室201之容積的1/1000~3/1000倍。
在氣體滯留部250a中填充原料氣體的期間,預先藉真空泵246對處理室201內進行排氣至處理室201內之壓力成為20Pa以下的壓力。在完成原料氣體對氣體滯留部250a內之填充及處理室201內之排氣後,關閉APC閥244以停止處理室201內之排氣,其後,打開第1氣體供給管232a之閥243a’。藉此,使滯留於氣體滯留部250a內之高壓之原料氣體一口氣地(脈衝性地)供給至處理室201內。此時,由於排氣管231之APC閥244已關閉,故處理室201內之壓力急遽上升,升壓至例如931Pa(7Torr)。其後,將處理室201內之升壓狀態維持既定時間(例如1~10秒),使晶圓200曝露於高壓之DCS氣體環境中,藉此於晶圓200上形成含矽層。
如此,若使用氣體滯留部250a一口氣地供給原料氣體, 則藉由氣體滯留部250a與處理室201內之壓力差,由第1噴嘴233a噴出至處理室201內之原料氣體被加速至例如音速(340m/sec)左右,而晶圓200上之原料氣體的速度亦變快為數十m/sec左右。其結果,原料氣體有效率地被供給至晶圓200之中央部。結果可使矽氮化膜之晶圓200面內的膜厚均勻性或膜質均勻性提升。以下,將此供給方法稱為快速流動(flash flow)。
另外,例如在上述實施形態中,雖針對設有2個電漿發生部(激發部)的情形進行了說明,但本發明並不限定於此形態。例如即使在設有1個電漿發生部(激發部)的情況亦可適合應用本發明。其中,藉由設置複數個電漿發生部(激發部),可如上述般,使氮化處理或改質處理之晶圓面內膜厚均勻性更加提升,使矽氮化膜之晶圓面內膜質均勻性及晶圓面內膜厚均勻性分別獲得進一步提升。亦即,設置複數個電漿發生部者,可提高氮化處理、第1改質處理、第2改質處理之效果。又,本發明即使在設有3個以上電漿發生部(激發部)的情況亦可適合使用。
另外,例如上述第2實施形態及第5實施形態中,雖針對在供給原料氣體之步驟後的既定期間、亦即供給原料氣體步驟後之經電漿激發或熱激發之氮化氣體的供給停止期間,進行供給經電漿激發之含氫氣體的步驟,並在供給經電漿激發或熱激發之氮化氣體的步驟後的既定期間、亦即供給經電漿 激發或熱激發之氮化氣體之步驟後的原料氣體供給停止期間,進行供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟的例子進行了說明,但本發明並不限定於此形態。
例如,亦可在供給原料氣體之步驟後的既定期間、亦即供給原料氣體步驟後之經電漿激發或熱激發之氮化氣體的供給停止期間,進行供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟,並在供給經電漿激發或熱激發之氮化氣體的步驟後的既定期間、亦即供給經電漿激發或熱激發之氮化氣體之步驟後的原料氣體供給停止期間,進行供給經電漿激發之含氫氣體的步驟。
亦即,若在供給原料氣體之步驟後的既定期間、以及在供給經電漿激發或熱激發之氮化氣體的步驟後的既定期間的其中一期間內,進行供給經電漿激發之含氫氣體的步驟,並在與供給原料氣體之步驟後的既定期間、以及在供給經電漿激發或熱激發之氮化氣體的步驟後的既定期間的其中一期間相異的其他期間內,進行供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟即可。
具體而言,若在供給原料氣體之步驟後的經電漿激發或熱激發之氮化氣體的供給停止期間、以及在供給經電漿激發或熱激發之氮化氣體之步驟後的原料氣體供給停止期間的其中一期間內,進行供給經電漿激發之含氫氣體的步驟,並在 與供給原料氣體步驟後之經電漿激發或熱激發之氮化氣體的供給停止期間、以及供給經電漿激發或熱激發之氮化氣體之步驟後的原料氣體供給停止期間的其中一期間相異的其他期間內,進行供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟即可。
更具體而言,若於供給原料氣體步驟後且於供給經電漿激發或經熱激發之氮化氣體之步驟前的期間、以及於供給經電漿激發或經熱激發之氮化氣體步驟後且供給原料氣體步驟前的期間的其中一期間內,進行供給經電漿激發之含氫氣體的步驟,並於與供給原料氣體步驟後且供給經電漿激發或熱激發之氮化氣體步驟前的期間、以及於供給經電漿激發或經熱激發之氮化氣體步驟後且供給原料氣體步驟前的期間的其中一期間相異的其他期間內,進行供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟即可。
此等情況下,於供給原料氣體之步驟中係於基板上形成層(含矽層),於供給經電漿激發之含氫氣體之步驟中係對該層進行第1改質處理,於供給經電漿激發或熱激發之氮化氣體之步驟中係使經第1改質處理之層變化為氮化層,於供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟中係對氮化層進行第2改質處理。
或者,於供給原料氣體之步驟中係於基板上形成層,並於供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少 任一者的步驟中係對該層進行第1改質處理,於供給經電漿激發或熱激發之氮化氣體之步驟中係使經第1改質處理之層變化為氮化層,於供給經電漿激發之含氫氣體之步驟中係對氮化層進行第2改質處理。
另外,例如亦可於供給經電漿激發或熱激發之氮化處理之步驟後的原料氣體供給停止期間、亦即供給經電漿激發或熱激發之氮化氣體的步驟後且供給原料氣體步驟前的期間,進行供給經電漿激發之含氫氣體的步驟以及供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟的兩者。
另外,例如亦可於供給原料氣體步驟後的期間、亦即供給原料氣體步驟後之經電漿激發或熱激發之氮化氣體的供給停止期間、亦即供給原料氣體步驟後且供給經電漿激發或熱激發之氮化氣體之步驟前的期間,進行供給經電漿激發之含氫氣體的步驟以及供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟的兩者。
此等情況下,於供給原料氣體之步驟中係於基板上形成層(含矽層),於供給經電漿激發或熱激發之氮化氣體之步驟中係使該層變化為氮化層,並於供給經電漿激發之含氫氣體之步驟中係對氮化層進行第1改質處理,於供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟中係對氮化層進行第2改質處理。
或者,於供給原料氣體之步驟中係於基板上形成層,並於供給經電漿激發之含氫氣體之步驟中係對該層進行第1改質處理,於供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者的步驟中係對該層進行第2改質處理。於供給經電漿激發或熱激發之氮化氣體之步驟中係使經第1改質處理及第2改質處理之層變化為氮化層。
尚且,此等之中,在藉由上述第2實施形態及第5實施形態之成膜程序進行成膜時,最能提高第1改質處理及第2改質處理的效果
尚且,上述實施形態所形成之矽氮化膜,係膜中之氯濃度低、膜密度高、對氫氟酸具有高耐性。因此,上述實施形態所形成之矽氮化膜不僅適合使用於閘絕緣膜或容量絕緣膜,亦適合作為側壁間隔件或蝕刻阻止層。又,亦可適合使用作為例如STI形成步驟中之硬遮罩。
另外,例如上述實施形態中,係針對形成含有屬於半導體元素之矽的矽氮化膜作為氮化膜的例子進行了說明,但本發明亦可適合應用於形成含有鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鋁(Al)、鉬(Mo)等金屬元素之金屬氮化膜的情形。
例如,本發明亦可適合應用於形成鈦氮化膜(TiN膜)的情況,或形成鋯氮化膜(ZrN膜)的情況,形成鉿氮化膜(HfN膜)的情況,形成鉭氮化膜(TaN膜)的情況,形成鋁氮化膜(AlN膜)的情況,形成鉬氮化膜(MoN膜)的情況。
此時,可使用含有金屬元素之原料作為原料氣體,藉與上述實施形態相同之成膜程序進行成膜。又,在使用於常溫常壓下呈液體狀態之液體原料時,係將液體原料藉氣化器或起泡器等之氣化系統進行氣化,作成原料氣體而供鉿。含氮氣體及改質氣體可使用與上述實施形態相同的氣體。處理條件亦可使用與上述實施形態相同的處理條件。
例如,在形成TiN膜的情況,可使用四氯化鈦(TiCl4)、肆(乙基甲基胺基)鈦(Ti[N(C2H5)(CH3)]4,簡稱:TEMAT)、肆(二甲基胺基)鈦(Ti[N(CH3)2]4,簡稱:TDMAT)、肆(二乙基胺基)鈦(Ti[N(C2H5)2]4,簡稱:TDEAT)等作為原料。
另外,例如於形成ZrN膜時,可使用四氯化鋯(ZrCl4)、肆(乙基甲基胺基)鋯(Zr[N(C2H5)(CH3)]4,簡稱:TEMAZ)、肆(二甲基胺基)鋯(Zr[N(CH3)2]4,簡稱:TDMAZ)、肆(二乙基胺基)鋯(Zr[N(C2H5)2]4,簡稱:TDEAZ)等作為原料。
另外,例如於形成HfN膜時,可使用四氯化鉿(HfCl4)、肆(乙基甲基胺基)鉿(Hf[N(C2H5)(CH3)]4,簡稱:TEMAH)、肆(二甲基胺基)鉿(Hf[N(CH3)2]4,簡稱:TDMAH)、肆(二乙基胺基)鉿(Hf[N(C2H5)2]4,簡稱:TDEAH)等作為原料。
另外,例如於形成TaN膜時,可使用五氯化鉭(TaCl5)、五氟化鉭(TaF5)、伍(乙氧基)鉭(Ta(OC2H5)5,簡稱:PET)、參(二乙基胺基)第三丁基亞胺基鉭(Ta(NC(CH3)3)(N(C2H5)2)3,簡稱:TBTDET)等作為原料。
另外,例如於形成AlN膜時,可使用三氯化鋁(AlCl3)、三氟化鋁(AlF4)、三甲基鋁(Al(CH3)3,簡稱:TMA)等作為原料。
另外,例如於形成MoN膜時,可使用五氯化鉬(MoCl5)、五氟化鉬(MoF5)等作為原料。
另外,上述實施形態中,係針對使用一次處理複數片基板之批次式基板處理裝置進行薄膜成膜的例子進行了說明,但本發明並不限定於此,亦可適合應用於使用一次處理1片或數片基板之片式基板處理裝置進行薄膜成膜的情形。
另外,上述各實施形態或各變形例或各應用例等,亦可予以適當組合使用。
另外,本發明亦可例如變更既存之基板處理裝置之製程內容而實現。於變更製程內容時,可將本發明之製程內容經由電信回路或經由記錄了該製程內容之記錄媒體而安裝於既定之基板處理裝置中,或操作既存之基板處理裝置的輸出入裝置,將其製程內容本身變更為本發明之製程內容。
[實施例] (第1實施例)
<改質步驟對膜厚影響>
作為本發明之實施例,係藉由與第3實施形態相同的成膜程序(亦即僅進行第1改質步驟、省略了第2改質步驟的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本 1。又,作為原料氣體係使用DCS氣體,作為含氮氣體係使用NH3氣體,於第1改質步驟中使用Ar氣體作為改質氣體。
再者,係藉由與第4實施形態相同的成膜程序(亦即省略第1改質步驟、僅進行第2改質步驟的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本2。又,作為原料氣體係使用DCS氣體,作為含氮氣體係使用NH3氣體,於第2改質步驟中使用Ar氣體作為改質氣體。
另外,作為比較例,係藉由以對收容於處理室內之直徑300mm之晶圓供給DCS氣體之步驟、對處理室內進行迫淨之步驟、對處理室內之晶圓供給經電漿激發之NH3氣體之步驟、與對處理室內進行迫淨之步驟作為1周期,並重複進行該周期複數次的通常的成膜程序,於晶圓上形成矽氮化膜而作成樣本3。
圖15(a)係簡便性地將樣本1~3之矽氮化膜形成時之氣體供給程序分別僅抽出1周期份而表示。任一樣本係均使用圖4所示之設有2個電漿發生部的基板處理裝置所作成,此時,DCS氣體對處理室內的供給,係依快速流動所進行。又,成膜時之晶圓溫度設為550℃。其以外的處理條件係設定為上述實施形態中之各步驟之處理條件的範圍內的值。
然後,測定各樣本中之矽氮化膜的濕式蝕刻速率(WER)。又,在對矽氮化膜進行濕式刻蝕時,係使用濃度1%的氫氟酸含有液。
圖15(b)係表示各樣本之矽氮化膜之WER測定結果。圖15(b)之橫軸表示各樣本,縱軸表示WER(Å/min)。又,於此所謂WER,係指晶圓面內的平均值。
根據圖15(b),進行了對含矽層或矽氮化層供給經電漿激發之Ar氣體之改質步驟的樣本1及樣本2(實施例),係相較於未進行改質步驟的樣本3(比較例),其矽氮化膜之WER均較小,可知其對氫氟酸的耐性提升。其理由可認為,藉由進行改質步驟,使Ar氣體因電漿激發而生成的激發種,讓含矽層或矽氮化層中所含的氫或氯等雜質有效地脫離,因此提升了矽氮化膜的膜質。
另外,僅進行第1改質步驟、省略了第2改質步驟的樣本1,係相較於省略了第1改質步驟、僅進行第2改質步驟的樣本2,其WER更小,可知其更加提升了對氫氟酸的耐性。其理由可認為,相較於在使含矽層改質為矽氮化層後所進行的第2改質步驟,在含矽層形成後立即進行的第1改質步驟,可讓因DCS氣體之吸附反應等而含於含矽層之氫或氯等雜質更有效地脫離。
<改質步驟中之壓力所造成的影響>
接著,作為本發明之實施例,係藉由與第3實施形態相同的成膜程序(亦即僅進行第1改質步驟、省略了第2改質步驟的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本4~7。又,作為原料氣體係使用DCS氣體,作為含 氮氣體係使用NH3氣體,於第1改質步驟中使用Ar氣體作為改質氣體。尚且,在作成樣本4~7時之第1改質步驟中之處理室內的壓力,依序設為85Pa、44.5Pa、21.5Pa、12Pa。
圖16(a)簡便性地將樣本4~7之矽氮化膜形成時之氣體供給程序分別僅抽出1周期份而表示。任一樣本係均使用圖4所示之設有2個電漿發生部的基板處理裝置所作成,此時,DCS氣體對處理室內的供給,係依快速流動所進行。又,成膜時之晶圓溫度設為550℃。其以外的處理條件係設定為上述實施形態中之各步驟之處理條件的範圍內的值。
然後,分別測定各樣本中之矽氮化膜的WER、WER之晶圓面內範圍及WER之晶圓面內分佈。又,在對矽氮化膜進行濕式刻蝕時,係使用濃度1%的氫氟酸含有液。
圖16(b)係分別表示樣本4~7之矽氮化膜之WER測定結果。圖16(b)之橫軸表示各樣本,縱軸表示WER(Å/min)。又,於此所謂WER,係指晶圓面內的平均值。
圖17(a)係分別表示樣本4~7之矽氮化膜的WER之晶圓面內範圍測定結果。圖17(b)之橫軸表示各樣本,縱軸表示WER之得圓面內範圍(Å/min)。又,於此所謂WER之晶圓面內範圍,係指面內之最大值與最小值的差。
圖17(b)係分別表示樣本4~7之矽氮化膜之WER之晶圓面內分佈測定結果。圖17(b)之橫軸表示各樣本之測定位置,縱軸表示WER(Å/min)。又,WER係沿著晶圓直徑所測 定,橫軸值為0mm時係表示於晶圓中心部進行測定,±150mm係表示於晶圓周緣部進行測定。
根據圖16(b),可知第1改質步驟中之處理室壓力越降低,則矽氮化膜之WER變越小,對氫氟酸的耐性越提升。其理由可認為,藉由使第1改質步驟中之處理室內壓力降低,則使Ar氣體因電漿激發所生成的激發種的壽命延長,更有效地對晶圓供給激發種,因此提升了矽氮化膜的膜質。
另外,根據圖17(a)及(b)可知,第1改質步驟中之處理室內壓力越降低,則WER之晶圓面內範圍變越小,WER之晶圓面均分佈越均勻化。其理由可認為,藉由使第1改質步驟中之處理室內壓力降低,則使Ar氣體因電漿激發所生成的激發種的壽命延長,更有效地對晶圓中心部供給激發種,因此提升了矽氮化膜之晶圓面內的膜質均勻性。
(第2實施例)
<改質氣體之氣體種類所造成的影響>
作為本發明之實施例,係藉由與第3實施形態相同的成膜程序(亦即僅進行第1改質步驟、省略了第2改質步驟的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本1。又,作為原料氣體係使用DCS氣體,作為含氮氣體係使用NH3氣體,於第1改質步驟中使用Ar氣體作為改質氣體。
另外,作為本發明之實施例,係藉由與第1實施形態相同的成膜程序(亦即第1改質步驟及第2改質步驟均使用相同 種類之改質氣體而進行的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本2~4。在作成任一樣本時,均使用DCS氣體作為原料氣體,使用NH3氣體作為含氮氣體。又,於樣本2中,係於第1改質步驟及第2改質步驟中分別使用Ar氣體作為改質氣體。又,於樣本3中,係於第1改質步驟及第2改質步驟中分別使用H2氣體作為改質氣體。又,於樣本4中,係於第1改質步驟及第2改質步驟中分別使用N2氣體作為改質氣體。
另外,作為本發明之實施例,係藉由與第2實施形態相同的成膜程序(亦即第1改質步驟與第2改質步驟係替換改質氣體種類而進行的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本5。又,作為原料氣體係使用DCS氣體,作為含氮氣體係使用NH3氣體,於第1改質步驟中使用H2氣體作為改質氣體,於第2改質步驟中使用N2氣體作為改質氣體。
再者,作為比較例,係藉由以對收容於處理室內之直徑300mm之晶圓供給DCS氣體之步驟、對處理室內進行迫淨之步驟、對處理室內之晶圓供給經電漿激發之NH3氣體之步驟、與對處理室內進行迫淨之步驟作為1周期,並重複進行該周期複數次的通常的成膜程序,於晶圓上形成矽氮化膜而作成樣本6。
圖18(a)係簡便性地將樣本1~6之矽氮化膜形成時之氣體 供給程序分別僅抽出1周期份而表示。又,任一樣本係均使用圖4所示之設有2個電漿發生部的基板處理裝置所作成,此時,DCS氣體對處理室內的供給,係依快速流動所進行。又,成膜時之晶圓溫度設為550℃。其以外的處理條件係設定為上述實施形態中之各步驟之處理條件的範圍內的值。
然後,測定各樣本中之矽氮化膜的WER、WER之晶圓面內範圍、WER之晶圓面內分佈、及晶圓面內之膜厚分佈。又,在對矽氮化膜進行濕式刻蝕時,係使用濃度1%的氫氟酸含有液。
圖18(b)係分別表示樣本1~5之矽氮化膜之WER測定結果。圖18(b)之橫軸表示各樣本,縱軸表示WER(Å/min)。又,於此所謂WER,係指晶圓面內的平均值。又,樣本6之矽氮化膜的WER,由於與實施例1之樣本3相同,故省略圖示。
圖19(a)係分別表示樣本1~6之矽氮化膜之WER之晶圓面內範圍測定結果。圖19(a)之橫軸表示各樣本,縱軸表示WER之晶圓面內範圍(Å/min)。
圖19(b)係分別表示樣本2~5之矽氮化膜之WER之晶圓面內分佈的測定結果。圖19(b)之橫軸表示各樣本,縱軸表示WER(Å/min)。又,WER係沿著晶圓直徑所測定,橫軸值為0mm時係表示於晶圓中心部進行測定,±150mm係表示於晶圓周緣部進行測定。
圖20係表示樣本1~6之矽氮化膜之晶圓面內之膜厚均勻性的測定結果。圖20之橫軸表示各樣本,縱軸表示晶圓面內之膜厚均勻性(±%)。又,圖20中,縱軸的數值越小,表示矽氮化膜之膜厚均勻性越石。
根據圖18(b),可知進行了改質步驟之樣本1~5,係相較於未進行改質步驟之樣本6(比較例),其矽氮化膜之WER均較小,對氫氟酸的耐性提升。亦即,可知在使用Ar氣體作為改質氣體時(樣本1、2)、在使用H2氣體作為改質氣體時(樣本3)、在使用N2氣體作為改質氣體時(樣本4)的任一情況,矽氮化膜的膜質均提升,對氫氟酸的耐性均提升。又,可知在第1改質步驟與第2改質步驟中替換了改質氣體種類時(樣本5),仍與上述同樣地,矽氮化膜之膜質提升,對氫氟酸的耐性提升。亦即,可知不論依何種組合使用Ar氣體、H2氣體、N2氣體作為改質氣體,均可使含矽層或矽氮化層中所含之氫或氯等雜質有效率地脫離,藉此可改善矽氮化膜的膜質。
尚且,根據圖19(a)及(b),可知在第1改質步驟及第2改質步驟中分別使用H2氣體作為改質氣體而作成的樣本3,係矽氮化膜之WER之晶圓面內範圍為最小,WER之晶圓面內均勻性為最高。又,可知關於在第1改質步驟中使用H2氣體作為改質氣體、於第2改質步驟中使用N2氣體作為改質氣體而作成的樣本5,係WER之晶圓面內範圍為第2小, WER之晶圓面內均勻性為第2高。其理由可認為,藉由使H2氣體因電漿激發而生成的激發種,其壽命較使N2氣體或Ar氣體因電漿激發而生成的激發種長,故在使用H2氣體作為改質氣體時,可更有效地對晶圓中心部供給激發種,因此可更加促進雜質由晶圓中心部之矽氮化膜的脫離。
另外,根據圖20可知,於第1改質步驟及第2改質步驟中分別使用Ar氣體作為改質氣體的樣本2,或於第1改質步驟及第2改質步驟中分別使用N2氣體作為改質氣體的樣本4,係矽氮化膜之晶圓面內中之膜厚均勻性較高。相對於此,可知於第1改質步驟及第2改質步驟中分別使用H2氣體作為改質氣體的樣本3,係矽氮化膜之晶圓面內中之膜厚均勻性較低。其理由可認為,使N2氣體或Ar氣體因電漿激發而生成的激發種,較使H2氣體因電漿激發而生成之激發種更重,故在使用N2氣體或Ar氣體作為改質氣體時,於矽氮化膜之膜厚容易變厚的晶圓周緣部,可使矽氮化膜之構成成分的分解反應或脫離反應發生。又,可認為如使H2氣體因電漿激發所生成之激發種般相對較輕的激發種,將難以在晶圓周緣部中促進矽氮化膜之構成成分的分解反應或脫離反應。然而,可知在第1改質步驟中使用H2氣體作為改質氣體、在第2改質步驟中使用N2氣體作為改質氣體而作成的樣本5中,係矽氮化膜之晶圓面內中之膜厚均勻性呈良好狀態。由此等情況可知,即使是於一方之改質步驟中使用 H2氣體作為改質氣體的情況,藉由於另一改質步驟中使用N2氣體或Ar氣體作為改質氣體,則可使矽氮化膜之晶圓面內中之膜厚均勻性成為良好狀態。
由此等結果可知,藉由例如樣本5般,於第1改質步驟中使用H2氣體作為改質氣體、於第2改質步驟中使用N2氣體作為改質氣體而形成矽氮化膜,亦即藉由於一方之改質步驟中使用H2氣體作為改質氣體、於另一方之改質步驟中仲用N2氣體或Ar氣體作為改質氣體,則可分別改善矽氮化膜之晶圓面內中之WER均勻性(亦即膜質均勻性)及膜厚均勻性。
(第3實施例)
<迫淨時間之長短所造成的影響>
作為本發明之實施例,係藉由與第2實施形態相同的成膜程序(亦即第1改質步驟及第2改質步驟係替換改質氣體種類而進行的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本1~4。又,作為原料氣體係使用DCS氣體,作為含氮氣體係使用NH3氣體,於第1改質步驟中使用H2氣體作為改質氣體,於第2改質步驟中使用N2氣體作為改質氣體。又,在形成各樣本時,係改變每1周期之迫淨步驟的實施時間。亦即,於樣本1中,係將每1周期之第1迫淨步驟及第2迫淨步驟的實施時間分別設為4秒。又,於樣本2中,係將每1周期之第1迫淨步驟及第2迫淨步驟的實施 時間分別設為2秒。又,於樣本3中,係將每1周期之第1迫淨步驟的實施時間設為4秒,將第2迫淨步驟的實施時間設為2秒。又,於樣本4中,係將每1周期之第1迫淨步驟的實施時間設為2秒,將第2迫淨步驟的實施時間設為4秒。
再者,作為本發明之實施例,係藉由與第5實施形態相同的成膜程序(亦即省略第1改質步驟、連續進行含氮氣體供給步驟與第2改質步驟的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本5。又,作為原料氣體係使用DCS氣體,作為含氮氣體係使用NH3氣體,於第1改質步驟中使用H2氣體作為改質氣體,於第2改質步驟中使用N2氣體作為改質氣體。又,每1周期之第1迫淨步驟的實施時間設為4秒。
圖21(a)簡便性地將樣本1~5之矽氮化膜形成時之氣體供給程序分別僅抽出1周期份而表示。又,圖中之網線部分係表示各迫淨步驟,網線部分內之數字表示各迫淨步驟的實施時間。又,任一樣本係均使用圖4所示之設有2個電漿發生部的基板處理裝置所作成,此時,DCS氣體對處理室內的供給,係依快速流動所進行。又,成膜時之晶圓溫度設為550℃。其以外的處理條件係設定為上述實施形態中之各步驟之處理條件的範圍內的值。
然後,分別測定各樣本中之矽氮化膜的WER之晶圓面內 範圍及晶圓面內之膜厚分佈。又,在對矽氮化膜進行濕式刻蝕時,係使用濃度1%的氫氟酸含有液。
圖21(b)係分別表示樣本1~5之矽氮化膜的WER之晶圓面內範圍測定結果。圖21(b)之橫軸表示各樣本,縱軸表示WER之得圓面內範圍(Å/min)。
圖21(c)係分別表示樣本1~5之矽氮化膜之晶圓面內之膜厚均勻性的測定結果。圖21(c)之橫軸表示各樣本,縱軸表示晶圓面內中之膜厚均勻性(±%)。又,圖21(c)中,縱軸的數值越小,表示矽氮化膜之膜厚均勻性越高。
根據圖21(b),可知於樣本1~5之間,矽氮化膜之WER之晶圓面內範圍並無變化(亦即,晶圓面內之膜質均勻性無太大變化)。
然而,根據圖21(c),可知樣本1~5之間,於矽氮化膜之晶圓面內中之膜厚均勻性發生較大差異。亦即,在將每1周期之第1迫淨步驟實施時間減短為2秒的樣本2、4中,不論第2迫淨步驟之實施時間如何,其矽氮化膜之晶圓面內中之膜厚均勻性均大幅降低。相對於此,可知在將每1周期之第1迫淨步驟實施時間設為4秒的樣本1、3、5中,不論第2迫淨步驟之實施時間或有無實施,其矽氮化膜之晶圓面內中之膜厚均勻性均呈良好狀態。亦即,可知若第1迫淨步驟實施時間不足,則矽氮化膜之晶圓面內中之膜厚均勻性降低。又,即使第2迫淨步驟實施時間不足,對矽氮化膜之晶 圓面內中之膜厚均勻性亦幾乎不造成影響。
若第1迫淨步驟實施時間不足,則矽氮化膜之晶圓面內中之膜厚均勻性降低的情形,可認為係因若第1迫淨步驟實施時間不足,則依於處理室內殘留DCS氣體的狀態開始第1改質步驟所致。亦即,其理由可認為,於第1改質步驟中,因使H2氣體經電漿激發而生成的激發種,於晶圓周緣部中,使殘留於處理室內之DCS氣體的分解或吸附反應發生或促進所致。
另外,即使第2迫淨步驟之實施時間不足,仍對矽氮化膜之晶圓面內中之膜厚均勻性幾乎不造成影響的情形,可認為係因即使依於處理室內殘留NH3氣體的狀態開始第2改質步驟,因使N2氣體經電漿激發而生成的激發種,而發生NH3氣體的分解反應,脫離反應仍較吸附反應更具有優勢所致。
(第4實施例)
作為本發明之實施例,係藉由與第5實施形態相同的成膜程序(亦即省略第2迫淨、連續進行含氮氣體供給步驟與第2改質步驟的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本1~5。又,作為原料氣體係使用DCS氣體,作為含氮氣體係使用NH3氣體,於第1改質步驟中使用H2氣體作為改質氣體,於第2改質步驟中使用N2氣體作為改質氣體。又,作成樣本1~5時之晶圓溫度(成膜溫度),係依序設為350℃、400℃、450℃、500℃、550℃。每1周期之 第1迫淨步驟的實施時間分別設為4秒。
另外,作為比較例,係藉由以對收容於處理室內之直徑300mm之晶圓供給DCS氣體之步驟、對處理室內進行迫淨之步驟、對處理室內之晶圓供給經電漿激發之NH3氣體之步驟、與對處理室內進行迫淨之步驟作為1周期,並重複進行該周期複數次的通常的成膜程序,於晶圓上形成矽氮化膜而作成樣本6~10。又,作成樣本6~10時之晶圓溫度(成膜溫度),係依序設為350℃、400℃、450℃、500℃、550℃。
作為本發明之實施例,係藉由與第5實施形態相同的成膜程序(亦即省略第2迫淨、連續進行含氮氣體供給步驟與第2改質步驟的成膜程序),於直徑300mm之晶圓上形成矽氮化膜而作成樣本11~13。又,作為原料氣體係使用MCS氣體,作為含氮氣體係使用NH3氣體,於第1改質步驟中使用H2氣體作為改質氣體,於第2改質步驟中使用N2氣體作為改質氣體。又,作成樣本11~13時之晶圓溫度(成膜溫度),係依序設為400℃、450℃、500℃。每1周期之第1迫淨步驟的實施時間分別設為4秒。
另外,作為參考例,係藉由以對收容於處理室內之直徑300mm之晶圓供給MCS氣體之步驟、對處理室內進行迫淨之步驟、對處理室內之晶圓供給經電漿激發之NH3氣體之步驟、與對處理室內進行迫淨之步驟作為1周期,並重複進行該周期複數次的通常的成膜程序,於晶圓上形成矽氮化膜 而作成樣本14~16。又,作成樣本14~16時之晶圓溫度(成膜溫度),係依序設為400℃、450℃、500℃。
尚且,任一樣本係均使用圖4所示之設有2個電漿發生部的基板處理裝置所作成,此時,原料氣體(DCS氣體或MCS氣體)對處理室內的供給,係依快速流動所進行。其以外的處理條件係設定為上述實施形態中之各步驟之處理條件的範圍內的值。
然後,分別測定各樣本中之矽氮化膜的WER。又,在對矽氮化膜進行濕式刻蝕時,係使用濃度1%的氫氟酸含有液。
圖22(a)係表示矽氮化膜之WER與成膜溫度間之關係的圖。圖22(a)之橫軸表示矽氮化膜形成時的成膜溫度,縱軸表示WER(Å/min)。又,於此所謂WER,係指晶圓面內的平均值。圖中之記號係由左起依序表示樣本1~5(實施例),◆記號係由左起依序表示樣本6~10(比較例),●記號係由左起依序表示樣本11~13(實施例),▲記號係由左起依序表示樣本14~16(參考例)。圖22(b)係圖22(a)的部分放大圖,亦即截取WER為350(Å/min)以下之範圍並放大的圖。
根據圖22(a)及(b)可知,於550℃以下的低溫區域,樣本1~5(實施例)之矽氮化膜,係相較於樣本6~10(比較例)之矽氮化膜,其WER分別變較小,對氫氟酸的耐性提升。其理由可認為,藉由進行第1改質步驟與第2改質步驟,使H2氣體或N2氣體經電漿激發而生成的激發種,將使含矽層或 矽氮化層中所含之氫或氯等雜質有效地脫離,藉此,使矽氮化膜的膜質提升。又,樣本1~5(實施例)之矽氮化膜,係確認到面內之WER均勻性、亦即面內中之膜質均勻性良好,且面內中之膜厚均勻性亦良好。
例如,根據圖22(a)及(b)可知,於550℃以下的低溫區域,樣本14~16(參考例)之矽氮化膜,係相較於樣本6~10(比較例)之矽氮化膜,其WER分別變較小,對氫氟酸的耐性提升。其理由可認為,藉由使用含氯率較DCS低的MCS氣體作為原料氣體,可減低矽氮化膜中之氯濃度,藉此可提升矽氮化膜對氫氟酸的耐性。
又,根據圖22(a)及(b)可知,於550℃以下的低溫區域,樣本11~13(實施例)之矽氮化膜,係相較於樣本1~5(實施例)之矽氮化膜,其WER分別變得更小,對氫氟酸的耐性更加提升。其理由可認為,除了藉由使用含氯率較DCS氣體低之MCS氣體所造成的上述效果之外,即使在使用MCS氣體的情況下藉由進行第1改質步驟及第2改質步驟,則使H2氣體或N2氣體經電漿激發而生成的活性種(激發種),將使含矽層或矽氮化層中所含之氫或氯等雜質有效地脫離,藉此,可使矽氮化膜的膜質提升。又,樣本11~13(實施例)之矽氮化膜,係確認到面內之WER均勻性、亦即面內中之膜質均勻性良好,且面內中之膜厚均勻性亦良好。
由此等結果可知,藉由使用MCS氣體作為原料氣體,在 MCS氣體供給步驟後且NH3氣體供給步驟前、以及NH3氣體供給步驟後且MCS氣體供給步驟前,進行上述改質步驟,則可使矽氮化膜對氫氟酸的耐性(亦即膜質)更加提升。又,可知藉由使用MCS氣體作為原料氣體,則由於即使縮短改質步驟的實施時間,仍可形成良好膜質的矽氮化膜,故可更加提升成膜時的生產性。
<本發明之較佳態樣>
以下附記本發明之較佳態樣。
(附記1)
根據本發明之一態樣,提供一種半導體裝置之製造方法,係具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:(a)對基板供給原料氣體之步驟;(b)對上述基板供給經電漿激發之含氫氣體之步驟;(c)對上述基板供給經電漿激發或熱激發之氮化氣體之步驟;(d)對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
(附記2)
如附記1之半導體裝置之製造方法,較佳係:於上述(a)步驟後之既定期間、及上述(c)步驟後之既定期間之其中一期間內,進行上述(b)步驟; 於與上述(a)步驟後之既定期間、及上述(c)步驟後之既定期間之上述其中一期間相異的另一期間內,進行上述(d)步驟。
(附記3)
如附記1之半導體裝置之製造方法,較佳係:於上述(a)步驟後之上述經電漿激發或熱激發之氮氣供給停止期間、及上述(c)步驟後之上述原料氣體之供給停止期間之其中一期間內,進行上述(b)步驟;於與上述(a)步驟後之上述經電漿激發或熱激發之氮氣供給停止期間、及上述(c)步驟後之上述原料氣體之供給停止期間之其中一期間相異的另一期間內,進行上述(d)步驟;
(附記4)
如附記1之半導體裝置之製造方法,較佳係:於上述(a)步驟且上述(c)步驟前的期間、及上述(c)步驟後且上述(a)步驟前的期間之其中一期間內,進行上述(b)步驟;於與上述(a)步驟且上述(c)步驟前的期間、及上述(c)步驟後且上述(a)步驟前的期間之其中一期間相異的另一期間內,進行上述(d)步驟;
(附記5)
如附記1之半導體裝置之製造方法,較佳係:於上述(a)步驟後的既定期間,進行上述(b)步驟;於上述(c)步驟後的既定期間,進行上述(d)步驟;
(附記6)
如附記1之半導體裝置之製造方法,較佳係:於上述(a)步驟中,於上述基板上形成層;於上述(b)步驟中,對上述層進行第1改質處理;於上述(c)步驟中,使上述經第1改質處理的上述層變化成氮化層;於上述(d)步驟中,對上述氮化層進行第2改質處理。
(附記7)
如附記1之半導體裝置之製造方法,較佳係:於上述(a)步驟中,於上述基板上形成層;於上述(d)步驟中,對上述層進行第1改質處理;於上述(c)步驟中,使上述經第1改質處理的上述層變化成氮化層;於上述(b)步驟中,對上述氮化層進行第2改質處理。
(附記8)
如附記1之半導體裝置之製造方法,較佳係:於上述(a)步驟中,於上述基板上形成層;於上述(c)步驟中,使上述層變化成氮化層;於上述(b)步驟中,對上述氮化層進行第1改質處理;於上述(d)步驟中,對上述氮化層進行第2改質處理。
(附記9)
如附記1至8中任一項之半導體裝置之製造方法,較佳係: 上述各步驟係依將上述基板收容於處理室內的狀態進行;並進一步具有:於上述(a)步驟後對上述處理室內進行迫淨的第1迫淨步驟;與於上述(c)步驟後對上述處理室內進行迫淨的第2迫淨步驟。
(附記10)
如附記9之半導體裝置之製造方法,較佳係:將上述第1迫淨步驟之迫淨時間設為較上述第2迫淨步驟之迫淨時間長。
(附記11)
如附記9之半導體裝置之製造方法,較佳係:省略上述第2迫淨步驟。
(附記12)
如附記1至11中任一項之半導體裝置之製造方法,較佳係:連續地進行上述(b)步驟、上述(c)步驟、與上述(d)步驟。
(附記13)
如附記1至12中任一項之半導體裝置之製造方法,較佳係:上述各步驟係依將上述基板收容於處理室內之狀態進行;上述(b)步驟、上述(c)步驟與上述(d)步驟之間並不進行對 上述處理室內進行迫淨的步驟,而連續進行上述步驟。
(附記14)
如附記1至13中任一項之半導體裝置之製造方法,較佳係:於上述(c)步驟中,係對上述基板供給經電漿激發的氮化氣體。
(附記15)
根據本發明之其他態樣,提供一種半導體裝置之製造方法,係具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:(a)對基板供給原料氣體之步驟;(b)對上述基板供給經電漿激發之含氫氣體之步驟;(c)對上述基板供給經電漿激發之氮化氣體之步驟;(d)對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
(附記16)
如附記1至15中任一項之半導體裝置之製造方法,較佳係:於上述(b)步驟中,將在複數之激發部被電漿激發之含氫氣體,由上述各激發部對上述基板進行供給。
(附記17)
如附記1至16中任一項之半導體裝置之製造方法,較佳 係:於上述(d)步驟中,將在複數之激發部被電漿激發之氮氣及在複數之激發部被電漿激發之稀有氣體中之至少任一者,由上述各激發部對上述基板進行供給。
(附記18)
如附記1至17中任一項之半導體裝置之製造方法,較佳係:於上述(c)步驟中,將在複數之激發部被電漿激發或熱激發之氮化氣體,由上述各激發部對上述基板進行供給。
(附記19)
根據本發明之其他態樣,提供一種半導體裝置之製造方法,係具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:(a)對基板供給原料氣體之步驟;(b)將在複數之激發部被電漿激發之含氫氣體,由上述各激發部對上述基板進行供給之步驟;(c)將在複數之激發部被電漿激發之氮化氣體,由上述各激發部對上述基板進行供給之步驟;(d)將在複數之激發部被電漿激發之氮氣及在複數之激發部被電漿激發之稀有氣體中之至少任一者,由上述各激發部對上述基板進行供給之步驟。
(附記20)
如附記16至19中任一項之半導體裝置之製造方法,較佳係:上述複數之激發部,係配置成以連接上述基板中心與將供給至上述處理室內之氣體進行排氣之排氣口之中心的直線為對象軸而呈線對稱。
(附記21)
如附記16至19中任一項之半導體裝置之製造方法,較佳係:上述複數之激發部,係配置成挾持上述基板之中心而呈相對向。
(附記22)
如附記16至19中任一項之半導體裝置之製造方法,較佳係:上述激發部係設為2個,配置成使連接各激發部與將供給至上述處理室內之氣體進行排氣之排氣口的直線構成為二等邊三角形。
(附記23)
如附記1至22中任一項之半導體裝置之製造方法,較佳係:上述形成氮化膜的步驟中,係使上述基板旋轉。
(附記24)
如附記1至23中任一項之半導體裝置之製造方法,較佳 係:上述形成氮化膜的步驟中,係將上述基板之溫度設為300℃以上且650℃以下。
如附記1至24中任一項之半導體裝置之製造方法,較佳係:上述形成氮化膜的步驟中,係將上述基板之溫度設為300℃以上且600℃以下。
(附記26)
如附記1至25中任一項之半導體裝置之製造方法,較佳係:上述氮化氣體含有氨氣;上述含氫氣體含有氫氣;上述稀有氣體含有氬氣及氦氣中之至少任一者。
(附記27)
如附記1至26中任一項之半導體裝置之製造方法,較佳係:上述原料氣體含有矽烷系原料氣體。
(附記28)
如附記1至21中任一項之半導體裝置之製造方法,較佳係:上述原料氣體含有氯矽烷系原料氣體。
(附記29)
如附記1至22中任一項之半導體裝置之製造方法,較佳係:上述原料氣體含有二氯矽烷氣體及單氯矽烷氣體中之至少任一者。
(附記30)
根據本發明之其他態樣,提供一種基板處理方法,其具有進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:(a)對基板供給原料氣體之步驟;(b)對上述基板供給經電漿激發之含氫氣體之步驟;(c)對上述基板供給經電漿激發或熱激發之氮化氣體之步驟;(d)對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
(附記31)
根據本發明之其他態樣,提供一種基板處理裝置,係具有:處理容器,用以收容基板;第1氣體供給系統,對上述處理容器內之基板供給原料氣體;第2氣體供給系統,對上述處理容器內之基板供給氮化氣體; 第3氣體供給系統,對上述處理容器內之基板供給含氫氣體;與第4氣體供給系統,對上述處理容器內之基板供給氮氣及稀有氣體中之至少任一者;激發部,使氣體被電漿激發或熱激發的激發部;與控制器,係依下述方式控制上述第1氣體供給系統、上述第2氣體供給系統、上述第3氣體供給系統、上述第4氣體供給系統及上述激發部:藉由進行既定次數之含有下述處理的周期,而進行於上述基板上形成氮化膜的處理:(a)對上述處理室內之基板供給原料氣體之處理;(b)對上述處理室內之上述基板供給經電漿激發之含氫氣體之處理;(c)對上述處理室內之上述基板供給經電漿激發或熱激發之氮化氣體之處理;(d)對上述處理室內之上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之處理。
(附記32)
根據本發明之其他態樣,提供一種程式,係使電腦執行藉由進行既定次數之含有下述程序的周期,而於基板上形成氮化膜的程序:(a)對基板處理裝置之處理室內的基板供給原料氣體之程序;(b)對上述處理室內之上述基板供給經電漿激發之含氫氣 體之程序;(c)對上述處理室內之上述基板供給經電漿激發或熱激發之氮化氣體之程序;(d)對上述處理室內之上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之程序。
(附記33)
根據本發明之其他態樣,提供一種記錄媒體,其係記錄了下述程式之電腦可讀取者;該程式係使電腦執行藉由進行既定次數之含有下述程序的周期,而於基板上形成氮化膜的程序:(a)對基板處理裝置之處理室內的基板供給原料氣體之程序;(b)對上述處理室內之上述基板供給經電漿激發之含氫氣體之程序;(c)對上述處理室內之上述基板供給經電漿激發或熱激發之氮化氣體之程序;(d)對上述處理室內之上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之程序。
115‧‧‧板升降器
121‧‧‧控制器(控制部)
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧記憶裝置
121e‧‧‧內部匯流排
121d‧‧‧I/O埠
122‧‧‧輸出入裝置
123‧‧‧外部記憶裝置
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
217‧‧‧板
218‧‧‧斷熱構件
219‧‧‧密封蓋
220‧‧‧O型環
224b、224c‧‧‧電漿生成區域
231‧‧‧排氣管
231a‧‧‧排氣口
232a‧‧‧第1氣體供給管
232b‧‧‧第2氣體供給管
232c‧‧‧第3氣體供給管
232d‧‧‧第4氣體供給管
232e‧‧‧第5氣體供給管
232f‧‧‧第6氣體供給管
232g‧‧‧第7氣體供給管
232h‧‧‧第8氣體供給管
232i‧‧‧第9氣體供給管
232j‧‧‧第1惰性氣體供給管
232k‧‧‧第2惰性氣體供給管
232l‧‧‧第3惰性氣體供給管
232m‧‧‧第4惰性氣體供給管
232n‧‧‧第5惰性氣體供給管
232o‧‧‧第6惰性氣體供給管
232p‧‧‧第7惰性氣體供給管
233a‧‧‧第1噴嘴
233b‧‧‧第2噴嘴
233c‧‧‧第3噴嘴
237b、237c‧‧‧緩衝室
238b、238c‧‧‧氣體供給孔
241a、241b、241c、241d、241e、241f、241g、241h、241j、241k、241l、241m、241o、241p‧‧‧質量流率控制器
243a、243a’、243b、243c、243d、243e、243f、243g、243h、243i、243j、243l、243m、243o、243p‧‧‧閥
244‧‧‧APC閥(壓力調整部)
245‧‧‧壓力感應器
246‧‧‧真空泵
248a、248b、248c‧‧‧氣體供給孔
250a‧‧‧氣體滯留部
255‧‧‧旋轉軸
263‧‧‧溫度感應器
267‧‧‧旋轉機構
269b、269c‧‧‧第1棒狀電極
270b、270c‧‧‧第2棒狀電極
272‧‧‧整合器
273‧‧‧高頻電源
275b、275c‧‧‧電極保護管
圖1為本發明實施形態所適合使用之基板處理裝置之縱型處理爐之概略構成圖,以縱剖面圖表示處理爐一部分。
圖2為本發明實施形態所適合使用之基板處理裝置之縱 型處理爐之概略構成圖,以圖1之A-A線剖面圖表示處理爐一部分。
圖3為本發明實施形態所適合使用之基板處理裝置之縱型處理爐之變形例的概略構成圖,以縱剖面圖表示處理爐一部分。
圖4為本發明實施形態中所適合使用之基板處理裝置之控制器的概略構成圖。
圖5為表示本發明第1實施形態之成膜流程的圖。
圖6為表示本發明第2實施形態之成膜流程的圖。
圖7為表示本發明第3實施形態之成膜流程的圖。
圖8為表示本發明第4實施形態之成膜流程的圖。
圖9為表示本發明第5實施形態之成膜流程的圖。
圖10為表示本發明第1實施形態中之氣體供給及電漿電源供給之時機的圖。
圖11為表示本發明第2實施形態中之氣體供給及電漿電源供給之時機的圖。
圖12為表示本發明第3實施形態中之氣體供給及電漿電源供給之時機的圖。
圖13為表示本發明第4實施形態中之氣體供給及電漿電源供給之時機的圖。
圖14為表示本發明第5實施形態中之氣體供給及電漿電源供給之時機的圖。
圖15(a)為表示本發明實施例1及比較例之矽氮化膜形成時之氣體供給程序的圖,(b)為表示本發明實施例1及比較例之矽氮化膜之濕式蝕刻速率測定結果的圖。
圖16(a)為表示本發明實施例1之矽氮化膜形成時之氣體供給程序的圖,(b)為表示本發明實施例1之矽氮化膜之蝕刻速率測定結果的圖。
圖17(a)為表示本發明實施例1之矽氮化膜之濕式蝕刻速率於晶圓面內範圍之測定結果的圖,(b)為表示本發明實施例1之矽氮化膜之濕式蝕刻速率於晶圓面內分佈之測定結果的圖。
圖18(a)為表示本發明實施例2及比較例之矽氮化膜形成時之氣體供給程序的圖,(b)為表示本發明實施例2之矽氮化膜之濕式蝕刻速率測定結果的圖。
圖19(a)為表示本發明實施例2及比較例之矽氮化膜之濕式蝕刻速率於晶圓面內範圍之測定結果的圖,(b)為表示本發明實施例2之矽氮化膜之濕式蝕刻速率於晶圓面內分佈之測定結果的圖。
圖20為表示本發明實施例2之矽氮化膜的面內膜厚均勻性與改質步驟中所使用之氣體種類間之關係的圖。
圖21(a)為表示本發明實施例3之矽氮化膜形成時之氣體供給程序的圖,(b)表示本發明實施例3之矽氮化膜之濕式蝕刻速率於晶圓面內範圍之測定結果的圖,(c)為表示本發 明實施例3之矽氮化膜之面內膜厚均勻性之測定結果的圖。
圖22(a)為表示本發明實施例4之矽氮化膜之濕式蝕刻速率與成膜溫度間之關係的圖,(b)為其部分放大圖。
圖23為表示矽氮化膜之濕式蝕刻速率與成膜速率間之關係的圖。
115‧‧‧板升降器
121‧‧‧控制器(控制部)
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
217‧‧‧板
218‧‧‧斷熱構件
219‧‧‧密封蓋
220‧‧‧O型環
231‧‧‧排氣管
245‧‧‧壓力感應器
244‧‧‧APC閥(壓力調整部)
246‧‧‧真空泵
231a‧‧‧排氣口
232b‧‧‧第2氣體供給管
232c‧‧‧第3氣體供給管
232k‧‧‧第2惰性氣體供給管
241a、241b、241c、241d、241e、241f、241g、241h、241i、241j、241k、241l、241m、241n、241o、241p‧‧‧質量流率控制器
243a、243b、243c、243d、243e、243f、243g、243h、243i、243j、243k、243l、243m、243n、243o、243p‧‧‧閥
232d‧‧‧第4氣體供給管
232m‧‧‧第4惰性氣體供給管
232f‧‧‧第6氣體供給管
232o‧‧‧第6惰性氣體供給管
232h‧‧‧第8氣體供給管
232l‧‧‧第3惰性氣體供給管
232e‧‧‧第5氣體供給管
232n‧‧‧第5惰性氣體供給管
232g‧‧‧第7氣體供給管
232p‧‧‧第7惰性氣體供給管
232i‧‧‧第9氣體供給管
232a‧‧‧第1氣體供給管
232j‧‧‧第1惰性氣體供給管
233a‧‧‧第1噴嘴
233b‧‧‧第2噴嘴
233c‧‧‧第3噴嘴
237b、237c‧‧‧緩衝室
238b、238c‧‧‧氣體供給孔
248a、248b、248c‧‧‧氣體供給孔
255‧‧‧旋轉軸
267‧‧‧旋轉機構

Claims (18)

  1. 一種半導體裝置之製造方法,係具有藉由進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:(a)對上述基板供給原料氣體之步驟;(b)對上述基板供給經電漿激發之含氫氣體之步驟;(c)對上述基板供給經電漿激發或熱激發之氮化氣體之步驟;以及(d)對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(a)步驟後之既定期間、及上述(c)步驟後之既定期間之其中一期間內,進行上述(b)步驟;於與上述(a)步驟後之既定期間、及上述(c)步驟後之既定期間之上述其中一期間相異的另一期間內,進行上述(d)步驟。
  3. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(a)步驟後之上述經電漿激發或熱激發之氮化氣體之供給停止期間、及上述(c)步驟後之上述原料氣體之供給停止期間之其中一期間內,進行上述(b)步驟;於與上述(a)步驟後之上述經電漿激發或熱激發之氮化氣體之供給停止期間、及上述(c)步驟後之上述原料氣體之供給停止期間之上述其中一期間相異的另一期間內,進行上述 (d)步驟。
  4. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(a)步驟且上述(c)步驟前的期間、及上述(c)步驟後且上述(a)步驟前的期間之其中一期間內,進行上述(b)步驟;於與上述(a)步驟且上述(c)步驟前的期間、及上述(c)步驟後且上述(a)步驟前的期間之上述其中一期間相異的另一期間內,進行上述(d)步驟。
  5. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(a)步驟後的既定期間,進行上述(b)步驟;於上述(c)步驟後的既定期間,進行上述(d)步驟。
  6. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述形成氮化膜之步驟中,進行既定次數之下述周期,該周期係依序進行上述(a)步驟、上述(b)步驟、上述(c)步驟、上述(d)步驟者。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(a)步驟中,於上述基板上形成層;於上述(b)步驟中,對上述層進行第1改質處理;於上述(c)步驟中,使經上述第1改質處理的上述層變化成氮化層;於上述(d)步驟中,對上述氮化層進行第2改質處理。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(a)步驟中,於上述基板上形成層; 於上述(d)步驟中,對上述層進行第1改質處理;於上述(c)步驟中,使經上述第1改質處理的上述層變化成氮化層;於上述(b)步驟中,對上述氮化層進行第2改質處理。
  9. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(a)步驟中,於上述基板上形成層;於上述(c)步驟中,使上述層變化成氮化層;於上述(b)步驟中,對上述氮化層進行第1改質處理;於上述(d)步驟中,對上述氮化層進行第2改質處理。
  10. 如申請專利範圍第1項之半導體裝置之製造方法,其中,連續地進行上述(b)步驟、上述(c)步驟與上述(d)步驟。
  11. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述各步驟係依將上述基板收容於處理室內的狀態進行;上述(b)步驟、上述(c)步驟與上述(d)步驟之間並不進行對上述處理室內進行迫淨的步驟,而連續進行上述步驟。
  12. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(c)步驟中,係對上述基板供給經電漿激發的氮化氣體。
  13. 如申請專利範圍第1項之半導體裝置之製造方法,其 中,於上述(b)步驟中,將在複數之激發部被電漿激發之含氫氣體,由上述各激發部對上述基板進行供給。
  14. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(d)步驟中,將在複數之激發部被電漿激發之氮氣及在複數之激發部被電漿激發之稀有氣體中之至少任一者,由上述各激發部對上述基板進行供給。
  15. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述(c)步驟中,將在複數之激發部被電漿激發或熱激發之氮化氣體,由上述各激發部對上述基板進行供給。
  16. 一種基板處理方法,其具有藉由進行既定次數之含有下述步驟的周期,而於基板上形成氮化膜的步驟:(a)對上述基板供給原料氣體之步驟;(b)對上述基板供給經電漿激發之含氫氣體之步驟;(c)對上述基板供給經電漿激發或熱激發之氮化氣體之步驟;以及(d)對上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之步驟。
  17. 一種基板處理裝置,係具有:處理室,用以收容基板; 第1氣體供給系統,對上述處理室內之基板供給原料氣體;第2氣體供給系統,對上述處理室內之基板供給氮化氣體;第3氣體供給系統,對上述處理室內之基板供給含氫氣體;第4氣體供給系統,對上述處理室內之基板供給氮氣及稀有氣體中之至少任一者;激發部,使氣體被電漿激發或熱激發;與控制部,係依下述方式控制上述第1氣體供給系統、上述第2氣體供給系統、上述第3氣體供給系統、上述第4氣體供給系統及上述激發部,俾藉由進行既定次數之含有下述處理的周期,而進行於上述基板上形成氮化膜的處理:(a)對上述處理室內之上述基板供給原料氣體之處理;(b)對上述處理室內之上述基板供給經電漿激發之含氫氣體之處理;(c)對上述處理室內之上述基板供給經電漿激發或熱激發之氮化氣體之處理;與(d)對上述處理室內之上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之處理。
  18. 一種記錄媒體,其係記錄了下述程式之電腦可讀取者;該程式係使電腦執行藉由進行既定次數之含有下述程序的周期,而於基板上形成氮化膜的程序: (a)對基板處理裝置之處理室內的上述基板供給原料氣體之程序;(b)對上述處理室內之上述基板供給經電漿激發之含氫氣體之程序;(c)對上述處理室內之上述基板供給經電漿激發或熱激發之氮化氣體之程序;(d)對上述處理室內之上述基板供給經電漿激發之氮氣及經電漿激發之稀有氣體中之至少任一者之程序。
TW101132696A 2011-10-07 2012-09-07 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體 TWI475599B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011223134 2011-10-07
JP2012181859A JP6088178B2 (ja) 2011-10-07 2012-08-20 半導体装置の製造方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
TW201318038A true TW201318038A (zh) 2013-05-01
TWI475599B TWI475599B (zh) 2015-03-01

Family

ID=48616428

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101132696A TWI475599B (zh) 2011-10-07 2012-09-07 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體

Country Status (3)

Country Link
US (2) US8956984B2 (zh)
JP (1) JP6088178B2 (zh)
TW (1) TWI475599B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104831255A (zh) * 2014-02-10 2015-08-12 东京毅力科创株式会社 基板处理方法和基板处理装置

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR101551199B1 (ko) * 2013-12-27 2015-09-10 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 반도체 소자
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6470057B2 (ja) * 2015-01-29 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6390472B2 (ja) * 2015-03-09 2018-09-19 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP6023854B1 (ja) * 2015-06-09 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6478330B2 (ja) 2016-03-18 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6546872B2 (ja) * 2016-04-07 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
JP6652644B2 (ja) 2016-07-21 2020-02-26 株式会社Kokusai Electric プラズマ生成装置、基板処理装置、半導体装置の製造方法及びプログラム
JP6942188B2 (ja) * 2017-01-13 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低温窒化ケイ素膜のための方法及び装置
JP6728087B2 (ja) 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6778144B2 (ja) 2017-04-25 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
JP7195241B2 (ja) * 2019-01-09 2022-12-23 東京エレクトロン株式会社 窒化膜の成膜方法、および窒化膜の成膜装置
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
JP7209568B2 (ja) * 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2021053987A1 (ja) * 2019-09-20 2021-03-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7321085B2 (ja) * 2019-12-26 2023-08-04 東京エレクトロン株式会社 膜形成方法及びシステム
JP7203070B2 (ja) * 2020-09-23 2023-01-12 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
JP2022080422A (ja) * 2020-11-18 2022-05-30 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
TWI806261B (zh) 2020-12-24 2023-06-21 日商國際電氣股份有限公司 基板處理方法、半導體裝置之製造方法、基板處理裝置及程式
JP2022111765A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン窒化膜の形成方法及び成膜装置
CN115565861A (zh) * 2021-07-02 2023-01-03 长鑫存储技术有限公司 一种薄膜沉积方法及半导体器件
CN118103960A (zh) 2021-12-15 2024-05-28 株式会社国际电气 成膜方法、半导体器件的制造方法、成膜装置及程序

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
JP4382750B2 (ja) * 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
KR100724181B1 (ko) * 2003-06-16 2007-05-31 동경 엘렉트론 주식회사 성막 방법, 반도체 장치의 제조 방법, 반도체 장치 및 성막장치
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
KR100924055B1 (ko) 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
JP4931170B2 (ja) 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5490585B2 (ja) 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
JP2011061037A (ja) 2009-09-10 2011-03-24 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5250600B2 (ja) 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5632687B2 (ja) * 2010-09-10 2014-11-26 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
TWI520177B (zh) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104831255A (zh) * 2014-02-10 2015-08-12 东京毅力科创株式会社 基板处理方法和基板处理装置
CN104831255B (zh) * 2014-02-10 2018-09-07 东京毅力科创株式会社 基板处理方法和基板处理装置

Also Published As

Publication number Publication date
JP2013093551A (ja) 2013-05-16
US8956984B2 (en) 2015-02-17
JP6088178B2 (ja) 2017-03-01
US20150101533A1 (en) 2015-04-16
TWI475599B (zh) 2015-03-01
US20130171838A1 (en) 2013-07-04

Similar Documents

Publication Publication Date Title
TWI475599B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
JP6125247B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI496232B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
TWI547996B (zh) A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
TWI508174B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
TWI543260B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
TWI536457B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
KR101097726B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP6415808B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6129573B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6199570B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6124724B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
WO2013054655A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP5945430B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
JP2014038923A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014056871A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8785333B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6254848B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101361673B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP2013187324A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置