CN102251229A - 成膜装置和成膜方法 - Google Patents

成膜装置和成膜方法 Download PDF

Info

Publication number
CN102251229A
CN102251229A CN2011100788158A CN201110078815A CN102251229A CN 102251229 A CN102251229 A CN 102251229A CN 2011100788158 A CN2011100788158 A CN 2011100788158A CN 201110078815 A CN201110078815 A CN 201110078815A CN 102251229 A CN102251229 A CN 102251229A
Authority
CN
China
Prior art keywords
gas
mentioned
modification procedure
film
reactant gases
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011100788158A
Other languages
English (en)
Other versions
CN102251229B (zh
Inventor
熊谷武司
加藤寿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102251229A publication Critical patent/CN102251229A/zh
Application granted granted Critical
Publication of CN102251229B publication Critical patent/CN102251229B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Temperature-Responsive Valves (AREA)

Abstract

本发明提供一种成膜装置和成膜方法,该成膜方法在进行由成膜处理和改性处理构成的成膜-改性步骤后,进行氧化硅膜的改性步骤,在该氧化硅膜的改性步骤中,将含Si气体的供给停止而采用等离子体,该成膜处理是这样进行的:通过使旋转台(2)旋转并使含Si气体吸附在晶圆(W)上,接着将O3气体供给到晶圆W的表面上并使O3气体与吸附在晶圆(W)的表面上的含Si气体发生反应而形成氧化硅膜,该改性处理采用等离子体对该氧化硅膜进行改性。

Description

成膜装置和成膜方法
技术领域
本发明涉及用于在真空容器内对基板进行薄膜的成膜的成膜装置和成膜方法。
背景技术
作为用于在半导体晶圆等基板的表面上形成薄膜的成膜方法之一,公知有例如用于将第1反应气体和第2反应气体交替地供给到基板上、从而层叠出原子层或者分子层的反应产生物的ALD(Atomic Layer Deposition)、MLD(Molecular LayerDeposition)等。在上述成膜方法中,例如在成膜温度较低的情况下,可能会导致反应气体中的有机物等作为杂质而混入到薄膜中。因此,为了将这样的杂质自薄膜去除,有时进行例如退火处理、等离子处理等改性处理。
但是,在该等离子处理中只能进行改性至薄膜的最表层的深度,所以在完成了薄膜的成膜后进行等离子处理的情况下,该薄膜在膜厚方向上不能均质地改性。另外,在采用成膜装置的同时采用用于进行等离子处理的独立的等离子处理装置的情况下,需要自成膜装置向等离子处理装置输送基板,因此,成膜处理时会花费很长时间。
另一方面,作为用于针对多张晶圆同时进行这种成膜处理的所谓小批量式的装置,公知有一种例如专利文献1~3中所述那样一边使载置有多张晶圆的载置台相对于用于供给各反应气体的多个反应气体供给部件旋转、一边进行成膜处理的成膜装置。但是,在上述专利文献中,没有针对已述的问题进行详细的讨论。
专利文献1:美国专利公报7,153,542号:图8(a)、图8(b)
专利文献2:日本专利3144664号公报:图1、图2、权利要求1
专利文献3:美国专利公报:6,634,314号
发明内容
本发明是鉴于上述问题而做出的,目的在于提供一种在使用于供给反应气体的反应气体供给部件相对于载置有基板的工作台相对旋转来层叠反应产生物、从而形成薄膜时能够在整个膜厚方向上形成良好且均质的薄膜的成膜装置、成膜方法和用于存储该方法的存储介质。
根据本发明的第1技术方案,提供一种成膜装置,该成膜装置包括:工作台,其设在真空容器内,且形成有用于载置基板的基板载置区域;第1反应气体供给部件和第2反应气体供给部件,其用于分别对基板载置区域供给第1反应气体和第2反应气体,该第1反应气体吸附在基板的表面上,该第2反应气体用于与基板的表面上的第1反应气体的成分反应而产生反应产生物;等离子处理部件,其利用通过将改性气体等离子化所获得的等离子体来对基板上的反应产生物进行改性处理;旋转机构,其用于使上述工作台与上述第1反应气体供给部件、上述第2反应气体供给部件以及上述等离子处理部件相对旋转;控制部件,其输出控制信号,使得按照成膜-改性步骤、改性步骤这样的顺序至少进行1次成膜-改性步骤、改性步骤,在该成膜-改性步骤中,为了对上述基板进行用于产生反应产生物的成膜处理和改性处理,向上述真空容器内供给第1反应气体、第2反应气体和改性气体以及对该改性气体进行等离子化;在该改性步骤中,停止第1反应气体的供给,并且向上述真空容器内供给改性气体和进行该改性气体的等离子化。
根据本发明的第2技术方案,提供一种成膜方法,该成膜方法包括将基板载置在设于真空容器内的上述工作台的上述基板载置区域中的工序;以及接下来按照成膜-改性步骤、改性步骤这样的顺序至少进行1次成膜-改性步骤、改性步骤的工序,在该成膜-改性步骤中,为了进行用于使第1反应气体吸附在基板的表面上的工序、使基板上的第1反应气体的成分与第2反应气体反应而产生反应产生物的工序、利用通过将改性气体等离子化而获得的等离子体对基板表面的反应产生物进行改性处理的工序,而采用旋转机构,使第1反应气体供给部件、第2反应气体供给部件和等离子处理部件相对于上述工作台旋转,并且自上述第1反应气体供给部件、上述第2反应气体供给部件和上述等离子处理部件分别将第1反应气体、第2反应气体和等离子体供给到上述基板载置区域,在该改性步骤中,停止第1反应气体的供给并使上述旋转机构旋转,并且,自上述等离子处理部件将等离子体供给到上述基板载置区域。
根据本发明第3技术方案,提供一种存储介质,其存储有计算机程序,该计算机程序用于成膜装置,该成膜装置通过将基板载置在设在真空容器内的工作台上的基板载置区域中,且将至少两种反应气体依次供给到基板上,并且多次执行该供给循环来层叠出反应产生物的层并形成薄膜,其中,上述计算机程序编写有步骤,以便实施上述任意一种成膜方法。
附图说明
图1是表示本发明的实施方式的成膜装置的纵剖面的、图3的I-I’纵剖视图。
图2是表示图1的成膜装置的内部的概略结构的立体图。
图3是图1的成膜装置的横剖俯视图。
图4是表示图1的成膜装置的内部的一部分的概略结构的纵剖视图。
图5是表示本发明的活化气体喷射器的一个例子的放大立体图。
图6是表示图5的活化气体喷射器的纵剖视图。
图7是表示图5的活化气体喷射器的成膜装置的纵剖视图。
图8是表示在图1的成膜装置中利用改性产生的薄膜的状态的示意图。
图9是表示在图1的成膜装置中进行的各步骤的气体供给顺序的一个例子的概略图。
图10是表示在图1的成膜装置中进行的各步骤的气体供给顺序的一个例子的概略图。
图11是表示图1的成膜装置中的气体的流动的示意图。
图12是在本发明的实施例中获得的特性图。
具体实施方式
在本发明的实施方式中,按照成膜-改性步骤和改性步骤的顺序进行成膜-改性步骤和改性步骤,因此,能够在整个膜厚方向上获得膜质良好且均质的薄膜,该成膜-改性步骤按照成膜处理和改性处理这样的顺序进行成膜处理和改性处理,在该成膜处理中,使第1反应气体供给部件、第2反应气体供给部件和等离子处理部件相对于载置有基板的工作台相对旋转,并且使基板表面上的第1反应气体的成分与第2反应气体反应而产生反应产生物,在该改性处理中,利用等离子体对该反应产生物进行改性,在该改性步骤中,将第1反应气体的供给停止并使工作台与等离子处理部件相对旋转来进行改性处理。
如图1(图3的I-I’的剖视图)所示,本发明的实施方式的一个例子的成膜装置包括:平面(俯视)形状为大致圆形的扁平的真空容器1和设在该真空容器1内且在真空容器1的中心具有旋转中心的旋转台2。真空容器1构成为顶板11能够自容器主体12分离。利用顶板11内部的减压状态,且借助设在容器主体12的上端面的密封构件例如O型密封圈13而将顶板11按压在容器主体12侧来维持气密状态,但在将顶板11自容器主体12分离时,利用未图示的驱动机构将顶板11提升到上方。
旋转台2利用中心部固定在圆筒形状的芯部21,该芯部21固定在沿铅垂方向延伸的旋转轴22的上端。旋转轴22贯通真空容器1的底部14,且旋转轴22的下端安装在用于使旋转轴22绕铅垂轴线、在本例中是沿顺时针方向旋转的作为旋转机构的驱动部23上。旋转轴22和驱动部23收纳在上表面开口的筒状的壳体20内。该壳体20将设在其上表面的凸缘部分气密地安装在真空容器1的底部14的下表面,从而维持壳体20的内部气氛和外部气氛的气密状态。
如图2和图3所示,在旋转台2的表面部,沿旋转方向(周向)设有用于载置多张例如5张基板、即半导体晶圆(以下称为“晶圆”)的、圆形状的凹部24。在图3中,为了方便,仅在1个凹部24上描绘晶圆W。该凹部24设定为如下大小:直径比晶圆W的直径稍大出例如4mm、且深度与晶圆W的厚度相等。因此,若晶圆W落入到凹部24中,则晶圆W的表面和旋转台2的表面(未载置有晶圆W的区域)呈相同高度。在凹部24的底面形成有通孔(均未图示),该通孔供用于支撑晶圆W的背面且使晶圆W升降的例如3根升降销贯通。凹部24用于对晶圆W进行定位,以使晶圆W不会由于伴随旋转台2的旋转产生的离心力而飞出,凹部24相当于本发明的基板载置区域。
如图2和图3所示,在与旋转台2上的凹部24的通过区域分别相对的位置上,沿真空容器1的周向(旋转台2的旋转方向)彼此隔开间隔且呈放射状地配置有:各自例如由石英构成的第1反应气体喷嘴31和第2反应气体喷嘴32、两个分离气体喷嘴41、42、活化气体喷射器220。在本例中,自后述的输送口15看来,沿顺时针方向(旋转台2的旋转方向)依次排列有:活化气体喷射器220、分离气体喷嘴41、第1反应气体喷嘴31、分离气体喷嘴42以及第2反应气体喷嘴32,上述活化气体喷射器220以及喷嘴31、32、41、42以如下方式安装:例如自真空容器1的外周壁被导入到真空容器1内,朝向旋转台2的旋转中心,与晶圆W相对地沿水平方向延伸。作为各喷嘴31、32、41、42的基端部的气体导入件31a、32a、41a、42a贯通真空容器1的外周壁。反应气体喷嘴31、32分别构成第1反应气体供给部件、第2反应气体供给部件,分离气体喷嘴41、42分别构成分离气体供给部件。关于活化气体喷射器220,见后述。
第1反应气体喷嘴31借助未图示的流量调整阀等,与包含有Si(硅)的第1反应气体例如二异丙基氨基硅烷(DIPAS)的气体供给源(未图示)相连接。第2反应气体喷嘴32借助未图示的流量调整阀等与第2反应气体、例如O3(臭氧)气体或O2(氧)气体或者二者的混合气体的气体供给源(未图示)相连接。分离气体喷嘴41、42均借助流量调整阀等与作为分离气体的N2(氮)气体的气体供给源(未图示)相连接。另外,在以下的说明中,为了方便而将第2反应气体作为O3气体来说明。
在反应气体喷嘴31、32上,朝正下方且在喷嘴的整个长度方向以例如10mm的间隔等间隔地排列有气体喷出孔33。反应气体喷嘴31的下方区域成为用于使含Si气体吸附在晶圆W上的第1处理区域P1。反应气体喷嘴32的下方区域成为用于使在第1处理区域P1中吸附在晶圆W上的含Si气体氧化的第2处理区域P2。反应气体喷嘴31、32在处理区域P1、P2中从顶面45离开而分别设在晶圆W的附近。
分离气体喷嘴41、42是为了形成用于分离第1处理区域P1和第2处理区域P2的分离区域D而设置的。在分离区域D中,如图2和图3所示,在真空容器1的顶板11上设有具有顶部被裁切成圆弧状的扇形的平面形状且向下突出的凸状部4。在本实施方式中,凸状部4的内圆弧与突出部5(后述)相连结,外圆弧沿真空容器1的容器主体12的内周面配置。利用凸状部4,沿周向分割真空容器1的内部。另外,分离喷嘴41、42被收纳在槽部43内,该槽部43以在该凸状部4的周向中央沿径向延伸的方式形成。
在分离气体喷嘴41、42的周向两侧存在有作为凸状部4的下表面的例如平坦的低的顶面44(第1顶面),在该顶面44的周向两侧存在比顶面44高的顶面45(第2顶面)。该凸状部4形成用于阻止第1反应气体和第2反应气体进入旋转台2来防止上述反应气体的混合的狭窄的空间、即分离空间。
即,以分离气体喷嘴41为例,其阻止第2反应气体自旋转台2的旋转方向上游侧进入,且防止第1反应气体自旋转方向下游侧进入。另外,作为分离气体,并不限定为氮(N2)气体,也可以采用氩(Ar)气体等稀有气体。
另一方面,如图4所示,在顶板11的下表面,以与比旋转台2的芯部21靠外周侧的部位相对的方式且沿芯部21的外周设有突出部5。该突出部5与凸状部4的旋转中心侧的部位连续地形成,且突出部的下表面与凸状部4的下表面(顶面44)形成在相同高度。
另外,如2和图3是分别表示容器主体12的内部的立体图和俯视图,在两图中,为了便于说明,在比顶面45低且比分离喷嘴41、42高的位置上,将容器主体12水平地切断。
如上所述,在真空容器1的内部,沿周向存在有顶面44和比顶面44高的顶面45。图1是设有顶面45的区域的纵剖视图,图4是设有顶面44的区域的纵剖视图。如图2和图4所示,在扇形的凸状部4的周缘部(真空容器1的外侧缘的部位),以与旋转台2的外端面相对的方式呈L字型弯曲而形成有弯曲部46。该弯曲部46与凸状部4同样是以为了防止反应气体自分离区域D的两侧进入且防止两反应气体的混合为目的而设置的。因为扇形的凸状部4设在顶板11上且能够自容器主体12取下,所以在弯曲部46的外周面与容器主体12之间稍微存在间隙。将在弯曲部46的内周面与旋转台2的外端面之间的间隙和在弯曲部46的外周面与容器主体12之间的间隙设定为例如与顶面44距旋转台2的表面的高度相同的尺寸。
容器主体12的内周壁在分离区域D中如图4所示那样与弯曲部46的外周面接近而形成为垂直面,在分离区域之外的部位,如图1所示,例如自与旋转台2的外端面相对的部位到底部14地向外方侧凹陷。下面,为了便于说明,将具有矩形的纵截面形状的该凹陷部分记为排气区域。详细而言,将与第1处理区域P1相连通的排气区域记为第1排气区域E1,将与第2处理区域P2相连通的区域记为第2排气区域E2。如图1和图3所示,在上述第1排气区域E1和第2排气区域E2的底部分别形成有第1排气口61和第2排气口62。如图1所示,第1排气口61和第2排气口62各自借助排气管63与作为真空排气部件的例如真空泵64相连接。在图1中,附图标记65为压力调整部件。
如图1和图4所示,在旋转台2与真空容器1的底部14之间的空间中设有作为加热部件的加热器单元7,从而隔着旋转台2将旋转台2上的晶圆W加热至由工艺制程程序决定的温度,例如450℃。在旋转台2的周缘附近的下方侧,为了划分自旋转台2的上方空间至排气区域E1、E2的气氛和放置有加热器单元7的气氛以抑制气体向旋转台2的下方区域的进入,而设置环状的罩构件71。该罩构件71具有:内侧构件71a,其以自下方侧面临旋转台2的外缘部和比外缘部靠外周侧的方式设置;外侧构件71b,其设在该内侧构件71a和真空容器1的内壁面之间。该外侧构件71b以如下方式配置:在已述的排气口61、62的上方侧,为了使上述排气口61、62与旋转台2的上方区域彼此连通,被切成例如圆环状而形成排气区域E1、E2,在弯曲部46的下方侧,外侧构件71b的上端面与弯曲部46相接近。换言之,罩构件71具有:外侧构件71b,其在分离区域D中,在形成于凸状部4的外缘部的弯曲部46的下方,以与弯曲部46相接近的方式设置;内侧构件71a,其在旋转台2的外缘部下方(以及比外缘部稍微靠外侧的部分的下方),在全周上包围加热器单元7。
比配置有加热器单元7的空间靠旋转中心的部位的底部14以与旋转台2的下表面的中心部附近的芯部21相接近的方式向上方侧突出,从而形成突出部12a。该突出部12a与芯部21之间的空间变为狭窄的空间,另外,对于用于贯通底部14的旋转轴22的通孔,该通孔的内周面与旋转轴22之间的间隙也变得狭窄,上述狭窄空间与壳体20相连通。而且,在壳体20上设有用于将作为吹扫气体的N2气体供给到狭窄的空间内并进行吹扫的吹扫气体供给管72。另外,在真空容器1的底部14上,在加热器单元7的下方侧位置上的周向的多个部位设有用于吹扫加热器单元7的配置空间的吹扫气体供给管73。在该加热器单元7和旋转台2之间,为了抑制气体向设有加热器单元7的区域的进入,设置有在整个周向上在自外侧构件71b的内周壁(内侧构件71a的上表面)到突出部12a的上端部之间连接的、例如由石英构成的覆盖构件7a。
另外,在真空容器1的顶板11的中心部连接有分离气体供给管51,从而向顶板11与芯部21之间的空间52中供给作为分离气体的N2气体。被供给到该空间52中的分离气体经由突出部5与旋转台2之间的狭窄间隙50而沿旋转台2的晶圆载置区域侧的表面朝周缘喷出。由于在被该突出部5围成的空间中充满分离气体,因此,能够防止反应气体(含Si气体和O3气体)在该第1处理区域P1和第2处理区域P2之间经由旋转台2的中心部发生混合。
此外,如图2、图3所示,在真空容器1的侧壁上,形成有用于在外部的输送臂10与旋转台2之间交接作为基板的晶圆W的输送口15,该输送口15由未图示的闸阀开闭。另外,因为旋转台2上的作为晶圆载置区域的凹部24在与该输送口15面临的位置上与输送臂10之间进行晶圆W的交接,所以,在旋转台2的下方侧,在与交接位置相对应的部位设有用于贯通凹部24并自背面提升晶圆W的交接用升降销和该升降销的升降机构(均未图示)。
接着详细叙述活化气体喷射器220。活化气体喷射器220用于使等离子体自旋转台2的载置有晶圆W的基板载置区域的的中心侧到旋转台2的外周侧产生,且在旋转台2每旋转一次时对利用例如含Si气体和O3气体的之间的反应而在晶圆W上成膜的反应产生物、即氧化硅膜(SiO2膜)进行改性。如图5和图6所示,为了将等离子体产生用的改性气体供给到真空容器1内,该活化气体喷射器220具有气体导入喷嘴34,该气体导入喷嘴34例如由石英构成,构成改性气体供给部件。在比该气体导入喷嘴34靠旋转台2的旋转方向下游侧的位置,为了将自气体导入喷嘴34导入的改性气体等离子化,配置有由相互平行的1对棒状的套管35a、35b构成的等离子处理部件80。在本例中,等离子处理部件80以相互平行的方式设有多组、例如2组,各个等离子处理部件80、80以相互的长度尺寸相等的方式配置。
上述气体导入喷嘴34和等离子处理部件80、80以分别与旋转台2上的晶圆W平行、且与旋转台2的旋转方向正交的方式,自设在真空容器1的外周面上的基端部80a朝旋转台2的中心部侧分别以气密的方式插入到真空容器1内。图6中的附图标记341是沿气体导入喷嘴34的长度方向设置的多个气孔。
如图3所示,在气体导入喷嘴34上连接有用于供给等离子体产生用的改性气体的等离子体气体导入路径251的一端,该等离子体气体导入路径251的另一端经由阀252和流量调整部253与积存有用于使等离子体产生的等离子体产生用的改性气体例如O2气体的等离子体产生气体源254相连接。作为该改性气体,还可以取代O2气体或者与该O2气体一起采用例如Ar(氩)气体或者He(氦)气体等稀有气体。
各等离子处理部件80上的套管35a、35b例如由石英、矾土(氧化铝)、或者氧化钇(Y2O3)构成。另外,如图6所示,由例如镍合金、钛合金等构成的电极36a、36b各自贯通插入到上述套管35a、35b内并形成平行电极,如图3所示,例如13.56MHz、例如500W的高频率电力自真空容器1的外部的高频率电源224经由匹配器225被供给到上述电极36a、36b。图6中的附图标记37是与套管35a、35b的基端侧(真空容器1的内壁侧)相连接的保护管,在图5等中被省略。另外,在除图6以外的图中将套管35a、35b简化。
图5中的附图标记221是以如下方式配置的罩体:自上方侧和侧面(长边方向和短边方向上的两侧面)侧覆盖配置有气体导入喷嘴34和套管35a、35b的区域,该罩体221由绝缘体、例如石英构成。另外,图5中的附图标记222是沿活化气体喷射器220的长度方向自罩体221的两侧面的下端部朝外侧以凸缘状水平地伸出的气流限制面,为了抑制自旋转台2的上游侧流动的O3气体、N2气体进入到罩体221的内部区域,以如下方式形成气流限制面222:将气流限制面222的下端面与旋转台2的上表面之间的间隙尺寸t减小,而且,自旋转台2的中心部侧越靠近气体流变快的旋转台2的外周侧,气流限制面222的宽度尺寸u越宽。图7中的附图标记223是为了支承罩体221而设在真空容器1的顶板11和罩体221之间的多个支承构件。在图7中,为了便于说明而示意性地表示支承构件223。
另外,在该成膜装置中,作为控制部件设置有用于对装置整体的工作进行控制的、由计算机构成的控制部100,如后所述,在该控制部100的存储器内存储有用于按成膜-改性步骤(第1步骤)和改性步骤(第2步骤)这样的顺序进行成膜-改性步骤(第1步骤)和改性步骤(第2步骤)的程序,该成膜-改性步骤(第1步骤)用于在旋转台2旋转时进行成膜处理和改性处理;改性步骤(第2步骤)用于在旋转台2旋转时仅进行改性处理。即,若将上述成膜-改性步骤和改性步骤各自的处理时间设为T1、T2,则在该存储器中存储有:该处理时间的比(T1/T2)、由上述成膜-改性步骤和改性步骤构成的处理循环的时间(T1+T2)和该处理循环的次数等。为了执行后述的装置的动作,该程序编写有步骤组,且将程序从硬盘、光盘、光磁盘、存储卡、软盘等存储部101安装到控制部100内。
接着,说明上述实施方式的动作(成膜方法)。首先,打开未图示的闸阀,自外部利用输送臂10经由输送口15将晶圆W交接到旋转台2的凹部24内。该交接是通过如下方式进行的:当凹部24在与输送口15面临的位置处停止时,未图示的升降销经由凹部24的底面的通孔自真空容器1的底部侧升降。对晶圆W的这种交接是通过使旋转台2间歇性地旋转而进行的,且在旋转台2的5个凹部24内分别载置有晶圆W。
接着,在关闭闸阀,且利用真空泵64将真空容器1内抽到处于装置的性能极限状态后,自分离气体喷嘴41、42将作为分离气体的N2气体以规定的流量喷出,自分离气体供给管51和吹扫气体供给管72、72也将N2气体以规定的流量喷出。与此同时,利用压力调整部件65将真空容器1内调整为预先设定的处理压力。接着,一边使旋转台2沿顺时针方向以例如20rpm旋转,一边利用加热器单元7将晶圆W加热到例如45℃。
之后,自反应气体喷嘴31、32分别将含Si气体和O3气体喷出,并且自气体导入喷嘴34将O2气体以规定的流量、例如5slm喷出,且将具有13.56MHz频率的高频电力以400W供给到各套管35a、35b之间。
此时,在活化气体喷射器220上,自气体导入喷嘴34经由各气孔341向各套管35a、35b喷出的O2气体被供给到套管35a、35b之间的区域中的高频电力而活化,从而产生包含例如O离子、O自由基等的等离子体。该等离子体(活性种)在活化气体喷射器220的下方侧,朝与旋转台2一起移动(旋转)的晶圆W下降。
另一方面,由于旋转台2的旋转,在第1处理区域P 1中使含Si气体吸附在晶圆W的表面上,接着,在第2处理区域P2中,利用O3气体将吸附在晶圆W上的含Si气体氧化并形成1层或多层氧化硅膜的分子层,从而进行反应产生物的成膜处理。在该氧化硅膜中,由于例如包含在含Si气体中的残留基,有时氧化硅膜含有水分(OH基)、有机物等杂质。而且,若该晶圆W到达了作为活化气体喷射器220的下方区域的改性区域150,则由等离子体对氧化硅膜进行改性处理。详细而言,如图8的(a)中示意性地表示的上述成膜处理、改性处理那样,通过使例如等离子体与晶圆W的表面碰撞,而自例如氧化硅膜将已述的杂质释放出,或者将氧化硅膜内的元素再次排列从而谋求实现氧化硅膜的致密化(高密度化)。如此,通过使旋转台2例如合计旋转2次,即通过将由成膜处理和改性处理构成的成膜-改性步骤进行例如6秒钟,在晶圆W的表面上形成规定膜厚例如0.25nm的反应产生物。
在此,例如根据各反应气体的供给量、每次成膜处理(旋转台2的每次旋转)所成膜的反应产生物的膜厚、或者旋转台2的旋转速度等的不同,在已述的改性处理中有时不能充分进行反应产生物的改性。即,在利用已述的成膜-改性步骤而形成于晶圆W上的反应产生物中有时混入有杂质,或者氧化硅膜的致密化不充分。因此,在该成膜-改性步骤中,为了使成膜在晶圆W上的反应产生物充分改性而进行以下的改性步骤。
详细而言,将来自第2反应气体喷嘴32、气体导入喷嘴34、分离气体喷嘴41、42以及各供给管51、72的各气体的供给量维持为与已述的成膜-改性步骤中的流量相同的流量,且使含Si气体的自第1反应气体喷嘴31的供给停止。此时,由于与分离气体、O3气体的供给量相比,含Si气体的供给量较少,因此,能够抑制在自成膜-改性步骤切换为改性步骤时(将含Si气体的供给停止时)的真空容器1内的压力变动。
若停止含Si气体的供给,则利用分离气体迅速将含Si气体自真空容器1内排出到外部,另外,对于少量地残留在第1反应气体喷嘴31内的含Si气体也同样经由真空容器1的排气区域E1而迅速排出到外部。换言之,可以说在该改性步骤中,在真空容器1内未形成第1处理区域P1。因此,旋转台2上的晶圆W随着该旋转台2的旋转依次通过第2处理区域P2和改性区域150。
在第2处理区域P2中,在晶圆W的表面的反应产生物已经被氧化的情况下,晶圆W不会受到不良影响。另一方面,如已述那样,在改性区域150中进行用于自晶圆W的表面的反应产生物将杂质排出、或者再排列氧化硅膜内的元素的改性处理。如此,通过使旋转台2例如旋转6次,即,通过进行例如18秒的改性步骤,会使晶圆W的表面的反应产生物多次暴露于等离子体,因此,改性的程度比已述的成膜-改性步骤中的改性处理的程度要大。另外,由于此时的反应产生物如已述那样膜厚较薄,因此,能够在整个膜厚方向上均匀地由等离子体进行改性处理。
因此,如图8的(b)示意性地所示那样,由于在沿上下方向(第N层和第(N+1)层)上层叠的反应产生物之间发生了已述的元素的再排列,因此能够在整个膜厚方向上形成膜质均匀的反应产生物。此时,若将成膜-改性步骤和改性步骤中的处理时间分别设为T1、T2,则上述处理时间之比(T1/T2)变为例如1/3(6秒/18秒),另外,由上述成膜-改性步骤和改性步骤构成的处理循环的时间(T1+T2)变为例如24秒(6秒+18秒)。在此,若自气体的供给侧观察这样的处理循环,则如图9所示,一方面断续地供给含Si气体,另一方面,对于O3气体和等离子体(O2气体)则通过多次处理循环而以相同的流量持续供给。另外,若自旋转台2上的某张晶圆W观察处理循环,则如图10所示,在成膜-改性步骤中按照顺序多次供给各气体和等离子体,在改性步骤中按照顺序多次供给除含Si气体之外的各气体和等离子体。
因而,通过多次例如40次进行该处理循环,而将良好地进行完已述的改性的反应产生物层叠多层,从而在整个膜厚方向上形成膜质良好且均质的薄膜。在此,由于在旋转台2上沿周向载置有5张晶圆W,因此,关于各晶圆W,有可能例如在开始成膜-改性步骤时,在供给含Si气体之前先供给O3气体、等离子体的情况,或者有可能在自成膜-改性步骤切换成改性步骤时,例如含Si气体仅被供给到晶圆W的表面内的中途部位。因此,例如在1次的处理循环中,有可能在各晶圆W间或者在一张晶圆W的表面内的膜厚产生些许偏差。但是,因为通过这样多次进行处理循环,使气体的供给次数、供给部位在晶圆W的表面内和表面之间均匀,所以能够缓和处理的偏差,从而形成膜厚和膜质均匀的薄膜。
此时,在真空容器1内,在活化气体喷射器220和第2反应气体喷嘴32之间未设有分离区域D,因此,在旋转台2的旋转的带动下,O3气体、N2气体会自上游侧朝活化气体喷射器220流动。但是,如已述那样,由于以覆盖等离子体80和气体导入喷嘴34的方式设置罩体221,因此,罩体221的上方侧的区域比罩体221的下方侧(气流限制面222与旋转台2之间的间隙t)宽。另外,由于自导入喷嘴34向罩体221的内部区域供给改性气体,因此内部区域成为比外部(真空容器1内)稍大的正压。因此,自旋转台2的旋转方向上游侧流动来的气体基本不会进入罩体221的下方侧。另外,朝活化气体喷射器220流动的气体由于旋转台2的旋转,而自旋转台2的径向内周侧越靠外周侧流速越快,但旋转台2的外周侧的气流限制面222的宽度尺寸u大于内周侧的宽度尺寸,所以能够在活化气体喷射器220的整个长度方向上抑制气体进入到罩体221的内部。因此,如图6所示,自上游侧朝活化气体喷射器220流动的气体经由罩体221的上方区域而流到下游侧的排气口62。因此,上述O3气体、N2气体基本不会受到由于高频而活化等的影响,所以能够抑制NOx等的产生,且能够抑制用于构成真空容器1的构件等发生腐蚀。另外,晶圆W也基本不会受到这些气体的影响。通过改性处理而自氧化硅膜排出的杂质随后气化,并与O3气体、N2气体等一起朝排气口62排出。
另外,由于在自第1处理区域P1和第2处理区域P2之间供给N2气体,而且在中心区域C中也供给作为分离气体的N2气体,因此,如图11所示,例如在成膜-改性步骤中,以使含Si气体和O3气体不混合的方式将各气体排出。
另外,在本例中,沿着配置有反应性气体喷嘴31、32和活化气体喷射器220的、第2顶面45的下方侧的空间的容器主体12的内周壁上,内周壁如已述那样凹陷,因而形成宽广的空间,排气口61、62位于该宽广的空间的下方,所以第2顶面45的下方侧的空间压力低于第1顶面44的下方侧的狭窄的空间和中心区域C的各压力。
另外,由于利用N2气体对旋转台2的下方侧进行吹扫,因此,完全不必担心流入到排气区域E中的气体会潜过旋转台2的下方侧,例如完全不必担心含Si气体流入到O3气体的供给区域。
在此,对处理参数的一个例子进行记载,在将直径为300mm的晶圆W作为被处理基板的情况下,旋转台2的旋转速度例如为1rpm~500rpm,工艺压力例如为1067Pa(8Torr),含Si气体和O3气体的流量例如分别为100sccm和10000sccm,来自分离气体41、42的N2气体的流量例如为20000sccm,来自真空容器1的中心部的分离气体供给管51的N2气体的流量例如为5000sccm。另外,对1张晶圆W供给反应气体的循环数根据目标膜厚而变化,但例如为1000次。
根据上述实施方式,使旋转台2旋转而使含Si气体附着在晶圆W上,接着,进行由成膜处理和改性处理构成的成膜-改性步骤,在该成膜处理中,向晶圆W的表面上供给O3气体,使附着在晶圆W的表面上的含Si气体与O3气体发生反应并形成氧化硅膜,该改性处理采用等离子体对该氧化硅膜进行改性,然后,进行用于停止含Si气体的供给并采用等离子体对氧化硅膜进行改性的改性步骤。因此,能够在整个膜厚方向上获得膜厚良好且均质的薄膜。另外,由于调整各步骤的处理时间之比(T1/T2)、处理循环的时间(T1+T2),因此,能够在大范围内调整薄膜(反应产生物)改性的程度。因此,例如,通过减少成膜-改性步骤中的成膜处理的次数(缩短处理时间T1),或者加长改性步骤的处理时间T2,或缩短处理循环的时间(T1+T2)从而使在一次处理循环中形成的反应产生物变薄,能够增大由等离子体进行的改性效果的程度,因此,能够例如后述的实施例所示那样形成与热氧化膜相同程度的膜质的薄膜。
此时,在例如不进行改性步骤,仅采用成膜-改性步骤在旋转台2的旋转时进行成膜处理和改性处理的情况下,在进行了对下层侧的反应产生物的改性处理后,在反应产生物上会新形成上层侧的反应产生物,然后上述处理交替进行而使反应产生物层叠多层。因此,只能至晶圆W的最表层发生由等离子体进行的改性,所以可能会导致反应产生物在改性不充分的状态下层叠多层。因此,为了可靠地进行对反应产生物的改性,优选例如在上层侧的反应产生物被层叠之前,换言之在晶圆W通过改性区域150的极短的时间内进行充分的改性处理。
因此,为了对例如采用各种各样的制程程序成膜的翻译产生物也同样充分地进行改性,即,若要采用该成膜装置来确保能够在大范围内良好地对反应产生物(薄膜)进行改性,可以考虑例如提高自高频电源224供给到等离子处理部件80的高频的输出,或者将等离子处理部件80与旋转台2上的晶圆W接近配置,或为了扩大改性区域150而配置许多个等离子处理部件80。
但是,在本实施方式中,由于不是改变装置侧的结构而是调整处理循环的顺序,所以不用将装置结构复杂化就可以扩大能够使反应产生物改性的范围(薄膜特性的调整范围)。
另外,在成膜-改性步骤和改性步骤中,O3气体的供给量不变,所以能够抑制真空容器1内的压力变动,从而能够抑制由真空容器1内的气流的紊乱、真空容器1内的构件的压力变动引起的损伤。另外,例如在真空容器1内的气流不紊乱等情况下,在改性步骤中也可以停止O3气体的供给,在停止O3气体的供给的情况下,也可以对应于该O3气体的流量而相应地增加分离气体的流量。
此外,在切换各步骤时,如已述的图10所示,在使旋转台2旋转n圈(n:整数)时,进行含Si气体的供给、断开,因此具有易于管理反应产生物的膜厚、各步骤的切换时刻等优点,此时,如已述那样,各气体向旋转台2上的各晶圆W的供给时刻不同,所以作为各步骤的切换时刻,即使为旋转1圈以下也可,即使在这样的情况下,通过反复进行多次的处理循环,也能够在晶圆W间和晶圆W内使薄膜的膜质和膜厚均匀化。如此,在旋转台2旋转一圈的期间进行步骤的切换的情况下,可以以如下方式调整各步骤的切换时刻:不向旋转台2上的仅某个晶圆W供给含Si气体,即向各晶圆W均匀地供给含Si气体。
此外,在成膜-改性步骤中,在真空容器1的内部,每进行一次成膜处理都会进行改性处理,在旋转台2的周向上,在晶圆W通过各处理区域P1、P2的路径的途中,以与成膜处理不干涉的方式进行改性处理。另外,每进行一次各成膜-改性步骤都会进行改性步骤。因此,与例如在完成了薄膜的成膜后进行改性处理的情况相比,能够在短时间内对薄膜进行改性。
另外,因为能够利用罩体221抑制自上游侧流动来的气体进入到罩体221的内部,所以也可以不在例如第2反应喷嘴32和活化气体喷射器220之间设置专用的分离区域D,因此,能够抑制成膜装置的成本来进行改性处理,而且也能够抑制NOx等副产生气体的发生,从而抑制例如用于构成装置的构件的腐蚀。另外,由于利用绝缘体构成该罩体221,所以在罩体221和等离子处理部件80之间不形成等离子体,因此,能够将罩体221与等离子处理部件80接近地配置,且能够使装置小型化。
作为已述的用于成膜出氧化硅膜的处理气体,作为第1反应气体,也可以采用BTABS“双叔丁基氨基硅烷”、DCS“二氯硅烷”、HCD“六氯乙硅烷”、3DMAS“[三(二甲氨基)硅烷]”、单氨基硅烷等,将TMA“三甲基铝”、TEMAZ“(四(二乙基氨基)锆)”、TEMAH“[四(乙基甲基氨基)铪]”、Sr(THD)2“[双(四甲基庚二酮酸)锶]”、Ti(MPD)(THD)“[(甲基戊二酮酸)双(四甲基庚二酮酸)钛]”等作为第1反应气体,而形成氧化铝膜、氧化锆膜、氧化铪膜、氧化锶膜、氧化钛膜等。作为用于将上述原料气体氧化的氧化气体、即第2反应气体,也可以采用水蒸气等。另外,在不采用O3气体作为第2反应气体的工艺、例如在TiN(氮化钛)等上进行TiN膜的改性的情况下,作为用于将自气体导入喷嘴34供给的等离子产生用的改性气体,也可以采用NH3(氨)气体等含N(氮)的气体。
另外,在已述的例中,以采用两种反应气体形成反应产生物为例进行了说明,但在采用两种以上,例如3种或者4种反应性气体来形成反应产生物的情况下,同样可以应用本发明。
另外,在本发明中设置了两组等离子体产生部件80,但既可以设置1组也可以设置3组以上。此外,如已述那样,采用平行电极(电极36a、36b)来产生电容耦合型等离子体,但也可以采用线圈型电极来产生电感耦合型的等离子体。
在已述各例中,使旋转台2相对于气体供给系(喷嘴31~34、41、42(300))旋转,但也可以使气体供给系相对于该旋转台2旋转。
(实施例)
下面,说明为了确认本发明的效果而进行的实施例。在本实施例中,在形成已述的氧化硅膜的情况下,通过在成膜-改性步骤的基础上增加改性步骤,来对如何提高薄膜的特性来进行评价。另外,在如以下的表所示那样改变处理时间之比(T1:T2)的情况下,也同样地评价薄膜的特性。此外,在该表中,也可以与处理时间之比一起也记载了处理时间T1、T2。表
另外,上述薄膜的特性评价是通过对成膜后的薄膜施加负的偏置电压,并测量漏电流与该负的偏置电压的大小相对应地显示出什么样的值来进行的。因此,可以说漏电流越少越能够形成杂质少的、致密的薄膜。另外,旋转台2的旋转速度为20rpm。另外,由于将其他处理条件在各例中设为相同条件,因此省略说明。
图12是表示实验结果的坐标图,也表示出如下膜的结果:不进行改性步骤而仅进行成膜-改性步骤所获得的膜、不进行等离子体改性而仅进行成膜处理所获得的膜以及热氧化膜。
如图12所示,与不进行等离子体改性的情况和不进行改性步骤的情况相比,通过在进行成膜-改性步骤的同时进行改性步骤,能够提高薄膜的膜质,在处理时间之比(T1∶T2)=1∶3的情况下,具有与被认为具有氧化硅膜的理想特性的热氧化膜大致相等的特性。
以上,参照实施方式说明了本发明,但本发明并不限定于公开的实施方式,在本发明的技术特征的范围内,能够进行各种变形、变更。
本申请基于2010年3月29日向日本国专利局申请的日本专利申请2010-075900号公报而主张优先权,在此引用其全部内容。

Claims (8)

1.一种成膜装置,其特征在于,包括:
工作台,其设在真空容器内,且形成有用于载置基板的基板载置区域;
第1反应气体供给部件和第2反应气体供给部件,其分别用于对上述基板载置区域供给第1反应气体和第2反应气体,该第1反应气体吸附在基板的表面上,该第2反应气体用于与基板的表面上的第1反应气体的成分相反应而产生反应产生物;
等离子处理部件,其利用通过使改性气体等离子化所获得的等离子体来对基板上的反应产生物进行改性处理;
旋转机构,其用于使上述工作台相对于上述第1反应气体供给部件、上述第2反应气体供给部件以及上述等离子处理部件旋转;
控制部件,其输出控制信号,以便按照成膜-改性步骤和改性步骤这样的顺序至少进行1次成膜-改性步骤和改性步骤,在进行成膜-改性步骤的过程中,为了对上述基板进行用于产生反应产生物的成膜处理和改性处理,向上述真空容器内供给第1反应气体、第2反应气体和改性气体,以及使该改性气体等离子化;在进行改性步骤的过程中,停止第1反应气体的供给,并且向上述真空容器内供给改性气体和使该改性气体等离子化。
2.根据权利要求1所述的成膜装置,其特征在于,
为了抑制在自上述成膜-改性步骤向上述改性步骤切换时上述真空容器内的压力变动,上述控制部件输出用于使上述各步骤之间的第2反应气体的供给量相等的控制信号。
3.根据权利要求1所述的成膜装置,其特征在于,
多次重复上述成膜-改性步骤和上述改性步骤,
在上述各步骤中,上述控制部件在使上述旋转机构旋转了n圈时,进行步骤的切换,其中,n为整数。
4.根据权利要求1所述的成膜装置,其特征在于,
上述改性气体是稀有气体或者O2气体的至少一方。
5.一种成膜方法,其特征在于,包括:在设置于真空容器内的工作台的基板载置区域载置基板的工序、以及接下来按照成膜-改性步骤和改性步骤的顺序至少进行一次成膜-改性步骤和改性步骤的工序,
在该成膜-改性步骤中,为了进行用于使第1反应气体吸附在基板的表面上的工序、使基板上的第1反应气体的成分与第2反应气体反应而产生反应产生物的工序、利用通过将改性气体等离子化而获得的等离子体对基板表面的反应产生物进行改性处理的工序,而采用旋转机构,使第1反应气体供给部件、第2反应气体供给部件和等离子处理部件相对于上述工作台旋转,并且自上述第1反应气体供给部件、上述第2反应气体供给部件和上述等离子处理部件分别将第1反应气体、第2反应气体和等离子体供给到上述基板载置区域,
在该改性步骤中,停止第1反应气体的供给并使上述旋转机构旋转,并且,自上述等离子处理部件将等离子体供给到上述基板载置区域。
6.根据权利要求5所述的成膜方法,其特征在于,
为了抑制在自上述成膜-改性步骤向上述改性步骤切换时上述真空容器内的压力变动,上述各步骤间的第2反应气体的供给量相等。
7.根据权利要求5所述的成膜方法,其特征在于,
多次重复上述成膜-改性步骤和上述改性步骤,
在上述各步骤中,在使上述旋转机构旋转了n圈时,上述各步骤被切换,n为整数。
8.根据权利要求5所述的成膜方法,其特征在于,
上述改性气体是稀有气体或者O2气体的至少一方。
CN201110078815.8A 2010-03-29 2011-03-28 成膜装置和成膜方法 Active CN102251229B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010-075900 2010-03-29
JP2010075900A JP5423529B2 (ja) 2010-03-29 2010-03-29 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
CN102251229A true CN102251229A (zh) 2011-11-23
CN102251229B CN102251229B (zh) 2014-08-13

Family

ID=44656809

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110078815.8A Active CN102251229B (zh) 2010-03-29 2011-03-28 成膜装置和成膜方法

Country Status (5)

Country Link
US (2) US8882916B2 (zh)
JP (1) JP5423529B2 (zh)
KR (3) KR101256535B1 (zh)
CN (1) CN102251229B (zh)
TW (1) TWI465602B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104831255A (zh) * 2014-02-10 2015-08-12 东京毅力科创株式会社 基板处理方法和基板处理装置
CN111349912A (zh) * 2018-12-21 2020-06-30 富士施乐株式会社 膜形成装置及膜形成方法
CN112391605A (zh) * 2019-08-15 2021-02-23 东京毅力科创株式会社 成膜方法

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
CN102110634B (zh) * 2010-11-22 2012-04-11 沈阳芯源微电子设备有限公司 可旋转加热的吸附装置
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
KR20140143151A (ko) * 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US8920888B2 (en) * 2012-04-04 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma process, film deposition method and system using rotary chuck
JP2013222884A (ja) * 2012-04-18 2013-10-28 Furukawa Co Ltd 気相成長装置および成膜方法
JP5823922B2 (ja) * 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
WO2014008557A1 (en) * 2012-07-13 2014-01-16 Gallium Enterprises Pty Ltd Apparatus and method for film formation
KR101875622B1 (ko) * 2012-08-09 2018-07-06 현대자동차 주식회사 탄성부재를 구비한 써모스탯
JP5886730B2 (ja) * 2012-11-26 2016-03-16 東京エレクトロン株式会社 成膜方法、その成膜方法のプログラム、そのプログラムを記録した記録媒体、及び、成膜装置
JP5913079B2 (ja) * 2012-12-21 2016-04-27 東京エレクトロン株式会社 成膜方法
JP6010451B2 (ja) * 2012-12-21 2016-10-19 東京エレクトロン株式会社 成膜方法
JP5956972B2 (ja) * 2012-12-21 2016-07-27 東京エレクトロン株式会社 成膜方法
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6118197B2 (ja) * 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6332746B2 (ja) * 2013-09-20 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR20160047538A (ko) * 2013-10-16 2016-05-02 미쯔이 죠센 가부시키가이샤 성막 장치 및 성막 방법
JP6183965B2 (ja) * 2014-03-27 2017-08-23 Sppテクノロジーズ株式会社 シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
TWI694494B (zh) * 2014-07-08 2020-05-21 美商應用材料股份有限公司 處理基板之方法及設備
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6096955B2 (ja) * 2016-02-25 2017-03-15 東京エレクトロン株式会社 成膜方法
KR20180031999A (ko) * 2016-09-21 2018-03-29 인지컨트롤스 주식회사 서모스탯 밸브
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP6869141B2 (ja) * 2017-08-09 2021-05-12 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6906439B2 (ja) * 2017-12-21 2021-07-21 東京エレクトロン株式会社 成膜方法
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
CN113144845A (zh) * 2021-02-26 2021-07-23 河南可人科技有限公司 一种有机废气处理系统
CN115679291A (zh) * 2021-07-28 2023-02-03 长鑫存储技术有限公司 通过沉积工艺形成薄膜的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device
JP2007247066A (ja) * 2006-03-15 2007-09-27 Asm Japan Kk 回転サセプタを備える半導体処理装置
CN101660138A (zh) * 2008-08-29 2010-03-03 东京毅力科创株式会社 活化气体注入装置、成膜装置和成膜方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
KR100201442B1 (ko) * 1996-12-20 1999-06-15 정몽규 차량용 써머스탯의 가변유량조절장치
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
JP2007146252A (ja) 2005-11-29 2007-06-14 Tokyo Electron Ltd 熱処理方法、熱処理装置及び記憶媒体
JP2009531535A (ja) * 2006-03-03 2009-09-03 ガードギール,プラサード 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法
KR100755264B1 (ko) * 2006-03-17 2007-09-04 고려전자주식회사 서머스탯장치
KR100716654B1 (ko) * 2006-04-04 2007-05-09 주식회사 하이닉스반도체 정방정계 구조의 지르코늄산화막 형성 방법 및 그를 구비한캐패시터의 제조 방법
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
JP5189784B2 (ja) * 2007-03-30 2013-04-24 株式会社フェローテック プラズマガン周辺を電気的中性にしたプラズマ生成装置
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
CN101910453B (zh) * 2007-12-28 2016-03-09 株式会社爱发科 成膜装置及成膜方法
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP5195175B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5434484B2 (ja) * 2009-11-02 2014-03-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5696619B2 (ja) * 2011-08-17 2015-04-08 東京エレクトロン株式会社 成膜装置
US8778811B2 (en) * 2011-08-18 2014-07-15 Intermolecular, Inc. Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
JP5780062B2 (ja) * 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
JP5823922B2 (ja) * 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device
JP2007247066A (ja) * 2006-03-15 2007-09-27 Asm Japan Kk 回転サセプタを備える半導体処理装置
CN101660138A (zh) * 2008-08-29 2010-03-03 东京毅力科创株式会社 活化气体注入装置、成膜装置和成膜方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104831255A (zh) * 2014-02-10 2015-08-12 东京毅力科创株式会社 基板处理方法和基板处理装置
CN104831255B (zh) * 2014-02-10 2018-09-07 东京毅力科创株式会社 基板处理方法和基板处理装置
CN111349912A (zh) * 2018-12-21 2020-06-30 富士施乐株式会社 膜形成装置及膜形成方法
CN111349912B (zh) * 2018-12-21 2023-07-14 富士胶片商业创新有限公司 膜形成装置及膜形成方法
CN112391605A (zh) * 2019-08-15 2021-02-23 东京毅力科创株式会社 成膜方法
CN112391605B (zh) * 2019-08-15 2024-06-07 东京毅力科创株式会社 成膜方法

Also Published As

Publication number Publication date
KR20110109875A (ko) 2011-10-06
KR20110109928A (ko) 2011-10-06
KR20130135220A (ko) 2013-12-10
US9677174B2 (en) 2017-06-13
KR101256535B1 (ko) 2013-04-19
US20150024143A1 (en) 2015-01-22
CN102251229B (zh) 2014-08-13
JP5423529B2 (ja) 2014-02-19
JP2011210872A (ja) 2011-10-20
TW201221688A (en) 2012-06-01
TWI465602B (zh) 2014-12-21
US8882916B2 (en) 2014-11-11
US20110236598A1 (en) 2011-09-29

Similar Documents

Publication Publication Date Title
CN102251229B (zh) 成膜装置和成膜方法
JP5310283B2 (ja) 成膜方法、成膜装置、基板処理装置及び記憶媒体
CN101994101B (zh) 成膜装置
JP5375852B2 (ja) 成膜装置、成膜方法及び記憶媒体
US9093490B2 (en) Film deposition apparatus
CN101859693B (zh) 基板处理装置和基板处理方法
US20100227059A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
CN102732854A (zh) 成膜装置和成膜方法
US11047044B2 (en) Film forming apparatus and film forming method
CN101736318A (zh) 成膜装置
KR20100062942A (ko) 성막 장치
KR101695511B1 (ko) 성막 방법
CN102383109A (zh) 成膜装置和成膜方法
KR20100032328A (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
CN103184429A (zh) 成膜方法
KR101734779B1 (ko) 성막 방법
JP5692337B2 (ja) 成膜装置、成膜方法及び記憶媒体
US20160284543A1 (en) Substrate processing apparatus, program and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant