JP2009531535A - 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法 - Google Patents

薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法 Download PDF

Info

Publication number
JP2009531535A
JP2009531535A JP2008557248A JP2008557248A JP2009531535A JP 2009531535 A JP2009531535 A JP 2009531535A JP 2008557248 A JP2008557248 A JP 2008557248A JP 2008557248 A JP2008557248 A JP 2008557248A JP 2009531535 A JP2009531535 A JP 2009531535A
Authority
JP
Japan
Prior art keywords
substrate
nozzles
susceptor
gas
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008557248A
Other languages
English (en)
Inventor
ガードギール,プラサード
Original Assignee
ガードギール,プラサード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ガードギール,プラサード filed Critical ガードギール,プラサード
Publication of JP2009531535A publication Critical patent/JP2009531535A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

反応性および不活性ガスの連続および交互の流れが、処理槽の周囲に位置する複数の複合ノズルから同軸状に取り付けられた回転する円筒形のサセプターへ向く、広範囲で高速の原子層化学蒸着処理のための装置および方法に関する。フレキシブル基板が同軸状のサセプター上に取り付けられる。一実施例において、処理反応装置は、円筒形のサセプターの回転軸に対し実質的に垂直に設けられた4つの複合インジェクターを有する。他の実施例において、サセプターの断面は、小表面上に取り付けられた複数の基板を有する多角形である。処理反応装置は、高速化学蒸着処理モードと同様の単一の原子層の精度で、多層のフレキシブルなまたは平面の基板を処理する働きをすることができる。本発明の原子層化学気相処理は、また、注入された反応性の化学的前駆体の未使用部分を下流で捕捉することを規定する。
【選択図】なし

Description

関連出願のクロスリファレンス
本出願は、ここに参照することによって組み込まれる、2005年2月26日に出願された米国仮出願No.60/656772の効果をクレームする。
発明の技術分野
本発明は、1原子層の精度で種々の材料の多層薄膜の化学蒸着相処理のための装置および方法の分野に関する。特に、本発明は、その他の応用の中で、半導体装置、大面積薄膜太陽光電池、フレキシブルディスプレイ、および、燃料電池のための触媒電極を製造するための、高速でフレキシブル基板上に1原子層の精度で多層薄膜を処理することに関する。
薄膜処理は、マイクロ電子装置、光電子装置および光素子、薄膜太陽光電池、光学的被覆などの種々の先進装置の製造において重要な部分を形成する。これら全ての応用において、処理の高速度に加えて常に広範囲の処理均一性が得られることが、経済性を達成するために重要である。化学的気相成長法(CVD)および物理的気相成長法(PVD)のような薄膜堆積の種々の技術が、現在、金属、半導体および絶縁体の薄膜を堆積するために、産業において用いられている。これらのおよびこれらが結びついた薄膜堆積のための技術は、フラックスに依存するため、装置の設計およびその動作および高コストにおける重要な課題とともに、基板の広範囲にわたりより望ましい薄膜の均一性を提供することができる。これらの技術は、数十nm/minから数百nm/minまでの範囲の高速で薄膜を析出することができるが、明白な欠点は、狭くてサブミクロンの形態で、高品質で正角の薄膜を析出できないとともに、基板領域の拡大とともに達成することが難しい、膜厚の均一性を有する膜を析出できないことである。
一般的な薄膜析出技術におけるこれらの欠点は、先行技術として原子層析出として知られている、あるいは、1970年代の半ばに発明されたが近年までマイクロ電子工学装置の製造に適用されなかったALDとして簡単に知られている、薄膜析出処理に対する近年の技術によって大部分は除かれている。ALDはCVDの変形例である。化学吸着のよく知られた原理に基づく、ALD処理は、反応性ガス分子の強固に接着した単分子層を形成し、そのため、自己制御性であり、また、基板の面積とは独立である。また、ALD薄膜は、概して、基板表面の形態において深くてサブミクロンの形態においてさえも、正角である。これらは、種々の先進装置処理にとって、非常に強力でかつより人気のある特性である。典型的なALD処理において、反応性ガスの過剰な物理吸着ガス分子および官能性副生産物は、基板近傍からの不活性ガスのパルスによって吹き飛ばされる。すべてのガスは連続して注入された基板表面全体に広がり、析出すべき薄膜の単分子層を形成する。基板は、必要な反応エネルギーにより、適切に加熱されるかあるいは他の方法で供給され、化学吸着のすべての反応および製品としての薄膜を形成する化学反応に影響を与える。ALD処理は、通常、2つの不活性ガスパルスを含む4つのパルスで構成される。4つのガスパルスの全体としての処理シーケンスは繰り返され、単一層の精度で周期的に望ましい膜厚を構築する。通常のALD処理は、以下の方程式1に示される一般的な化学反応によって、概要が示される。
Figure 2009531535
ALD処理において、上記方程式(1)中に記載された反応における不活性ガスPの第2のパルスは、基板表面上のAx型物質化学吸着単一層を造ることに関与し、さらに次に、単一層ABを形成するために反応性ガス物質Byと反応する。不活性ガスPの第4パルスは、反応副生成物xyおよびByの過剰分を除去する。方程式(1)で記載した反応中における不活性ガスのパルスは、基板表面からの反応物質Axの過剰分を除去するために、処理槽の簡単な排気と置き換えることができるが、不活性ガスの衝突は、モーメントを与えることによって基板近傍から過剰な反応性ガスAx(またByおよび全体の化学反応−xyの副生産物)を除去する効率を改良する。また、不活性ガスはすべての化学反応に積極的に関与しない。
ALD処理を実施するさらに他の方法は、槽内の不活性ガスの一定の流れを維持し、十分な時間間隔で反応性ガスパルスを散在させることである。同時に、第2パルスまたは第4パルスまたは不活性ガスの両者の除去(または、不活性ガスパルスの代わりに用いられる単なる排気ステップ)は、全体の反応メカニズムを、ALD型から高速CVD型に変換する。しかしながら、原子層の析出は、その始まりから一般的であり、その後も受け入れられている。ALD処理の原理および基本的な処理装置のハードウェアは、参照として本発明明細書に含まれている、Suntola et al.による米国特許No.4,058,430に記載されている。
実際に、典型的なALD処理は、ALD処理が1つのALD処理サイクルを完了するためにかかる時間に依存しているため、従来のCVD処理と比較して、非常に遅い。一方、ALDにおけるサイクル時間は、速いスイッチングバルブなどのハードウェアおよびガスパルス化メカニズムの駆動スピードに加えて、ALD反応装置内のガス滞留時間(および非乱流ガス流れ)に依存しており、それらの効率、信頼性および耐用寿命は重要なファクターである。1平方メートル程度の大きい基板に対し、反応装置の容量、動作圧力およびガス流速に依存する、ALDの実際的な応用は、数十ナノメーターまたはそれ以下の大変薄い膜に限定される。この状態は、バッチ処理を用いることによって、部分的に改善することができる。しかしながら、バッチ処理は、基板背面への析出、比例的に大きい容積および基板の装着/脱着時間などの種々のファクターのため、望ましくない。そのため、業界動向は、より小さい容積で、単一基板またはミニバッチ(1バッチ4−5枚の基板)のALD処理装置を支持している。そのため、効率的なALD反応装置の動作に対し、要求された応用に対する最大限の解決に達するように、すべてのファクターおよびALDサイクル時間におけるインパクトの良い点と悪い点とを比較することによって、思慮深い選択がなされる。
基板上の背面析出を阻止できる複数ウェハーバッチ処理装置は、シリコンのエピタキシャル薄膜やGaAsなどの化合物半導体薄膜の分野で普及している。この目的のためも最も成功した反応装置の構成の1つは、複数の基板が台形の固体サセプターの面上に搭載される、バレル型反応装置である。サセプターは、外部ランプのような手段または誘導加熱構成によって、加熱される。反応性ガスは、通常、上部から導入され、バレルの底部から排出される前に基板上を流れ、一方、固体サセプター上に搭載された基板は、バレル内においてサセプターの垂直な軸の周りを回転する。複数枚ウェハーバレル型CVD反応装置の構成は、水平CVD反応装置が90°回転するとともに中心軸の周りに複数設けられた、最も一般的な水平CVD反応装置の構成の単なる延長にすぎない。これらの反応装置の構成のいずれもが、参考として本明細書中に含まれる、薄膜処理(II)、296−299頁、Jensen and Kern;J.L Vossen and W. Kern (eds.)、アカデミックプレス、ニューヨーク、1991に記載されている。バレル型CVD反応装置の構成は、小面積基板では有用であるが、実質的に基板表面に対して平行(縦方向)である、不活性ガスの流れメカニズムのため、不十分であると考えられている。この流れの構成は、より長い通路長そのためより長いサイクル時間に続く。そのため、CVD型の処理が最も適切である。米国特許No.5,458,725号は、静止した多角形のサセプターに平行に配置された開口部をそれぞれが有する複数チューブの配置を開示しており、チューブからのガスは静止したサセプターと実質的に平行な方向に向き、サセプターに取り付けられた基板上に芸妓した粒子を減少させる。米国特許No.5,716,484号は、静止した多角形のサセプターの周りに設けられた各チューブの3つの側面上にそれぞれが開口部のセットを有する、複数垂直チューブの同様の構成を記載している。チューブからの流れは、バレルの内面の掃除するのみならず静止したサセプターに衝突して、バレル内に旋回流を発生させて、粒子を減少する。
種々のALD反応装置の設計が導入され、ミニバッチ構成において基板の複数同時処理を行うことによって、全体のALD処理を加速している。米国特許No.6,306,216号において、Kim et al.は複数基板の同時処理のためのALD装置を記載している。近年、中心軸の周りに回転するとともに複数のインジェクターからのガスの流れにさらされる、複数の線形インジェクターを有する複数ウェハー連続流れALD反応装置の構成が、米国特許No.6,821,563号および米国特許No.6,576,062号、また、米国特許No.6,634,314号において記載されている。これらすべてのミニバッチALD反応装置において、複数の基板は、回転中線形インジェクターによりスキャンされる水平面上で回転するプラットホーム上に位置される。連続流れ複数枚ALD反応装置の構成は、結果をApplied Physics Letter, Volume 62, No. 19, 1993年5月10日に出版された、2.0ミクロン/時間(〜30nm/min)の析出速度で動作するGaAs原子層エピタキシープロセスのための、Bedair et al.によって記載されている。このALD反応装置の構成において、複数の基板は、水平面上で回転するサセプター上に位置し、石英の分離板により6つの同じ大きさの区画に分割された円形状の槽内に同軸状に搭載されている。槽には、2つの反応物資値入口および不活性ガス入口を供給している。回転するサセプター上の複数の四角形形状の基板は、反応物質および不活性がすに交互にさらされ、ALDサイクルを達成する。また、回転するプラテン上に搭載された線形インジェクターを有する複数ウェハーALD反応装置の構成、および、GaAs薄膜のための原子層エピタキシャル処理は、SPIE volume No. 1676, 20頁(1992)のLiu et al.によって記載されている。水平コンベアベルトの構成の連続流れ薄膜CVD処理のための外側排気ポート内に位置する複数の線形インジェクターを備える層流ブロックの使用は、米国特許No.5,683,516号および米国特許No.6,521,048号に記載されている。
連続流れALD反応装置の構成は、潜在的に高いスループットおよび迅速なスイッチングバルブによるガスシーケンスの複雑な処理の除去といった、種々の効果を提供する。しかしながら、複数ウェハーALD反応装置の構成によって達成さえる効果は、反応装置の容積が基板の全表面積と比例して増加するため、制限され、全体のALDサイクルおよび結果として起こる析出速度を示す。また、効果的なスループットに悪影響を与える、基板を装着および脱着するために要求される時間を考慮する必要がある。加えて、バッチALD装置では、設置面積が収容すべき基板の数とともに増加し、このことが注意深く考慮する必要がある他のファクターとなる。さらにまた、そのような反応装置が収納できる基板は、しばしば、平坦なもののみである。
低い欠陥濃度および広範囲の均一性を有する薄膜を提供するALD処理の本来の強さは、近年、薄膜太陽光電池における層の製造のために適用される。Guillemole et al.は、Japanese Journal of Applied Physics, vol. 40, pp.6065-6068 (2001)において、銅・インジウム・ジセレナイド(CIGS)太陽電池において13.5%の効率を報告しており、そこにおいて、硫化インジウム(InSe)バッファ層はALDによって析出されている。Naghavi et al.は、Progress in Photovoltaics, Research & Development, vol. 11, pp.437-443 (2003)において、ALDによって堆積された硫化インジウム(InSe)の30nm厚のバッファ層を備える銅・インジウム・ジセレナイド太陽電池において16.4%の効率を報告している。Ohtake et al.は、Japanese Journal of Applied Physics, vol. 34, pp.5949-5955 (1995)において、ALDによって、銅・インジウム・ジセレナイド太陽電池に対し100nm厚のセレン化亜鉛(ZnSe)バッファ層の析出を報告しており、その動作効率は11%である。ALDの近年の応用では、多くのものが、太陽電池の効率をかなり上昇させることを目的に、主となる吸着層の製造を指向している。Johansson et al.は、Journal of Materials Chemistry, vol. 12, pp.1022-1026 (2002)において、Cu(thd)2[thd: 2, 2, 6, 6, - tetramethyl - 3, 5, heptanedione]およびHSを前駆物質として用いて、硫化銅を析出させるALD処理を記載している。析出速度は125−160℃の温度範囲において約0.03nm/サイクルである。近年、Nanu et al.は、Chemical Vapor Deposition, vol. 10, No. 1, pp.45-49 (2004)において、銅・インジウム・サルファイド(CuInS)薄膜を堆積するALD処理の結果を記載している。ALD処理のために用いられた前駆物質は、塩化第1銅(CuCl)、三塩化インジウム(InCl)およびHSであり、基板は、ガラス、350−500℃の温度範囲でのALD処理による酸化スズ被覆ガラスおよびナノポーラスTiO被覆ガラスである。8秒/サイクル以上の膜析出の速度は、しかしながら、約1ミクロン厚の吸着層を析出するための実際の使用に対しては幾分遅い。
近年になって、Roscheisen et al.は、米国特許出願No.2005/0186338において、六角形のサセプターの周りにコイル状に巻かれたフレキシブル基板上での表面処理のためのALD装置を記載している。米国特許出願No.2005/0186342において、Sager et al.は、隣接するコイルの巻き線が互いに接触しないように、固定された六角形状のサセプターの周りにコイル状に巻かれた長くてフレキシブルな基板上に、銅・インジウム・ガリウム・セレナイド(CIGS)吸着層を析出するための装置およびALD処理を記載している。反応性および不活性の全てのガスは、底部の共通入り口を介してALD槽に注入される。そのようなALDシステムの構成の目的は、より小さい基板上のALDサイクルスピードを増加させる手段とは対照的に、ALDサイクル中の反応物質に利用可能な基板表面積を大規模に増やし、より高いスループットを達成することである。そのようなALDシステムは、しかしながら、より長い基板の装着/脱着時間、ガス注入に対する非フレキシブル性および数分の範囲におけるより長いサイクル時間をもたらす実質的に長いパルス幅に取り組まなければならない。ほぼミクロン厚さの太陽光吸着層に対して、そのような処理システムは完全に適切とはいえない。
高い均一性、膜厚の精確性および制御性、および、全処理中の組成を有する種々の薄膜を処理するための、広い範囲、ALDおよび/またはCVDモードにおけるかなり速いサイクルスピードを処理できる原子層化学気相処理装置、および、それを使用する方法が、大変望ましいことが明らかである。これらの目的を達成するユニークな装置および方法が、この明細書において実現できる詳細として教示される。
従来技術の記載を考慮して、本発明は、広範囲基板上の高速原子層処理装置の種々の構成およびそのような装置を駆動してフレキシブル基板上に複数層薄膜を析出させる方法を記載している。本発明の種々の実施例における装置は、高速で最小限の設置面積または物理的スペースで動作可能であり、1つの基板または複数の基板を均一に処理する。この発明の文脈において、原子層化学気相処理(ALCVP)の語句は、一般的に、3つの処理すなわち原子層成長法(ALD)、等方性または異方性のいずれかの原子層エッチング(ALET)、および、原子層表面改質(ALSM)の処理を含む。
従って、本発明のいくつかの実施例は、槽内に同軸状に搭載された実質的に円筒形のサセプターを有する実質的な円筒形の槽を含み、それによりそれらの間に環状のギャップを定義する。フレキシブルで長方形の基板は、サセプター上に巻き付けられ、サセプターの外周を覆っている。フレキシブルな基板はサセプターと直接的に熱的に接触している。基板の長さはサセプターの外周と実質的に同じであり、基板の幅はサセプターの幅と実質的に同じである。固有のALCVP処理装置は、さらに、サセプターの下に搭載された静止した抵抗ヒーターを備えるある実施例においても提供される。ヒーターへの電気エネルギーは、サセプターの同軸状に搭載された中空軸を通過するヒーター電力供給ケーブルから提供される。一実施例において、サセプターの中空軸の開放端は、固定された真空貫通接続コネクターを有する他の回転真空シール内に入れられ、それがヒーター電力供給ケーブルと外部の電力源との間の電気的な接触を達成する。他の例では、縦型光学ヒーターが外部槽の壁部に搭載され、槽の壁部内に装着された透明な窓部を介して基板上に輻射熱を伝達する。基板加熱のこれらのモードの両者において、内部に静止して非接触の状態で設けられた温度プローブがサセプターの空洞内に搭載され、サセプターの温度均一性をモニターするとともに閉ループの方法でサセプターの温度を制御する。非接触温度プローブに対する接続は固定された真空貫通接続を介して達成され、閉ループ温度制御を促進する。ここに記載された実施例において、基板処理領域は処理中に基板を囲むように適合されている。基板処理領域に対する装着/脱着ポートの開口部は、基板処理領域の内部へあるいはそこから外部へ基板を移送するために設けられている。また、ドアが、基板を装着および脱着し、処理中装着/脱着ポートを閉じるために設けられている。また、ドアは、閉じた状態で槽に対する真空シールを提供するよう適合されている。この実施例において、ALCVP処理装置は円形の槽内に搭載された少なくとも1つの複合ノズルを備えている。複合ノズルは槽の外部のサセプターの回転軸と実質的に平行に搭載される。複合ノズルは、少なくとも1つの外部排気装置の内部あるいは近傍のいずれかに搭載された、1つあるいはそれ以上の内側線形インジェクターを備えている。各内側線形インジェクターは、開口部から発生するガスを基板の方向へ指向させる複数の開口部を一方の側に備えている。内側線形インジェクターは反対側の端部にガス入り口部を有する一方の端部で閉じられるか、あるいは、内側線形インジェクターは中間にガス入り口部を有する両方の端部で閉じられる。内側線形インジェクターは、例えば、マスフローコントローラーなどのガスの制御および定量源に接続されている。複合ノズル内に搭載された内側線形インジェクターの長さは、サセプターの幅と実質的に同じである。装置の動作中、ガスは内側線形インジェクターから基板へ指向されて基板の幅を被覆し、一方、外側排気ポートは同時に基板の表面から過剰の(化学吸着しないあるいは未反応の)ガスを集める。ガスが内側線形インジェクターから流れて同時に複合ノズルの外側排気ポートを通して集められる間、サセプターはその軸の周りを回転し、ガスによる基板の連続的な被覆を保証する。回転する円筒部材上のガスの流れが回転方向に偏向することが知られており、そのような偏向を補償するために、サセプター表面に対するガスの流れの方向の調整(通常サセプター表面に対する内側線形インジェクターからのガス流れの方向によってできる角度)が単に内側線形インジェクターを傾けることによって変更される。複合ノズルの外側の排気ポートは、続いてスロットルバルブに接続されるゲートバルブに接続されている。スロットルバルブは、反応性ガス/化学気相捕捉トラップを通して真空ポンプなどの真空源に接続され、ALCVP反応装置に注入された未使用の化学前駆物質/ガスを集める。
好ましい実施例において、ALCVP反応装置には、槽の外周上に搭載されるとともに槽の軸に実質的に平行な4つの複合ノズルが設けられている。複合ノズルは、隣り合う2つの複合ノズル間の分離角度が実質的に同じとなるように、順番に位置している。また、槽には、槽の外周から半径方向内側へ延びる4つの長方形流れ分離プレートが設けられている。各流れ分離プレートは、槽の外周に沿って隣接する2つの複合ノズル間のスペースに位置している。また、各流れ分離プレートはベローの上に搭載されており、その下側端部と基板表面との間の分離は要望通り調整される。ALCVP反応装置の動作中、第1の複合ノズルは、第1の反応性ガスAを注入するとともに同時に基板表面から過剰のまたは化学吸着されていないガスAを集める。第2の複合ノズルは基板上に不活性ガスPを注入し、基板から不活性ガスPに沿って化学吸着されていない(過剰の)反応性ガスAを一掃して集める。第3の複合ノズルは、第2の反応性ガスBを注入するとともに基板から化学吸着されていない第2の反応性ガスBを集める。第4の複合ノズルは、基板上へ不活性ガスPを注入するとともに、同時に、不活性ガスPに加えて第1の反応性ガスAおよび第2の反応性ガスBの間の原子層処理反応の反応性副生成物を集める。4つの複合ノズルが連続して動作している間に同軸状に搭載されたサセプターに取り付けられた基板の連続する回転が、基板表面に対し連続的に第1の反応性ガスA、不活性ガスP、第2の反応性ガスBおよび不活性ガスPを備えるガス状の反応処理を行い、それにより原子層化学気相処理シーケンスを行う。望ましい厚さの薄膜の処理は、基板を予め定めた回転数だけ回転することによって、達成される。第1および第2の複合インジェクターの排気ポートは、第1のゲートバルブに接続された共通パイプに接続されている。同様に、第3および第4の複合インジェクターの排気ポートは、第2のゲートバルブに接続された共通パイプに接続されている。第2のゲートバルブは、順番に第2の化学濃縮/捕捉トラップを介して真空ポンプに接続された第2のスロットルバルブに接続されている。
他の実施例において、ALCVP反応装置には、第1の複合ノズルおよび第3の複合ノズルのそれぞれが少なくとも2つの内側線形インジェクターを備え、各内側線形インジェクターが、区別され、制御されるとともに定量の反応性ガス供給装置に接続されている、4つの複合ノズルが設けられている。複合ノズルは、隣接する2つの複合ノズルの間の分離角度が実質的に同じになるように、順番に位置している。ALCVP反応装置には、また、4つの長方形流れ分離プレートが設けられている。複合ノズルのいずれか1つに供給される反応性ガスは、複合ノズル内でお互いが同時に反応しないように、選択される。しかしながら、これらの反応性ガスは、合計で、他の複合ノズルに供給される反応性ガスに対する反応性を示している。ALCVP反応装置のこの実施例において、第1の複合ノズルは第1の反応性ガスAおよび第3の反応性ガスCを用い;第2の複合ノズルは不活性ガスPを用い;第3の複合ノズルは第2の反応性ガスBおよび第4の反応性ガスDを用い;第4の複合ノズルは不活性ガスPを用いている。ALCVP反応装置のこの特定の構成において、第1の反応性ガスA、不活性ガスP、第2の反応性ガスBおよび不活性ガスP(例えば:A、B、PおよびP)を備える第1の原子層化学気相処理シーケンスは、サセプターを回転させて順番に基板を動作中の全ての要求される複合ノズルセットによって当初実施される。第1の原子層化学気相処理シーケンスは、基板をALCVP反応装置から除去することなく、第3の反応性ガスC、不活性ガスP、第4の反応性ガスDおよび不活性ガスP(例えば:C、P、DおよびP)を備える第2の原子層処理シーケンスに続く。あるいは、全ての反応性ガスA、B、CおよびDから引き出される成分を備える可変成分の薄膜が処理される。また、膜の成分を、A、B、CおよびDからなるグループから選択された1つあるいはそれ以上の反応性ガスの流れを単に調整する(または、望ましくはスイッチングする)ことによって、処理中その場で変化させることができる。さらにまた、(AB)−(CD)−(AB)−(CD)…(ここで、m、n、oおよびpは全て整数)からなる交互の2層構造は、基板を予め決められた回転数だけ回転させている間に、反応性ガスA、B、CおよびDの流れを適切にスイッチングすることによって処理される。
さらに他の実施例において、ALCVP反応装置には、4つの複合ノズルと4つの長方形流れ分離プレートとが設けられている。複合ノズルは、隣り合う2つの複合ノズルの間の分離角度が実質的に同じとなるように、順番に位置している。第1の複合ノズルの内側線形インジェクターは、シンボルA、AおよびAによって示される、異なる独立して制御された反応性ガスの供給装置に接続される。第2の複合ノズルの内側線形インジェクターは、不活性ガスPに接続されている。第3の複合ノズルの内側線形インジェクターは、シンボルB、BおよびBによって示される、異なる独立して制御された反応性ガスの供給装置に接続される。第1のグループA、AおよびAの反応性ガスは、それらが互いに同時に反応しないように、選択される。そしてまた、第2のグループB、BおよびBの反応性ガスはお互いに同時に反応しない。しかしながら、第1のグループの反応性ガスは、第2のグループの反応性ガスに対し高い反応性を示し、そのことはALCVPタイプの処理を行うために非常に望ましい。ALCVP反応装置のこの実施例において、全部で6要素A、A、A、B、BおよびBを備える化合物の薄膜は、全部で6要素の相対的な濃度を変えることで処理される。
他の実施例において、ALCVP反応装置には、円形状の槽内において槽の軸に対して実質的に平行に搭載された不活性ガスを供給する2つの複合ノズルが設けられている。ALCVP反応装置には、また、4つの流れ分離プレートが設けられている。
ALCVP反応装置のその他の実施例において、サセプターの断面積は多角形、好ましくは、実質的に円形の原子層処理槽内に同軸状に搭載されているそれぞれが台形状の面を揺する八角形である。さらにまた、サセプターの台形状の面は少なくとも1つの基板を保持するために用いられる。サセプターの形状以外に、ALCVP反応装置の構成の詳細は、上述した実施例のそれらと近似している。ALCVP反応装置の動作中、その上に搭載された複数の独立した基板を有するサセプターはその軸の周りに回転し、一方、反応性および不活性ガスは全ての複合ノズルから流され、望ましいシーケンスでガスによる基板の完全な被覆を保証する。望ましい厚さの薄膜の処理は、予め定められた回転数によって達成される。また、台形状のサセプターの各面は、サセプターの回転中における基板の保持を促進するために、槽の垂直軸に対して鋭角にされている。傾いたサセプターの構成に対し、全ての複合ノズルは、また、垂直に対して同じ角度だけ、そのため、サセプターの表面に対して実質的に平行に、実質的に傾いて搭載される。
本発明のさらに他の実施例において、少なくとも2つの円筒形状のサセプターが多角形の槽内に搭載される。フレキシブルな金属ベルトが、サセプターと直接的に熱接触する基板ホルダーとして用いられる。少なくとも1つのフレキシブル基板が基板ホルダー上に搭載される。各円筒形状のサセプターには、さらに、同心円状下側に搭載された静止ヒーターが設けられる。また、2つの縦型静止ヒーターが、基板を加熱するために、2つの円筒形状のサセプターによって規定されるスペース内に設けられる。4つの複合ノズルが、装置の動作中に、各々が複数の一方の側に沿った開口部を有するとともに複合ノズル内に搭載された、内側線形インジェクターが、実質的に基板の幅を被覆するよう基板に向かってガスを指向させるように、多角形槽の周辺部上およびサセプターの近傍に搭載される。同時に、複合ノズルの外側排気ポートは、基板上への入射に続き基板の近傍において過剰ガスを集め、一方、フレキシブル金属ベルトに取り付けられた基板は、少なくとも1つの円筒体を回転させることによって、動作状態にセットされる。4つの複合ノズルの装着のシーケンスは、基板の回転方向において、第1の反応性ガスA、不活性ガスP、第2の反応性ガスBおよび不活性ガスPである。望ましい原子層化学気相処理シーケンスは、全ての複合ノズルが操作可能な状態で、基板を回転することによって実施される。あるいは、ALCVP反応装置のこの特定の実施例において、フレキシブル金属ベルトは、円筒形状のサセプターに対し直接的に巻き付けられたスリーブの形状のフレキシブル基板によって、完全に置き換えることができる。望ましい膜厚は、単に基板を予め定められた回転数だけ回転することによって処理される。
上述したALCVP反応装置の構成において、サセプターにフィットする一対のセラミック端部コネクターによって、サセプター上に巻き付けられてフィットする。また、基板はスリーブの形状で基板上に搭載される。他の例では、基板は、真空吸引または静電チャックを用いることによってサセプター上の位置に保持され、あるいは、溝付きの空洞内に搭載される。多角形のサセプターの場合、基板は、多角形サセプターの小面上において、溝中の傾いた位置に保持される。また、ピンを用いて基板を所定の位置に保持する。基板材料は、金属被覆プラスチック、ステンレス鋼、アルミニウム、モリブデンまたは他の金属の好ましい合金、シリコン、炭化ケイ素、ヒ化ガリウム、窒化ガリウムのウェハーのような化合物半導体、石英、または、ソーダガラスから好適に選択されるが、これらに限定されるものではない。
従って、ここに記載されたフレキシブル基板処理の各種の構成は、グレーデッドバンドギャップ太陽電池;マルチジャンクション薄膜太陽電池;精確に規定された成分を有する広範囲触媒被覆、精確なインターフェースエンジニアリング、および、種々の他の応用例のうちの広範囲基板上における複層薄膜光学被覆の製造において有益な望ましい膜厚によって、膜成分がその場で動的に変化する、精確に制御された成分の薄膜を処理するために用いられる。また、基板処理は、原子層処理モードではかなり速いスピードで、または、化学気相処理モードではかなり速い速度で、達成される。原子層モードにおける薄膜処理速度は、サセプターの回転速度にかなり依存する。高速度モードにおける薄膜処理の速度は、サセプターの回転速度、基板への反応ガスの流れの速度および基板温度に依存する。そのため、本発明の装置の構成は、広範囲フレキシブル基板上、および、小さい容積で小さい設置面積内での複数基板上、における原子層処理をかなり促進する。この発明の多くの実施例におけるALCVP装置は、サセプターの回転軸がグランド面に対し平行になるように、方向つけられる。しかしながら、基板がサセプター上に巻き付けられ保持されるために、サセプターの回転軸の他の好ましい方向性が装置の動作に対して同様に効果的であることに注意することは重要である。装置の動作、および、複層、グレーデッドおよび複数成分の太陽光電池のための薄膜材料の析出のための化学処理、および、他の応用例は、種々の図面を参照して以下に詳細に記載する。
本発明は、触媒電極、薄膜、パネルなどの種々の応用例のための広範囲でフレキシブルな平面状の基板上に、半導体装置、太陽光電池、ディスプレイ、薄膜を製造することを含む種々の応用例のための、単一原子層の精度での、少なくとも析出、エッチングおよび表面改質を含む、薄膜処理に関するものである。以下の記載は本発明の種々の実施例であり、記載された実施例に対する種々の変更は、当業者にとって明らかなことであり、ここに記載されクレームされた特許性のある主題は他の実施例にも適用することができる。そのため、本発明は、示された実施例に限定されることを意図しておらず、ここに記載された理念および種々の特徴で構成される最も広い範囲を許容する。
本発明は、コンパクトな容量で流れの安定性を有する、小さな設置面積および大変短い道程で、反応性ガスによって、フレキシブルでまた複数の平面状の基板の被覆を達成できる、原子層化学気相処理(ALCVP)装置の構成に関するものである。種々の実施例において、基板の迅速で反復的で相対できな動きを、ガスジェットの噴射による安定な流れの形式で、種々のガスインジェクションのスキームと組み合わせることは、迅速で実質的に完全な表面被覆を達成する。そのため、当業者にとって、そのような装置が本来一般的であり、例えば、基板上で実施される望ましい処理の反応化学によって限定されるものではなく、また、膜の合成、基板材料の除去(エッチング)、または、基板の化学的性質の変更に限定すべきでないことは、明らかである。そのため、本発明の実施例における装置は、好適な処理条件のもと1つあるいはそれ以上の基板上で、金属、半導体、絶縁体およびそれらの好適な組み合せの種々の薄膜を、原子レベルの精度で、ここに記載された1つあるいはそれ以上の実施例を使用して、処理するという第2の目的を有している。さらにまた、原子層化学気相処理に対する処理の動作範囲は、含まれる動作パラメーターに対して充分に広いものであり、動作容器の圧力、ガスの流速、および、基板温度に限定されないことに注意すべきである。適切な動作圧力の範囲は、760Torrより若干低い圧力から数百ミリTorrまでであり、反応温度は、特別の気相反応化学に依存している。多くの場合、最低のガス流速で処理を行うことが最も賢明である。しかしながら、流速は、実質的に完全で必要ならば均一な表面被覆を得るために、十分な量の反応物質を基板に供給するのに適切でなければならない。当業者にとって、処理変数を制御して、望ましい処理方法を開発するために、処理パラメーターの最適化の手法およびそれを達成するのに要求される方法(例えば、質量流量制御装置、温度制御装置、圧力制御装置、バルブ制御、処理パラメーターの閉ループ制御など)は、周知である。
種々の装置構成およびその動作を含む、本発明のいくつかの実施例は、発明者にとって周知の現行装置から始まる種々の図面の助けにより、このセクションで詳細に記載される。先行技術の一例としての本発明の前の装置として、複数ウェハバレル型CVD反応装置システム10の図面を、図1に示す。外側の円筒形状のバレル12が容器を構成し、基板14a、14b、‥‥14gおよび14hが、サセプター16の小平面上の凹型領域内の個体で八角形の断面を有するサセプター16の招聘面上に位置している。そこに取り付けられた複数の基板14a、14b、‥‥14gおよび14hを有するサセプター16は、真空ポンプ(図示せず)に接続した底部の出口18を有する円筒形状のバレル12に配置される。気相析出反応のために必要な反応性ガスは、上部の入口20および22のそれぞれから供給される。外部加熱配置24によって加熱されたサセプター16は、その垂直な軸の周りを、外部回転気孔26によって回転する。加熱された基板の表面上における確実な化学気相析出反応が、望ましい薄膜を堆積する。動作は簡単だが、大きな容量のバレル型CVD反応装置は、前駆物質のガスがALD処理の場合と同様に混合と同時に反応しやすい反応化学に対し適切ではない。
図2Aは、サセプター34の下に位置する固定されたヒーター36aおよび36bのそれぞれによって加熱される水平サセプター34上に配置された4つの基板ウェハー32a、32b、32cおよび32d上に薄膜を堆積させるために用いられる複数ウェハーALD反応装置30の垂直方向の断面図である。反応性ガスがインジェクターチューブ38aおよび38cを介して連続的に流れるとともに、不活性ガスが頂上部に固定されたインジェクターチューブ38bおよび38d(インジェクターチューブ38bおよび38dは図2Aにおいて示されていない)を介して連続的に流れる間に、サセプターは水平面上をその垂直軸の周りを連続的に回転する。すべてのウェハーは反応性ガスと不活性ガスに交互に曝露され、望ましい薄膜の厚さを形成するために4つのタイプのガスを反復的に供給するALD処理シーケンスを完了する。図2Bは、基板ウェハーおよびサセプターに対するインジェクターチューブの相対的な位置を示す図2Aに示された複数ウェハーALD反応装置の平面図である。図2Bは、また、2つの隣接するインジェクターチューブ間のギャップに垂直方向下向きに(サセプター面に垂直に)挿入された固定された流れ分離プレート35a、35b、35cおよび35dを示している。流れ分離プレートは、インジェクターチューブからのガスの一定の流れのもとで基板上に発生し始めるよどみの境界層を破壊するのに役立つとともに、次のインジェクターから基板表面への化学物質の迅速な移送を助長するのに役立つ。
図3Aは、コンベアーベルト上の直線の下を横切る加熱された基板上に薄い誘電体膜を析出するために用いられる、平行線形インジェクタースロットCVDシステム40の断面図である。第1の前駆物質Aが内部スロット42を介して注入され、同時に、第2の前駆物質Bが内部スロット42の両側に位置する2つの隣接するスロット43aおよび43bから注入される。コンベアベルト46を回転させることで基板が連続して反応性ガスにさらされるように、3つの平行なスロット42、43aおよび43bからのガスの流れは、基板44a、44b、44c…の列に下向きに衝突する。基板は、コンベアベルト46の下に位置する固定されたチューブ型ヒーター47のセットによって、加熱される。反応性ガスを、外側の平行排出スロット48aおよび48bから排出する。
図3Bは、交互に密集して配置された平行なインジェクターおよび真空ポート52の複数のセットを用いる、ALDシステム50の断面図である。この構成において、反応性ガスか不活性ガスのための各ガスインジェクターは、真空ポートによって分離されている。インジェクターおよび真空ポート52のセットは平行スロット54のセットに接続され、反応性ガスおよび不活性ガスを、下側の基板56の表面に運び、また、基板56から上側の共通真空ポートに運ぶ。すべてのインジェクターは各ガスソースから供給され、一方、2つのガス入り口の間に介在したすべての真空ポートは共通の排気マニホルドに接続される。サセプター58上に位置するとともに下側に搭載された固定されたヒーター60によって加熱される、基板56は、一方向に横切って配置される。
図4Aは、一様な軸速度Vを有する平面ジェット62の平面固体表面64への直角での衝突によって区別される、先行技術としての、二次元よどみ点流体流れ構成60を示す。続いて起こる流体流れ構成は、厚み=δの実質的に均一な境界層66および文字Pで示されたジェットの中心におけるよどみ点を、発生する。矢印は固体表面64近傍の流体流れの方向を示す。
図4Bは、出口速度=Vを有するジェット72の、その軸の周りを反時計回りに回転する湾曲した円筒体74上への衝突によって区別される、先行技術としての、基本的な二次元よどみ点流体流れ構成70を示す。よどみ点P’を有する厚さ=δ’の境界層76は、ジェット72の中心における円筒体74の表面上に発生する。矢印は、流れが円筒体の回転方向に発生して偏向(引っ張られる)される、回転円筒体74の表面近傍における流れの方向を示している。
図5Aは、本発明の一実施例としての、入り口チューブ81aを備える複合ノズル80aの長さ方向に沿った断面図である。入り口チューブ81aは第1の内側線形インジェクター82aに接続されている。内側線形インジェクター82aは、両端において、中間のガス入り口81aおよび方向性ガスの排出のための一方の側で孔の開いたガス出口82aと近接する中空キャビティである。他の例では、内側線形インジェクター82aは、他の端部でガス入り口81aを有する、一方の側で近接した中空キャビティとすることもできる。流れ方向転換プレート83aは、2つの搭載用スクリュー84aおよび85aにより、内側線形インジェクター82a内に搭載されている。内側線形インジェクター82aは、筐体88aによって形成された外側排気ポート87a内に入れられている。筐体88aは排気コーン89aに接続され、排気コーン89aは真空システムに接続するための外側チューブ90に接続されている。また、複合ノズル80aには、排気ガスの濃縮を防ぐために、外側ヒーター91aが設けられている。最後に、複合ノズル80aには、容器本体に対する真空シールを得るために、周囲のOリングシール92aが設けられている。
図5Bは、外側排気ポート87aを形成する筐体88a内に搭載された、複数の開口部を有する開口プレート86aを有する1つの内側線形インジェクター82aの底面図である。外側排気ポート87aは排気コーン89aに接続されている。周囲のOリングシール92aは真空シールを提供するために用いられている。
図6Aは、互いに近接して搭載された2つの入り口チューブ81aおよび81bを備える、本発明の一実施例における複合ノズル80bの幅方向に沿った断面図である。第1の入り口チューブ81aは第1の内側線形インジェクター82aに接続され、第2の入り口チューブは第2の線形インジェクター82bに接続されている。流れ方向転換プレート83aおよび83bは、それぞれ、内側インジェクター82aおよび82b内に搭載されている。内側線形インジェクター82aはガス注入のための複数の開口部を有する開口プレート86aを有するとともに、内側線形インジェクター82bはガス注入のための複数の開口部を有する開口プレート86bを有している。開口プレートのパターンの詳細は、以下により詳細に記載する。内側線形インジェクター82aおよび82bは、筐体88bによって形成された外側排気ポート87b内に入れられている。筐体88bは排気コーン89bに接続され、排気コーン89bは真空システム(図示せず)に接続するための外側チューブ90に接続されている。また、複合ノズル80bには、排気ガスの流れの濃縮を防ぐために、外側ヒーター91bが設けられている。また、複合ノズル80bには、処理中に容器本体に対する真空シールを得るために、周囲のOリングシール92bが設けられており、その詳細は以下に記載される。内側線形インジェクター82aおよび82bは、それぞれ、両端が閉じられ、中間にガス入り口を有し、方向性ガスの注入のため一方の側に設けられた複数の開口部を有するチューブによって、または、一方の側が閉じられ、対向する端部に設けられたガス入り口を有する2つのチューブによって、あるいは、それらの組み合わせによって、置き換えられることができることに注意のこと。また、図5Aおよび図6Aに記載された複合ノズル構成のための流れ方向転換プレートの使用が、オプションであることに注意のこと。しかしながら、後に記載するように、反応性プラズマソースが直接入り口チューブ81aおよび81bに接続されるようなある処理化学に対し、複合ノズル80および80’内に流れ方向転換プレート83aおよび83bを侵入することは、基板上におけるプラズマ中の高エネルギーで時々有害な反応性物質の衝突を最小限にするために効果的である。
図6Bは、外側排気ポート87bを形成する筐体88b内に搭載された、それぞれが複数の開口部を有する開口プレート86aおよび86bを有する2つの内側線形インジェクター82aおよび82bを有する、図6Aに記載された複合ノズル80bの底面図である。外側排気ポート87bは排気コーン89bに接続される。周囲のOリングシール92bは真空シールを得るために設けられている。
図7は、一方の側に入り口を有し、他方の端部を閉じるとともに一方の側に複数の開口部を有する内側線形インジェクター82a’が2つの排気ポート93aおよび93bによって両側に設けられている、複合ノズル80cの他の構成の底面図であり、基板上への衝突に続く内側線形インジェクター82a’の開口部から出る流れが排気ポート93aおよび93bによって吸収される。
図8は、内側線形インジェクター82aを有する複合ノズル80dのさらに他の構成の底面図である。内側線形インジェクター82aは、構成が図6Aに詳細に記載されているように、両端が閉じられ、中間に入り口を有し、内側線形インジェクター82aの両端に配置された2つの排気ポート94aおよび94bを備えている。
図6Aおよび6Bに示された複合ノズル中の内側入り口チューブ81aおよび81bが、同時に互いに反応しない2つの異なる反応性ガスの制御された供給装置に接続できることに注意すべきである。ここに詳細に記載され、図5A、5B、6A、6B、7および8に示されたすべての複合ノズル構成80aから80dの出口チューブ90は、真空のソース、例えば、後に詳細に記載されるゲートバルブ、スロットルバルブおよびフィルター/トラップを解するポンプに接続される。すべての複合ノズル構成80aおよび80d内のガスのための流れ通路は、入ってくる流れに対し実線の矢印によって、出て行く流れに対し波線の矢印によって、記載される。
図9Aは、複数の円形状の出口部101a、101b、…101mおよび101nを有する開口プレート86aおよび86bに対するホールパターン100の底面図である。図9Bは、複数のスロット121a、121b、…121mおよび121nを有する開口プレート86aおよび86bに対するホールパターン120の底面図である。図9Cは、複数の長いスロット141a、141b、…141mおよび141nを有する開口プレート86aおよび86bに対するホールパターン140の底面図を示す。図9Dは、1つの縦方向のスロット161aを有する開口プレート86aおよび86bに対するホールパターン160の底面図を示す。当業者にとって、開口プレート86aおよび86bの開口パターンが図9A−9Dに示された各種のパターンの適切な組み合わせができること、また、特定の開口パターン内に、ホールの直径、スロットの幅および長さ、および、その相対的な間隔などの特定の特徴の大きさおよび間隔が、特的の化学処理に対し要求されることを理解することができる。
図10A−10Cは、長さLの内側線形インジェクターの長さ方向に沿ったガス流れの速度分布の3つの異なるプロファイルを示す。各種の他の速度分布プロファイルが、線形インジェクターの構成、形状、大きさ、入り口の流れ速度の各種動作状態下における入り口チューブの配置および動作圧力などの組み合わせで達成可能であること、を注意すべきであるとともに、当業者であれば明らかである。さらにまた、内側線形インジェクターは、本発明者によって2004年10月27日に出願された米国特許出願No.10/975,169で記載されているその場化学気相発生装置によって置き換えられることに注意すべきである。この特許出願の全てはここに参考として含まれている。
図11Aは、支持ブリッジ182上に搭載されたステッパーモーター181を用いる可動内部流れ分離プレートサブシステム180の断面図である。ローター183にはラジアルギアスレッド186が設けられている。外側プレート185は、また、ラジアルギアスレッド184と歯合するプラナーギアスレッド186に設けられている。外側プレート185はベロー187に接続されている。ベロー187は、ALCVP容器本体189に接続することができるとともに、可動内部流れ分離プレート188に接続される。外側プレート185に取り付けられたプラナーギアスレッド186と歯合したラジアルギアスレッド184の正確な回転は、望んだ処理中にALCVP容器内の可動内部流れ分離プレート188の正確な位置決めを可能とする。
図11Bは、ALCVP容器本体189に対する真空シールを形成するために、リップシール187’内の一対のOリング190aおよび190bのそれぞれを用いる、可動内部流れ分離プレートサブシステム180’の他の構成の断面図である。リップシール187’は、また、外側プレート185を支持し、ALCVP内の可動内部流れ分離プレート188’の正確な位置決めに影響を与える。
図12Aは、長さ=L、幅=wおよび厚さ=tを有するフレキシブル基板200の斜視図を示す。基板200は、さらに、外表面210および内表面211によって特徴付けられる。
図12Bは、端部コネクタースクリュー214aおよび214bのそれぞれの第1の対を一方の端部(幅wに沿って)に取り付けた第1のセラミック端部コネクター212、および、端部コネクタースクリュー218aおよび218bのそれぞれの第2の対を対向する平行な端部に取り付けた第2のセラミック端部コネクター216を有するフレキシブル基板200(その外表面210を有する)の平面図を示す。(各セラミック端部コネクターの2×幅を含む)アセンブリーの全長さは、L’>Lの条件のもとL’である。
図12Cは、対向する端部にそれぞれが取り付けられたセラミック端部コネクター212および216によって支持された、外側基板表面210および内側基板表面211を有する、フレキシブル基板200の側面図を示す。第1の端部コネクター溝220および第2の端部コネクター溝222は、その詳細を以下に記載する、基板を取り扱うための機械化されたピックアンドプレース(例えばロボットフォークを用いる)配置をアシストするために設けられている。差し込み図内の第1セラミック端部コネクター212の拡大図は、第1の端部コネクター溝220および端部コネクタースクリュー214bの位置を示している。
図13は、本発明の一実施例における円形サセプター230の断面図を示す。円形サセプター230は、さらに、内側基板表面232および外側基板表面234によって特徴付けられる。円形サセプター230には、また、第1のロッキングスロット236および第2のロッキングスロット238をその幅方向に沿って設けられている。上述したように、基板の幅は実質的にサセプターの幅と同等である。また、第1のロッキングスロット236および第2のロッキングスロット238の大きさは、第1のセラミック端部コネクター212および第2のセラミック端部コネクター216の大きさと同程度である。
図14は、円形サセプター230上へのフレキシブル基板200の位置決め処理における第1ステップを示す。ここで、対向する端部にそれぞれ取り付けられたセラミック端部コネクター212および216を有する、基板200は、フォークの端部を第1の端部コネクター溝220に挿入することにより、機械化されたフォーク配置(図示せず)によって達成される。第2のステップでは、第1のセラミック端部コネクター212は第1のロッキングスロット236内に強固に配置される。第3のステップでは、サセプター230はこの例では反時計方向に回転し、内側基板表面211が外側サセプター表面234と強固な機械的接触をするとともに外側基板表面210が露出されるように、外側サセプター表面上に基板200を巻き付ける。最後に、第2のセラミック端部コネクター216を第2のロッキングスロット238に強固に配置し、フレキシブル基板を搭載(巻き付ける)処理が図15に示すように完成される。
図16は、本発明の好適な実施例のz−x平面内における原子層気相処理(ALCVP)反応装置240の垂直方向の断面図である。ここに記載されたすべてのALCVP反応装置の構成の回転軸はグランド面に平行であるが、これに限定されるものではなく、軸は他の方向でも良いことに注意すべきである。原子層処理反応装置240は、実質的に円筒形状の容器本体189を備える、小容積、コンパクトおよび短いパス長さの原子層化学蒸着気相処理反応装置である。この実施例において容器本体189には、さらに、容器本体189の周囲に連続的に搭載された、4つの複合ノズル80−1、80−2、80−3および80−4を設けており、2つの隣接した複合ノズルの間の角度距離は実質的に同じであり、ノズルは本体の周囲に等間隔に設けられている。記載を簡略化するために、本発明は4タイプの複合ノズルを80a(図5A−5Bにおいて示された)、80b(図6A−6Bにおいて示された)、80c(図7において示された)および80d(図8において示された)と記載したが、以下、4つの複合ノズルを単一の数字80で参照し、ハイフォンの後に示した1、2、3および4の数字が第1、2、3および4の複合ノズルのそれぞれを参照することは明らかである。そのため、4つのノズルの各々は、複合ノズル80a、80b、80cおよび80dからなるグループから選択される。さらに、ALCVP反応装置の本構成において、第1の反応性ガスAの制御された供給装置が第1の複合ノズル80−1に接続され;不活性ガスPの制御された供給装置が第2の複合ノズル80−2に接続され;第2の反応性ガスBの制御された供給装置が第3の複合ノズル80−3に接続され;そして、不活性ガスPの制御された供給装置が第4の複合ノズル80−4に接続されている。4つの複合ノズル80−1、80−2、80−3および80−4のそれぞれの排気出口は、真空ソース(図示せず)に接続されている。ここで、各ガスの供給装置に対するマスフローコントローラのメカニズムの詳細が図示されていないことを強調する。しかしながら、当業者にとって、これらのことは十分に理解される。複合ノズル80−1、80−2、80−3および80−4は、Oリングシール92−1、92−2、92−3および92−4のそれぞれを有する容器本体189に取り付けられている。固定された背面側ヒーター252を有する円形サセプター230は容器本体189内に同軸状に搭載され、それらの間に環状のギャップ250を規定する。フレキシブル基板200は円形サセプター230上に巻き付けられる。ALCVP反応装置240には、基板ロードアンロードポート254およびOリングシール258を有するドア256が設けられている。ドア256はリモート制御された空気バルブ配置(図示せず)によって動作され、基板ロードアンロードポート254の開閉を行う。閉じた位置のドア256は、基板に対する処理中における容器本体189に対する真空シールを提供する。さらにまた、ALCVP反応装置240には、固定された非接触温度測定プローブ260a、260bおよび260cが設けられ、内側サセプター表面232の温度をモニターし、それにより、固定された背面側ヒーター252に供給された電気エネルギーを閉ループで制御する。閉ループ温度制御回路およびそれに付随するハードウェアの詳細は図面において示されていないが、当業者であれば周知である。サセプターの回転軸は数字262で示される。基板処理の間、すべての複合ノズル80−1、80−2、80−3および80ー4が動作し、望ましい温度に維持されている円形サセプター230は、この例では、回転軸262の周囲に反時計方向に回転され、サセプターの所定回転数により望ましい大きさの薄膜を処理する。基板の所定回転数の完了に続き、反応性ガスの流れが切り替わり、基板は不活性ガスの流れで冷却される。ALCVP反応装置の動作の詳細は、以下に示される。また、反応性ガスを用いる複合ノズル80−1、80−3の少なくとも1つは、ここに参照して記載されている、2004年10月27日に出願された米国特許出願No.10/975,169に記載されたその場化学気相前駆物質精製装置の形態をとることができる。最後に、隣接する複合ノズルの排気ポート配置およびそれらの接続性のスキームの詳細を以下に記載する。
他の実施例において、ALCVP反応装置240、第1の複合ノズル80−1および第3の複合ノズル80−3は図6A−6Bに示された例を用い、一方、第2の複合ノズル80−2および第4の複合ノズル80−4は図5A−5Bに示された例を用いる。第1の複合ノズル80−1の隣接する内部インジェクター82aおよび82bのそれぞれにおいて用いられる化学前駆物質および第3の複合ノズル80−3で用いられる化学前駆物質は、特定の複合ノズル内でそれらが互いに反応しないように、選択される。しかしながら、それらは他の複合ノズルから用いられる化学前駆物質とは反応しがちである。第2実施例のALCVP反応装置の構成における残りの詳細は、図16で示したALCVP反応装置240の実施例に対して記載されたものと近似している。
図17は、2つの隣接するノズルの間の角度距離が実質的に同じとなるように容器本体189の周囲に搭載された4つの複合ノズルを有する、本発明の第3実施例に係る、z−x平面内における原子層化学気相処理(ALCVP)処理装置の垂直方向の断面図を示す。第1の複合ノズル80−1は、マスフローコントローラー265−1、265−2および265−3のそれぞれを介して3つの反応性ガスA、AおよびAの制御された供給装置に接続されている。3つの反応性ガスA、AおよびAは、それらが同時に互いに反応しないように、選択される。同様に、第3の複合ノズル80−3は、マスコントローラー267−1、267−2および267−3のそれぞれを介して3つの反応性ガスB、BおよびBの制御された供給装置に接続されている。3つの反応性ガスB、BおよびBは、それらが同時に互いに反応しないように、選択される。しかしながら、B、BおよびBのいずれもA、AおよびAのすべてと同時に反応しやすい。図17に示すALCVP反応装置構成の詳細は、図16に対し上述した例と全く同じである。
図18は、本発明の第4実施例に係る、z−x平面内における原子層化学気相処理(ALCVP)反応装置240の垂直方向の断面図を示す。ALCVP反応装置のこの実施例において、第1の反応性ガスAを用いる第1の複合ノズル80−1および第3の反応性ガスCを用いる第5の複合ノズル80−5は、互いに密接な位置に搭載されており、一方、第2の反応性ガスBを用いる複合ノズル80−3および第6の反応性ガスDを用いる第6の複合ノズル80−6は、互いに密接な位置であり、反応性ガスAおよびCのそれぞれを用いる第1の複合ノズル80−1および第5の複合ノズル80−5からなるペアに対し実質的に直径方向に対向する位置に搭載される。両者とも不活性ガスを用いる複合ノズル80−2および複合ノズル80−4は、反応性ガスを用いる複合ノズルのペアの間の実質的に中間の位置に搭載される。この実施例において、第1の反応性ガスA、不活性ガスP、第2の反応性ガスBおよび不活性ガスPを備える第1の原子層化学気相処理シーケンスは、動作のためにセットされた複合ノズル80−1、80−2、80−3および80−4からのガスの流れに基板が連続的に曝露されるようにサセプターを回転することによって実行される。第1の原子層化学気相処理シーケンスの後に、ALCVP反応装置から基板を除去することなく、第3の反応性ガスC(第5の複合ノズル80−5から)、不活性ガスP(第2の複合ノズル80−2から)、第4の反応性ガスD(第6の複合ノズル80−6)および不活性ガスP(第4の複合ノズル80−4から)を備える第2の原子層処理シーケンスが実行される。あるいは、反応性ガスA、B、CおよびDから引き出せる、金属、非金属その他の材料を備える、いかなる望ましい元素の合成からなる可変複合体の薄膜を処理することもできる。さらにまた、一方または両方の膜の複合体を、反応性ガスA、B、CおよびDの1つあるいはそれ以上の流れを簡単に適切に調整する(あるいは必要に応じてスイッチオフする)ことによって処理中にその場で変更することができる。そのため、可変組成、または、厚みに沿っての組成的な傾斜、または、2層、または、複数層(この特別な場合において、(AB)m−(CD)n−(AB)o−(CD)p…(ここで、m、n、oおよびpは整数)の構造を有する交互の2層膜)を有する複数組成の薄膜を、望ましい回転数だけサセプターを回転することによって処理することができる。
図19は、x−y平面内における、図16に示されたALCVP反応装置の断面図である。図19は、ベースプレート270上に組み込まれた同軸状に搭載された円形サセプター230を有する槽本体189、および、半径方向に互いに対向して搭載され、サセプター262の回転軸に実質的に平行な、第1の複合ノズル80−1および第3の複合ノズル80−3を示している。円形サセプター230は、この実施例において、下側のサセプターホルダープレート272によって支持され、上側のサセプターホルダープレート274によって囲まれ、中空部264を規定している。ALCVP反応装置には、さらに、ベースプレート270内に搭載された下側回転シール276およびキャッププレート275内に搭載された上側回転シール278を設けている。キャッププレート275およびベースプレート270は、Oリングシール279aおよび279bのそれぞれを用いて、槽本体189に接続されている。下側の中空シャフト280は、下側の回転シール276を通過して、下側のサセプターホルダープレート272に接続する。上側の中空シャフト282は、上側の回転シール278を通過して、上側のサセプターホルダープレート274に接続する。下側のサセプタープレート272および上側のサセプターホルダープレート274によって位置決めして保持された円形サセプター230は、そのため、槽本体189内において同軸状に搭載され、その回転軸276の周りを自由に回転する。下側の回転シール276の周囲に同心円状に位置する、下側のパージ用空洞284には、複合ノズル80−1および80−3の機能を手助けするために、パージガス(破線の矢印で示されたガス流れの方向)を導入する円周入り口部285を設けている。上側の回転シール278の周囲に同心円状に位置する、上側のパージ用空洞286には、複合ノズル80−1および80−3に向かって流れるパージガスを導入するための円周入り口部285’が設けられている。サセプターの回転のため、電気モーター287が下側の回転シャフト280の近傍に設けられている。駆動プーリー287が電気モーター287に接続されている。ベルト289は駆動プーリーを下側の中空シャフト280上に搭載された駆動プーリー290に接続する。下側の中空シャフト280はベース回転シール291内に位置する。ベース回転シール291は第1の固定支持プレート291’に接続されている。サセプターパージガス用空洞部292は、ベース回転シール291に取り付けられ、下側の空洞シャフト280を入れている。サセプターパージ用入り口部292’は、サセプターパージガス用空洞部292に設けられ、パージガスを空洞部264内に導入している。電力供給リード293aおよび203bは、下側の中空シャフト280を通過するとともにベース回転シール291を通過し、外部ヒーター用電力供給装置(図示せず)に達する。空洞264内において、静止した背面側ヒーター252が、ヒーター支持プレート294を用いて、回転する円形サセプター230に対し、支持され位置決めして固定されている。上側の回転シール278内に位置する上側の空洞シャフト282は、上側のサセプターパージ用ガス空洞部295内に入れられている。真空貫通接続部296は上側のサセプターパージ用ガス空洞部295に設けられ、支持および接続ロッド297を空洞部264内に位置決めするとともに基板処理中内側サセプター表面232の温度を感知する(測定および閉ループ制御する)非接触温度センサー260a、260bおよび260cを保持している。サセプターの温度制御のための閉ループ温度制御システムの詳細は図面に示されていない。しかしながら、当業者にとって、そのような構成は知られている。最後に、上側のサセプターパージ用ガス空洞部295が、ALCVP反応装置240を強固に位置決めして保持するための固定グランド支持プレート291’と同様に、第2の固定支持プレート(図示せず)に接続されていることを、ここで明らかにしておく。
図20は、本発明の他の実施例に係る、x−z平面における、原子層化学気相処理(ALCVP)反応装置300の垂直方向の断面図である。反応装置300は、x−z平面内に、第1の反応性ガスAの制御された供給装置に接続した第1の複合ノズル80−1;不活性ガスPの制御された供給装置に接続した第2の複合ノズル80−2;第2の反応性ガスBの制御された供給装置に接続した第3の複合ノズル80−3;および不活性ガスPの制御された供給装置に接続した第4の複合ノズル80−3;の4つの複合ノズルを備え、4つの複合ノズルは、隣接する2つの複合ノズル間の角度距離が実質的に同じになるように、円形原子層処理槽内に順番に設けられている。槽本体189内に同軸状に搭載された八角形のサセプター230’はそれらの間にギャップ250’を規定する。静止した背面八角形断面のヒーター252’はサセプター空洞部264’内に搭載されている。8つの平板状の基板205a、205b、205c、205d、205e、205f、205gおよび205hは、この実施例においては、動作中八角形のサセプター230’の8つの面に取り付けられている。
図21は、図20に示すALCVP反応装置300の他の実施例における、x−y面内の水平方向の断面図である。八角形サセプター230’の平面または小面は垂直方向に対し鋭角θ(0°≦θ≦15°のような角度)を有する。全ての複合ノズルが(図面では80−1および80−3のみ示されているが)サセプター表面230’に対し実質的に平行に搭載されている。そのため、静止した背面ヒーター252’は、サセプター232’の背面と静止した背面ヒーター252’との間の横方向の距離が実質的に同じとなるように、八角形サセプター230’に対して傾いた位置に搭載されている。図21に示す他の実施例におけるALCVP反応装置の構成の残りの詳細部分は、図19に示すALCVP反応装置の好ましい実施例に対して記載した内容と近似している。
図22は、長方形のALCVP槽352を用いるALCVP反応装置350のさらに他の実施例を示す。回転軸xを有する第1の回転サセプター354aおよび回転軸x’を有する第2の回転サセプター354bは、x−x’を結ぶ線が槽352の1つの壁部(wで示される)に対し実質的に平行となるように、長方形のALCVP槽352内に搭載されている。外部回転メカニズム(図示せず)に接続した第1の回転サセプター354aは駆動させるサセプターであり、第2の回転サセプター354bは駆動させられるサセプターである。第1の回転サセプター354aには第1の静止した内側ヒーター356aが設けられ、第2の回転サセプター354bには第2の静止した内側ヒーター356bが設けられている。フレキシブル金属ベルト358は、複数のフレキシブル基板200a、200b、200cおよび200dを保持するために設けられている。ALCVP反応装置350には、さらに、2つの回転サセプター354aおよび354bの間の空洞部364内にそれぞれ搭載された2つの固定されて平坦なヒーター360および362が設けられている。ALCVP槽350には、槽の円周上に搭載された4つの複合ノズル80−1、80−2、80−3および80−4が設けられている。また、流れ分離プレート180−1、180−2、180−3および180−4が、各複合ノズル80−1、80−2、80−3および80−4の近傍に搭載されている。複合ノズル80−1は反応性ガスAの制御された供給装置に接続されており;複合ノズル80−2は不活性ガスPの制御された供給装置に接続されており;複合ノズル80−3は反応性ガスBの制御された供給装置に接続されており;複合ノズル80−4は不活性ガスPの制御された供給装置に接続されている。ALCVP反応装置の動作中、第1の回転サセプター354aが外部回転メカニズムを起動することによって駆動されるとともに、全ての複合ノズル(80−1、80−2、80−3および80−4)が連続的に動作している間、サセプターの温度およびALCVP槽350の圧力は望ましいレベルに保たれている。回転サセプターの温度制御メカニズムはALCVP反応装置350に対して特に記載しないが、それは図16−22に記載したものと同様である。
上述した(図16−22を参考にして)全てのALCVP反応装置の構成において、ALCVP槽の圧力を制御するためのメカニズムは、明示的に示されておらず、また、詳細に記載されていないことに注意のこと。しかしながら、それが原子層化学気相処理であろうと高速化学気相処理であろうと、薄膜処理に対し必要な可変の処理であり、当業者にとって、基板処理中の圧力を制御するために要求される機器および回路は良く知られている。
図23は、本発明の実施例に係る、4つの複合ノズル80−1、80−2、80−3および80−4と下流側の真空システム構成を有するALCVP反応装置240を備える原子層化学気相処理システム400の図である。ここで、ALCVP反応装置400の各種処理パラメーターを制御するためのコンピューター化された制御システムが図23に示されていないことに注意のこと。しかしながら、当業者にとって、そのようなシステムは良く知られている。反応装置240のみが一例として示されているが、図23に示す下流側の真空システム構成が、本発明で記載されたALCVP反応装置の構成の各種の他の実施例に対し同様に適用可能であることに注意すべきである。第1の複合ノズル80−1は、第1の入り口パイプ402および第1の計測バルブ404を介して第1の反応性ガスの制御された供給装置に接続されている。第2の複合ノズル80−2は、第2の入り口パイプ406および第2の計測バルブ408を介して不活性ガスPの制御された供給装置に接続されている。第3の複合ノズル80−3は、第3の入り口パイプ410および第3の計測バルブ412を介して第2の反応性ガスBの制御された供給装置に接続されている。第4の複合ノズル80−4は、第4の入り口パイプ414および第4の計測バルブ416を介して不活性ガスPの制御された供給装置に接続されている。第1の複合ノズル80−1からの第1の排気パイプ418および第2の複合ノズル80−2からの第2の排気パイプ420は、共に、第1のゲートバルブ422に接続されている。第1のゲートバルブ422は、第1のゲートバルブ接続パイプ426により、第1のスロットルバルブ424に接続されている。同様に、第1のスロットルバルブ424は、第1のスロットルバルブ接続パイプ430を介して、第1の化学前駆物質捕集トラップ428に接続されている。続いて、第1の化学前駆物質捕集トラップ428は、第1の化学前駆物質捕集トラップパイプ434を介して、真空ポンプ432に接続されている。同様に、第3の複合ノズル80−3からの第3の排気パイプ436および第4の複合ノズル80−4からの第4の排気パイプ438は、共に、第2のゲートバルブ440に接続されている。第2のゲートバルブ440は、第2のゲートバルブ接続パイプ444により、第2のスロットルバルブ442に接続されている。同様に、第2のスロットルバルブ442は、第2のスロットルバルブ接続パイプ448を介して、第2の化学前駆物質捕集トラップ446に接続されている。続いて、第2の化学前駆物質捕集トラップ446は、第2の化学前駆物質捕集トラップパイプ450を介して、真空ポンプ432に接続されている。
排気中の反応性ガス(化学前駆物質)の濃縮を防止するために、基板処理槽からゲートバルブおよびスロットルバルブ介してフィルター/トラップまで続く全ての接続パイプを加熱することが必要であることに注意すべきである。化学前駆物質トラップ428および446のそれぞれには、反応性のガス/化学前駆物質を濃縮するように、クーラントの一定の流れを供給している。そのような構成は、いくつかの潜在的な高い価値のある効果を有している。まず第1に、化学前駆物質の捕集トラップは、また、反応性ガスの流れから固体の粒子を除去する働きをし、このことは真空ポンプとその動作に対して非常な効果となる。第2に、未使用の反応性ガスが、局所的に注入されるとともに局所的および別に捕集され、相対的に純粋な状態に分離される。この特徴は潜在的な化学リユースにおいておよび全体の処理およびシステム動作効率において重要であり、実質的に下流側への廃液の流れおよびその後処理を減少させる。最後に、反応性化学前駆物質の捕集トラップにおいて、入力ガス量と捕集量との間の閉ループ接続方法を実装することによって、入力ガス量を最適化することができ、化学前駆物質のトラップにおける捕集物を最小レベルに減少させ、全体の処理を経済的に実施できる。
図24A−24Dは、基板をサセプター上に保持して基板に優れた熱接触を与えるための種々の構成を示している。図24Aは、平板上の基板205を溝209内に保持するための溝209を有する八角形サセプター230’の傾いた平面の図である。図24Bは、平板上の基板205を溝209内に保持するための真空開口部213を有する八角形サセプター230’の傾いた平面の図を示す。ALCVP反応装置300の動作中、空洞部209内の圧力は、パージ用ガス出口ポート295(図21参照)を介して吸引されることによる槽内の動作圧力より低い状態に保持される。図24Cは、平板上の基板205が2つの静電チャックプレート215aおよび215bのそれぞれにより溝209内に保持される、八角形サセプター230’の傾いた平面の図を示す。図24Dは、くぎ219aおよび219bのそれぞれにより円形サセプター230上において垂直方向に保持されるフレキシブル基板200を有する円形サセプター230の図を示す。
図25A−25Dは、サセプターの面または小面上の基板配置の各種の空間的な構成を示している。図25Aは、実質的に円筒形状の円形サセプター230上に保持されたフレキシブル基板200の正面図を示す。図25Bは、その上に複数の基板200a、200b、200cが取り付けられた円形サセプター230の正面図である。図25Cは、平板状の基板205を保持する八角形サセプター230’の小面の正面図である。図25Dは、原子層化学気相処理のために複数の円形状の平板状基板205’a、205’b、205’c、205’d、205’eおよび205’fを保持する八角形サセプター230’の小面の正面図である。
本発明の装置の動作
以上のように詳細に記載した本発明の原子層化学気相処理装置の全ての構成は、デュアルモードで動作する。装置の動作の第1モードは基板を1原子層の精度で処理するための原子層化学気相処理反応装置であり、動作の第2モードは高速化学気相処理反応装置である。
まず最初に、反応装置の動作の第1モードにおいて、1枚の基板または複数枚の基板は、場合によって、サセプター上にしっかりと位置決めされ、ALCVP反応装置のドアーは閉じられ、Oリングシールにより安定で一定の内部圧力環境を得る。4つの全ての流れ分離プレートは、全ての流れ分離プレートの下側の端部が回転するサセプターに近接近した状態で固定位置に保持されるように、サセプターに向かって槽内を下降する。流れ分離プレートの下側の端部とサセプターの表面との間の距離は、処理ガスの性質、基板温度および反応装置の圧力によって、1−5mmの範囲内で変化する。ALCVP反応装置は、真空ポンプの動作により、ゲートバルブおよびスロットルバルブを開放することによって、脱気される。上側および下側の不活性ガスパージ用およびサセプター空洞パージ用のガスの流れが始まる。同時に、基板の回転が始まる。その後特定の処理ステップでは一定に維持される望ましい角速度の達成の後に、両者とも不活性ガスPを用いる第2および第4複合ノズルを駆動する。同時に、基板は望ましい温度まで加熱され、その温度は閉ループの方法で埋め込みヒーターに対し電気エネルギーを供給することによって一定に保持される。このステップの間、槽の圧力は、スロットルバルブと圧力センサーとの間の閉ループ構成により、一定に調整されて維持される。続いて、第1の反応性ガスAを用いる複合ノズルが駆動され、その直後に、第2の反応性ガスBを用いる第2の複合ノズルが駆動される。本発明のノズルの駆動は、内側の線形インジェクターからの流れを開始すること、おとび、同時に基板の近傍から過剰のガスを脱気するための外側排気ポートを用いること、を含んでいる。基板は、順番に第1の反応性ガスA、不活性ガスP、第2の反応性ガスBおよび不活性ガスPに曝されることによって連続的に処理され、その表面上において単一の原子層を処理する。望ましいレベルまで基板の処理を達成した後、反応性ガスを用いる第1および第3の複合ノズルは駆動を停止し、基板は第2および第4複合ノズルからの不活性ガスの流れで、望ましい温度まで冷却され、その間基板は連続的に回転している。続いて、基板回転の角速度は徐々に減少され、基板の回転が完全に停止する。流れ分離プレートは基板表面から待避する(外側に動く)。ゲートバルブは閉じられ、槽は望ましい圧力レベルとなり、槽のドアーを基板移送ポートまで開くことによって、基板を槽から移送する。
反応装置の動作の第2モードにおいて、4つ全ての複合ノズルの駆動の後、不活性ガスPを用いる第2の複合ノズルが、不活性ガスPの流れを切り換えるとともに外側排気ポートのバルブを閉じることによって、駆動を停止する。第2の複合ノズルの駆動停止の結果として、第1の反応性ガスAによる第1の単分子層(原子層)の形成処理が停止して、全体の処理が高速化学気相処理に遷移する。場合によっては、不活性ガスPを用いる第4の複合ノズルもまた駆動を停止する。また、高速化学気相処理モードでは、全ての流れ分離プレートは、それらの下側の端部がサセプター表面から大きく離れるような待避位置に保持される。
上述したALCVP反応装置の動作速度の一例として、基板の幅が30cmであり、長さが約100cmである。そのような基板は、約32cmである近似半径が100cm/πのサセプターの周りに巻き付けられる。薄膜材料の各単分子層が厚さ0.2nmでサセプターの回転における角速度が1000回/分だと仮定すると、200nm/分の原子層析出速度が1/3メートルの領域で達成できる。ALCVP反応装置は、1/3平方メートルの基板サイズ上に5分で1.0ミクロン(1000nm)の析出厚さを達成することができる。本発明は、以下に実施例として記載される応用例を通して、さらに詳しく説明される。
実施例−1:銅の原子層析出
2004年10月27日付け提出の米国特許出願No.10/975,169において記載されているように、第1の複合ノズルの内側線形インジェクター内でその場形成された一般式CuX(X=F、Cl、BrおよびI)を有するハロゲン化銅を用いることによって、銅の膜を1単分子層の精度で析出できる。ハロゲン化銅ガスは、続いて、Hプラズマから引き出された活性水素物質(例えば、イオン物質H、フリーラジカルH・および活性化したH )と組み合わされる。あるいは、基板表面上のCuXは、第2の複合ノズルの内側線形インジェクターと接続したラジカル源から得られた水素フリーラジカル(H・)と組み合わされる。銅単分子層析出処理に対し、第1および第3の複合ノズルのそれぞれがハロゲン化銅の前駆物質を用い、一方、第2および第4の複合ノズルの両者が水素プラズマまたは水素フリーラジカルから引き出された物質を用い、全体の処理をスピードアップする。
全体の反応は以下の取りである:
CuX+H/H・→Cu+HX …(2)
式(2)で記載されたようにハロゲン化銅減少の化学的処理において、活性水素物質は従来の4ステップ原子層析出処理において不活性ガスPと置き換わる。この目的のために効率的に使用可能な他の銅前駆物質は:銅(II)ヘキサフルオロ−アセチル−アセトネート[Cu(hfac)]、中でも、銅(II)2、2、6、6−テトラメチル−3、5−ヘプタンディオネート[Cu(thd)]である。
実施例−2:銅インジウムジセレナイド合金膜の析出
銅インジウムジセレナイドの薄膜が、インジウムのハロゲン化物、例えば、線形インジェクタ内においてその場形成された三塩化インジウム[InCl][2004年10月27日付け提出の米国特許出願No.10/975,169を参照のこと]、トリメチルインジウム[(CHIn]、ジメチルインジウムクロライド[(CHIn−Cl]、中でも、インジウムヘキサフルオロ−ペンタンディオネート[C1518In]などの適切なインジウムの前駆物質と組み合わされた、上述した実施例−1に記載されているように銅の前駆物質のうちの1つを用いることによって、ALDモードで析出される。インジウムの前駆物質は、上述したリストのいずれか1つに限定されるものではない。好ましいセレンの前駆物質は、2004年10月27日付け提出の米国特許出願No.10/975,169で記載された固体のセレンおよび水素からその場形成されたHSeガスである。銅インジウムジセレナイド薄膜の合成のための全体の化学反応は、以下のように与えられる(単純化するために、反応は塩化物およびセレン化水素ガスのみを示している):
CuCl+InCl+2HSe→CuInSe+4HCl 式(2)
窒素はALD処理におけるパージのための不活性ガスとして使用することができる。この目的のために使用できるALCVP反応装置の構成は図6Aに示されており、ここにおいて、2つの内側線形インジェクターがCuClおよびInCl蒸気を槽内に注入するために使用されている。また、図17および18に示したALCVP反応装置の構成を、この目的のために効果的に用いることができる。
実施例−3:銅インジウム(ガリウム)セレナイド(CIGS)の段階化された組成の膜の析出
厚さにより組成を変化させた薄膜が、図17および18に示されたALCVP反応装置の構成を用いることによって、ALDモードで析出される。銅およびインジウムの原料は上述した通りのものであるが、それらのうちのいずれか1つに限定されるものではない。これらは、限定されないが、トリエチルガリウム[(CHGa]、ジエチル−ガリウムクロライド[(C)Ga−Cl]およびパージガスとしてNを有するHSeのような適切なガリウム原料と組み合わされる。銅インジウム(ガリウム)セレナイド膜のALD/CVD析出処理中、インジウムの流れは増加し、ガリウムの流れは比例的に減少し、HSeの流れを維持する。ALDまたはCVDモードにおけるそのような処理シーケンスは、段階的な光学ギャップ、大面積および高品質の太陽光吸収体の材料を発展させるために重要であり、ここにおいて、材料の組成および光学的バンドギャップは膜厚に対して調整される。
実施例−4:硫化亜鉛/セレン化亜鉛膜の析出
ZnSeの薄膜が、亜鉛原料としてZnClまたは硫黄およびセレンのそれぞれの原料としてHSまたはHSeを用いることによって析出される。ZnClは米国特許出願No.11/に記載されているように内側線形インジェクター内にその場形成される。あるいは、ジメチル亜鉛[(CHZn]が亜鉛原料として用いられる。
実施例−5:銅インジウム(ガリウム)セレナイドおよび硫化亜鉛/セレン化亜鉛2層膜の析出
CIGSが吸収層として用いられ、ZnSSeが薄膜太陽光電池の窓層として用いられる。銅インジウム(ガリウム)セレナイド(CIGS)/ZnSSe薄膜は、図17に示されたALCVP反応装置の構成を用いることによって、上述した実施例−3に示されたCIGS膜の第1の析出によって達成され、図17においてAは銅原料、Aはインジウム原料、Aはガリウム/亜鉛原料であり、一方、Bはセレン原料であり、Bは硫黄原料である。そのような処理シーケンスにおいて、ZnSSe膜は、真空を破ることなく同じ槽内にすでに形成されたCIGS膜の頂上に析出される。CIGSおよびZnSSe薄膜の両者あるいはいずれか一方は、ALDまたはCVD方法によって析出される。そのような処理シーケンスは、吸着層と窓層との間の境界の一体性を保つことによって、太陽電池の効率を増加させるために重要である。
本発明は特定の実施例を参照して示されるとともに記載されたが、これらは一例として説明されたものであり、本発明の実際の応用範囲を限定するものではない。そのため、技術的な処理、構成、材料、形状および構成要素における変更や改良は可能であり、これらの変更や改良は特許請求の範囲の記載から逸脱するものではない。例えば、実質的に線形の形状の複合ノズルは、サセプターの高さにわたる複数の独立したノズルのセットにより置き換えることができる。いくつかの実施例におけるサセプターは、丸いドラムまたは複数の小面および多角形断面を有する回転体として記載された。上述した少なくとも1つの実施例において、しかしながら、システムは2つの回転するドラムおよび基板または2つのドラムの周りの基板搬送通路を使用している。サセプターは、そのため、槽内における移送メカニズムであり、本発明の範囲内においてあり得る、多くの移送メカニズムのようなものが使用可能である。あるいは、サセプターの全体高さにわたって流れを投射する1点ノズルを、基板を被覆するために効率的に用いることができる。また、各種の化学処理は、上述した装置および方法を用いることによって、発展することができる。さらにまた、処理シーケンスは、プロセス化学および望ましい製品に従って好適に改良することができるが;そのような改良のすべてが本発明の範囲内に存在する。そのような反応装置の動作は、ガス流れ速度、基板温度、基板回転速度および槽内の圧力などの処理パラメーターの広い範囲に亘って調整することができる。析出に加えて、本発明は、材料のエッチングまたは除去、フォトレジストのはぎ取り、微細構造に存在するポストアッシュまたはポストエッチ・クリーニング、処理槽の内表面からの析出物の除去、などの処理の他の広い範囲にも同様に応用することができる。それは基板処理の広い領域を包含し、発明者によって原子層化学気相処理「ALCVP」と参照され、処理槽はALCVP反応装置と称された。また、上述した本発明の構成は、特定の化学処理に限定されるものではなく、広範囲の化学がその範囲内で効率的に実施できる。基板の形状は円形状または方法形形状に必然的に限定される必要はなく、正方形、多角形またはその他の形状をとることもできる。また、ここに示され記載されたものと異なる複合ノズルの種々の組み合わせおよび配置をとることもできる。また、サセプターも、円形および八角形断面から離れて、多角形の形状とすることができる。複合ノズルの場合、内側線形インジェクターは必然的に円筒形チューブであるひつようはなく、コニカル形状あるいはその他の形状もとることができる。そのような基板処理の装置および方法は十分に実施可能な程度に詳細に教示される。
また、上述した全てのALCVP反応装置の構成において、サセプターには、エネルギーの電磁源例えばラジオ周波数の励磁を与えることができ、処理すべき薄膜の特性および基板の表面上で起きる化学反応の性質を調節するよう適切にバイアスすることもできる。あるいは、少なくとも1つの内側線形インジェクターをプラズマ源に接続することもでき、または、線形インジェクターの1つをフリーラジカル源に接続して低温での薄膜処理反応を促進することもできる。
また、上述した全ての原子層化学気相処理装置の構成において、装置の動作モードを、個別の原子層処理から高速化学気相処理(析出、エッチングまたは表面改質)へその場で変更することができる。原子層化学気相処理モード中、全ての長方形の流れ分離プレートは基板に向かって下降して、基板の表面上に形成される境界層を破壊し、一方、反応性ガスおよび不活性ガスを用いる全ての複合ノズルは、動作状態にセットされる。それに対し、動作の高速モードは、基板への不活性ガスの流れを停止することによって、および/または、全ての流れ分離プレートを基板から遠くに移動させることによって、達成することができる。
さらにまた、本発明のALCVP装置の全ての構成において、反応性ガスが局所的に注入され、局所的および分離して捕捉されることを注意することは、きわめて重要である。下流方向へのパイプの配置において、第1の複合インジェクターの排気アームおよび第2の複合インジェクターの排気アームは、両者とも、スロットルバルブおよび化学前駆物質の捕集/濃縮トラップを介して真空ポンプに順番に接続されるY(またはT)形状のコネクターに接続されている。近似した下流方向へのパイプ構成は、第3および第4の複合インジェクターのそれぞれに対しても用いることができる。ALCVP装置の排気セクションにおけるそのようなパイプ構成は、下流側での高い反応性化学前駆物質の混合を防ぎ、
かなりの動作の節約を実現するための再利用のために相対的に純粋な形態で前駆物質の未使用部分の回収においての重要な助けとなる。また、そのような構成は、下流側の廃液の量、廃棄物浄化の限度およびそれに伴うコストをかなり減少できる。加えて、ここに記載した各種の反応装置の構成は、化学前駆物質の消費の最適化の助けとなる。これらのファクターの組み合わせは、実質的に、本発明で記載した装置の全体の処理速度および動作効率を増加する。
図1は、複数の基板上の薄膜析出のために使用される従来技術の複数ウェハバレル型CVD反応装置の図である。 図2Aは、従来技術の4つのチューブインジェクターを有する、複数基板回転プラテンALD反応装置の断面図である。 図2Bは、基板に対するチューブインジェクターの配置を記載した、図2Aに示された複数基板回転プラテンALD反応装置の平面図である。 図3Aは、従来技術の、コンベアベルト上の下部を横切る加熱された基板の列上における薄膜の化学蒸着析出のために用いられる並列で線形のインジェクターのスロットシステムにおける断面図である。 図3Bは、従来技術としての、各々がALDにA、P、BおよびPの順番を満たす、薄膜原子層析出のために用いられる共通排気管に供給された、反応性ガスA、不活性ガスPおよび反応性ガスBを吹き込むための、密集した複数の交互に平行なインジェクターのセットを用いるALDシステムの断面図である。 図4Aは、従来技術に記載されているように、ジェットの中心に形成された流れPのよどみ点を有するとともに厚さ=δの均一な境界層を発生する平面に対する軸方向に均一なジェットの直交する衝突によって発生した、一般的なよどみ点の流れの平面像である。 図4Bは、従来技術に記載されているように、ジェットの中心に形成された流れP’のよどみ点を有するとともに、厚さ=δ’の均一な境界層を発生する円筒表面に対する円形噴流の衝突によって発生した、一般的なよどみ点の流れの平面像である。 図5Aは、1つの内側線形インジェクターと外側排気ポートとを備える複合ノズルの長さ方向に沿った断面図である。 図5Bは、外側排気ポート内に設けられた内側線形インジェクターを示す図5Aに記載されている複合ノズルの出口ポートの底面図である。 図6Aは、外側排気ポート内に並んで設けられた2つの内側線形インジェクターを備える複合ノズルの幅方向を横切る断面図である。 図6Bは、共通の外側排気ポート内に並んで設けられた2つの内側線形インジェクターを示す図6Aに記載されている複合ノズルの出口ポートの底面図である。 図7は、側面の入口および2つの平行な外側線形排気ポートを有する内側線形インジェクターを備える一方の複合インジェクターの底面図である。 図8は、中間の入口と内側の線形インジェクターの対向する端部の2つの排気ポートとを有する内側線形インジェクターを備える複合インジェクターの他の構成の底面図である。 図9A−図9Dは、内側の線形インジェクターの出力ポートの4つの異なる配置例を示す底面図である。 図10は、内側線形インジェクターの種々の出口ポートを、単独で用いることによって、あるいは、互いに組み合わせて用いることによって、発生可能な流れのプロファイルである。Lは図9A−図9Dに示される内側の線形インジェクターの外側ポートの長さである。 図11Aは、流れ分離プレートの精確な動きのためのステッパーモーターとギア配置とを示す、ALCVP反応装置の壁部上でベロウの端部に搭載された流れ分離プレートの配置図である。 図11Bは、流れ分離プレートの精確な動きのためのステッパーモーターとギア配置とを示す、ALCVP反応装置の壁部上で一対のOリングを有するリップシール部内に搭載された流れ分離プレートの配置図である。 図12Aは、上側および下側の表面を有し、幅=w、長さ=Lおよび厚さ=tを有するフレキシブルな基板の図である。 図12Bは、基板の反対側の端部に取り付けられたセラミック端部コネクターを有するフレキシブル基板のアセンブリーの平面図である。 図12Cは、基板とセラミック端部コネクターとのアセンブリーをプックアンドプレースするために、セラミック端部コネクター内の溝を示す反対側の端部に取り付けられた、セラミック端部コネクターを有するフレキシブル基板の側面図である。差し込み図は、フレキシブル基板を支持するために用いられるセラミック端部アセンブリーの拡大図である。 図13は、基板に取り付けられたセラミック端部コネクターの位置決めのための、2つの隣接する長手方向に平行な溝を有する円形サセプターの断面図である。 図14は、第1のセラミック端部コネクターが第1の溝中に強固に位置決めされ、続いて、サセプターが反時計方向に回転する、フレキシブル基板の取り付けの第1ステップを示す図である。 図15は、(幅方向に沿って)基板の対向する端部に取り付けられた第2のセラミック端部コネクターが第2の溝中に強固に位置決めされ、それによって、基板がサセプターの周りにラップされ支持される、フレキシブル基板の取り付けの最終ステップを示す図である。 図16は、x−z面に、第1の反応性ガスAの制御された供給装置と接続した第1の複合ノズルと、不活性ガスPの制御された供給装置と接続した第2の複合ノズルと、第2の反応性ガスBの制御された供給装置と接続した第3の複合ノズルと、不活性ガスPの制御された供給装置と接続した第4の複合ノズルと、を有し、すべての複合ノズルが連続して円形の原子層処理装置内に設けられ、フレキシブル基板が同軸状に搭載された円筒形のサセプターに取り付けられた、4つの複合ノズルを備える原子層処理反応装置の好適な実施例の垂直方向の断面図である。 図17は、第1の複合ノズルに接続された反応性ガスA、AおよびAの制御電源、および、第3の複合ノズルに接続された反応性ガスB、BおよびBの制御電源、を有する、図16で示された、原子層処理反応装置の垂直方向の断面図である。 図18は、x−z面に、第1の反応性ガスAの制御された供給装置に接続した第1の複合ノズルと、不活性ガスPの制御された供給装置に接続した第2の複合ノズルと、第2の反応性ガスBの制御された供給装置に接続した第3の複合ノズルと、不活性ガスPの制御された供給装置に接続した第4の複合ノズルと、第3の反応性ガスCの制御された供給装置に接続した第5の複合ノズルと、第4の反応性ガスDの制御された供給装置に接続した第6の複合ノズルとを、有する6つの複合ノズルを備える原子層処理反応装置の垂直方向の断面図である。 図19は、x−y面の、基板の配置、内部キャビティ内に搭載された非接触温度センサー、サセプターの回転のためのモーターおよびプーリーの配置を有する、両端に設けられた回転真空シールを示す図16のALCVP反応装置の水平方向の断面図である。 図20は、x−z面に、第1の反応性ガスAの制御された供給装置に接続した第1の複合ノズルと、不活性ガスPの制御された供給装置に接続した第2の複合ノズルと、第2の反応性ガスBの制御された供給装置に接続した第3の複合ノズルと、不活性ガスPの制御された供給装置に接続した第4の複合ノズルとを有し、すべての複合ノズルが連続して円形の原子層処理槽内に設けられ、多角形断面で平面状の基板を有する同軸状に構成された円筒形のサセプターがサセプターの小平面に取り付けられた、4つの複合ノズルを備える原子層処理反応装置の他の実施例の垂直方向の断面図である。 図21は、x−y面の、基板の配置、内部キャビティ内に搭載された非接触温度センサー、サセプターの回転のためのモーターおよびプーリーの配置を有する、両端に設けられた回転真空シールを示す図20のALCVP反応装置の水平方向の断面図である。 図22は、長方形の断面を有する原子層化学気相処理槽内に搭載された4つの連続して設けられた複合ノズルを有するとともに、2個の円筒形サセプター上を回転する金属ベルト上に搭載されたフレキシブル基板を有する、原子層化学気相処理装置の他の実施例の断面を示す。 図23は、本発明の原子層化学気相処理装置、複合ノズルの各々に対する化学前駆物質測定および供給システム、反応性ガスAに対するゲートバルブ、スロットルバルブおよびフィルター付きのトラップのセットおよび反応性ガスBに対するゲートバルブ、スロットルバルブおよびフィルター付きのトラムの類似のセット、および、真空ポンプを備える原子層化学気相処理装置の図である。ALCVP反応制御システムは図面に示していない。 図24Aは、回転中に平面状の基板を保持するために用いられる溝を有する、第1のサセプターの構成の断面を示す。 図24Bは、回転中に平面状の基板を保持する真空出口を用いるサセプターの第2構成の断面を示す。 図24Cは、回転中に平面状の基板を保持する、静電チャックの能動部材を用いる、サセプターの第3構成の断面を示す。 図24Dは、サセプター上にフレキシブル基板を搭載するためのサセプター上の円周溝を用いる、サセプターの第4構成の断面を示す。 図25Aは、円筒形のサセプター上における単一のフレキシブル基板の配置の図を示す。 図25Bは、円筒形のサセプター上における複数のフレキシブル基板の配置の図を示す。 図25Cは、八角形のサセプターの小平面上における単一の平面状基板の配置の図を示す。 図25Dは、八角形のサセプターの小平面上における複数の平面状基板の配置の図を示す。

Claims (20)

  1. 薄膜処理装置であって:
    外囲いおよび接続された排気サブシステムを有する外壁を備える処理槽と;
    外壁の外囲いの周囲に間隔をあけて設けられた複数のインジェクションノズルであって、インジェクションノズルの各々が、槽の壁を貫通して槽の外部から槽の内部へ処理ガスを導入するとともに、注入されたガスを実質的に線形パターンに分布させる、複数のインジェクションノズルと;および
    処理槽内の移送サブシステムであって、1つあるいはそれ以上の基板が、複数のインジェクションノズルに順番に近接して通過するとともに、移送サブシステムが動作している間順番の通過を繰り返すように、1つあるいはそれ以上の被覆すべき基板を搬送する、移送サブシステムと;
    を備える薄膜処理装置。
  2. インジェクションノズルの各々が、独立したノズルを有する専用の排気装置を有し、動作中、過剰な注入ガスをそのノズルの近傍で除去する請求項1に記載の装置。
  3. 複数のインジェクションノズルが4の倍数であり、順番に、4つのノズルのセットからなる第1の態様は、第1の反応性ガスを注入して、化学吸着モノマーを形成するものであり、4つのノズルのセットからなる第2の態様は、第1の不活性ガスを注入して、第1の反応性ガスの残部を取り除くものであり、4つのノズルのセットからなる第3の態様は、第2の反応性ガスを注入して、化学吸着された第1の反応性ガスと化学的に反応して単層の膜を形成するものであり、4つのノズルのセットからなる第4の態様は、第2の不活性ガスを注入して、第2の反応性ガスの残部を取り除くものであり、基板の反復通路に順に接続した4つのノズルのセットが、単層の精度で基板上に膜を形成する原子層析出処理を実施する請求項1に記載の装置。
  4. 倍数は1であり、順に並ぶノズルの数は4である請求項3に記載の装置。
  5. 槽が実質的に円形であり、外囲いが槽の直径であり、移送サブシステムが実質的に円形の槽内を回転するドラムを備える請求項1に記載の装置。
  6. 基板がドラム上に巻き付けられたフレキシブルパネルであり、ドラムの高さとパネルの幅とが実質的に同一であり、ここにおいて、基板上の地点をインジェクションの線形パターンに対し実質的に直角に運ぶドラムの回転によって、複数のノズルの各々が直線上に実質的に基板の幅に広がる請求項5に記載の装置。
  7. 槽が前面および背面の実質的に平坦な壁部と円形の端部とを有し、移送システムが共通の角速度で回転する実質的に同じ直径の2つのドラムを備える請求項1に記載の装置。
  8. 基板が連続ループで2つのドラムの周りを通過するフレキシブルパネルであり、ここにおいて、基板上の地点をインジェクションの線形パターンに対し実質的に直角に運ぶドラムの回転によって、複数のノズルの各々が直線上に実質的に基板の幅に広がる請求項7に記載の装置。
  9. 槽が実質的に円形であり、外囲いが槽の直径であり、移送サブシステムが実質的に円形の槽内で回転する多角形断面のドラムを備える請求項1に記載の装置。
  10. 多角形断面のドラムの平坦部が各平坦基板を搬送する請求項9に記載の装置。
  11. 薄膜を処理するための方法であって:
    (a)排気サブシステムに接続された処理槽の外壁の外囲いの周囲に間隔をあけて設けられた複数のインジェクションノズルであって、インジェクションノズルの各々が、槽の壁を貫通して槽の外部から槽の内部へ処理ガスを導入するとともに、注入されたガスを実質的に線形パターンに分布させる、複数のインジェクションノズルを搭載するステップと;
    (b)処理槽内において移送サブシステム上に被覆すべき少なくとも1つの基板を、1つあるいはそれ以上の基板が、複数のインジェクションノズルに順番に近接して通過するとともに、移送サブシステムが動作している間順番の通過を繰り返すように、搬送するように設けるステップと;
    を備える薄膜を処理するための方法。
  12. 動作中、ノズルの中間近傍の各々のインジェクションノズルに専用の排気装置によって、過剰な注入ガスを排出するステップを含む請求項11に記載の方法。
  13. 複数のインジェクションノズルが4の倍数であり、順番に、4つのノズルのセットからなる第1の態様は、第1の反応性ガスを注入して、化学吸着モノマーを形成するものであり、4つのノズルのセットからなる第2の態様は、第1の不活性ガスを注入して、第1の反応性ガスの残部を取り除くものであり、4つのノズルのセットからなる第3の態様は、第2の反応性ガスを注入して、化学吸着された第1の反応性ガスと化学的に反応して単層の膜を形成するものであり、4つのノズルのセットからなる第4の態様は、第2の不活性ガスを注入して、第2の反応性ガスの残部を取り除くものであり、基板の反復通路に順に接続した4つのノズルのセットが、単層の精度で基板上に膜を形成する原子層析出処理を実施する請求項11に記載の方法。
  14. 倍数は1であり、順に並ぶノズルの数は4である請求項13に記載の方法。
  15. 槽が実質的に円形であり、外囲いが槽の直径であり、移送サブシステムが実質的に円形の槽内を回転するドラムを備える請求項11に記載の方法。
  16. 基板がドラム上に巻き付けられたフレキシブルパネルであり、ドラムの高さとパネルの幅とが実質的に同一であり、ここにおいて、基板上の地点をインジェクションの線形パターンに対し実質的に直角に運ぶドラムの回転によって、複数のノズルの各々が直線上に実質的に基板の幅に広がる請求項15に記載の方法。
  17. 槽が前面および背面の実質的に平坦な壁部と円形の端部とを有し、移送システムが共通の角速度で回転する実質的に同じ直径の2つのドラムを備える請求項11に記載の方法。
  18. 基板が連続ループで2つのドラムの周りを通過するフレキシブルパネルであり、ここにおいて、基板上の地点をインジェクションの線形パターンに対し実質的に直角に運ぶドラムの回転によって、複数のノズルの各々が直線上に実質的に基板の幅に広がる請求項17に記載の方法。
  19. 槽が実質的に円形であり、外囲いが槽の直径であり、移送サブシステムが実質的に円形の槽内で回転する多角形断面のドラムを備える請求項11に記載の方法。
  20. 多角形断面のドラムの平坦部が各平坦基板を搬送する請求項19に記載の方法。
JP2008557248A 2006-03-03 2006-03-03 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法 Pending JP2009531535A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2006/007715 WO2007106076A2 (en) 2006-03-03 2006-03-03 Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films

Publications (1)

Publication Number Publication Date
JP2009531535A true JP2009531535A (ja) 2009-09-03

Family

ID=38509916

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008557248A Pending JP2009531535A (ja) 2006-03-03 2006-03-03 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法

Country Status (5)

Country Link
US (1) US20090304924A1 (ja)
EP (1) EP1992007A4 (ja)
JP (1) JP2009531535A (ja)
CN (1) CN101589171A (ja)
WO (1) WO2007106076A2 (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010525162A (ja) * 2007-04-17 2010-07-22 ラム リサーチ コーポレーション 原子層蒸着のための装置および方法
JP2011124384A (ja) * 2009-12-10 2011-06-23 Tokyo Electron Ltd 成膜装置
JP2011151343A (ja) * 2009-12-25 2011-08-04 Tokyo Electron Ltd プラズマ処理装置
JP2011210872A (ja) * 2010-03-29 2011-10-20 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2013506762A (ja) * 2009-09-30 2013-02-28 サイノス・テクノロジー・インコーポレイテツド 曲面上に薄膜を形成するための蒸着反応器
JP2013084898A (ja) * 2011-09-26 2013-05-09 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8691669B2 (en) 2008-08-13 2014-04-08 Veeco Ald Inc. Vapor deposition reactor for forming thin film
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP2014121700A (ja) * 2012-11-22 2014-07-03 National Applied Research Laboratories 閉じ込め型ランナー反応槽システム、及び触媒又は支持材の製造方法
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
JP2015515743A (ja) * 2012-02-29 2015-05-28 アライアンス フォー サステイナブル エナジー リミテッド ライアビリティ カンパニー CuInSe2及びCu(In,Ga)Se2被膜を用いて太陽電池を形成する為のシステム及び方法
JP2015209557A (ja) * 2014-04-24 2015-11-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP2016040825A (ja) * 2014-08-12 2016-03-24 ラム リサーチ コーポレーションLam Research Corporation 差動排気式の反応性ガス注入器
JP2017143258A (ja) * 2016-02-03 2017-08-17 東京エレクトロン株式会社 堆積プロセス及びエッチングプロセスを使用する凸状フィーチャ及び凹状フィーチャのための選択的膜形成
JP2018021216A (ja) * 2016-08-01 2018-02-08 東京エレクトロン株式会社 成膜装置
JP2019522106A (ja) * 2016-05-13 2019-08-08 ナノコ テクノロジーズ リミテッド 二次元材料を製造するための化学蒸着方法
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US11062920B2 (en) 2014-08-29 2021-07-13 Lam Research Corporation Ion injector and lens system for ion beam milling
US11289306B2 (en) 2016-02-25 2022-03-29 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7951242B2 (en) * 2006-03-08 2011-05-31 Nanoener Technologies, Inc. Apparatus for forming structured material for energy storage device and method
ATE507320T1 (de) 2006-03-26 2011-05-15 Lotus Applied Technology Llc Atomlagenabscheidungssystem und verfahren zur beschichtung von flexiblen substraten
JP5244814B2 (ja) * 2006-11-22 2013-07-24 ソイテック 化学気相成長チャンバ用の温度制御されたパージゲート弁を使用した方法、アセンブリ及びシステム
KR100790729B1 (ko) * 2006-12-11 2008-01-02 삼성전기주식회사 화학 기상 증착 장치
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
EP2159304A1 (en) 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
JP2010077508A (ja) * 2008-09-26 2010-04-08 Tokyo Electron Ltd 成膜装置及び基板処理装置
US20110239940A1 (en) * 2008-10-08 2011-10-06 Giacomo Benvenuti Vapor phase deposition system
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
US20100267191A1 (en) 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
JP5560093B2 (ja) * 2009-06-30 2014-07-23 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法及び基板製造方法
EP2281921A1 (en) 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
JP5328726B2 (ja) 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362002A1 (en) 2010-02-18 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Continuous patterned layer deposition
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) * 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
TWI422045B (zh) * 2010-07-08 2014-01-01 Gcsol Tech Co Ltd Cigs太陽能電池製程之設備及方法
FI20105907A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
FI20105902A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
FI20105906A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
CN102383106B (zh) * 2010-09-03 2013-12-25 甘志银 快速清除残余反应气体的金属有机物化学气相沉积反应腔体
JP5710185B2 (ja) * 2010-09-10 2015-04-30 株式会社Cmc総合研究所 微小コイルの製造方法及び製造装置
KR101678056B1 (ko) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
JP5927679B2 (ja) * 2010-10-16 2016-06-01 ウルトラテック,インコーポレイテッド Aldコーティングシステム
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
CN102477543A (zh) * 2010-11-23 2012-05-30 英作纳米科技(北京)有限公司 旋转式空间隔离化学气相淀积方法及其设备
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR101806916B1 (ko) * 2011-03-17 2017-12-12 한화테크윈 주식회사 그래핀 필름 제조 장치 및 그래핀 필름 제조 방법
US9476144B2 (en) * 2011-03-28 2016-10-25 Applied Materials, Inc. Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
TWI461566B (zh) * 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
EP2557198A1 (en) 2011-08-10 2013-02-13 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
JP2013082959A (ja) * 2011-10-07 2013-05-09 Sony Corp 自己停止反応成膜装置及び自己停止反応成膜方法
KR20130049080A (ko) * 2011-11-03 2013-05-13 삼성디스플레이 주식회사 회전식 박막 증착 장치 및 그것을 이용한 박막 증착 방법
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
WO2013116215A1 (en) * 2012-01-31 2013-08-08 First Solar, Inc. Integrated vapor transport deposition method and system
FI123320B (en) * 2012-02-17 2013-02-28 Beneq Oy Nozzle and nozzle head
KR101399894B1 (ko) 2012-03-21 2014-06-27 주식회사 테스 인젝터 모듈 및 이를 사용하는 플라즈마 반응 장치
FI124298B (en) * 2012-06-25 2014-06-13 Beneq Oy Device for treating substrate surface and nozzle head
KR101412643B1 (ko) * 2012-06-29 2014-07-08 주식회사 티지오테크 복수의 가스를 공급하기 위한 가스 공급부 및 그 제조방법
DE102012213095A1 (de) * 2012-07-25 2014-01-30 Roth & Rau Ag Gasseparation
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
KR101473345B1 (ko) * 2012-08-13 2014-12-16 한국표준과학연구원 증발 증착 장치
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
KR101688338B1 (ko) * 2012-09-18 2016-12-20 파나소닉 아이피 매니지먼트 가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법
US10174422B2 (en) * 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
EP2765218A1 (en) 2013-02-07 2014-08-13 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
JP5432395B1 (ja) * 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及び成膜方法
KR102108361B1 (ko) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
CN105378148B (zh) 2013-07-16 2018-03-27 3M创新有限公司 膜的卷处理
CN103343332A (zh) * 2013-07-22 2013-10-09 湖南顶立科技有限公司 一种化学气相沉积方法
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US9382618B2 (en) * 2014-07-18 2016-07-05 UChicago Argnonne, LLC Oxygen-free atomic layer deposition of indium sulfide
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
MX2014013233A (es) * 2014-10-30 2016-05-02 Ct Investig Materiales Avanzados Sc Tobera de inyeccion de aerosoles y su metodo de utilizacion para depositar diferentes recubrimientos mediante deposito quimico de vapor asistido por aerosol.
KR102337807B1 (ko) * 2014-11-14 2021-12-09 삼성디스플레이 주식회사 박막 증착 장치
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10415137B2 (en) * 2016-01-01 2019-09-17 Applied Materials, Inc. Non-metallic thermal CVD/ALD Gas Injector and Purge Systems
WO2017210590A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Gate valve for continuous tow processing
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
CN106684178B (zh) * 2017-01-04 2018-06-08 浙江尚越新能源开发有限公司 一种铜铟镓硒薄膜太阳能电池缓冲层的制备系统及方法
KR20180096853A (ko) * 2017-02-20 2018-08-30 삼성디스플레이 주식회사 박막 증착 장치
US10895011B2 (en) 2017-03-14 2021-01-19 Eastman Kodak Company Modular thin film deposition system
US10422038B2 (en) 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US10435788B2 (en) 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
US20180265977A1 (en) 2017-03-14 2018-09-20 Eastman Kodak Company Deposition system with vacuum pre-loaded deposition head
US10550476B2 (en) 2017-03-14 2020-02-04 Eastman Kodak Company Heated gas-bearing backer
US10400332B2 (en) 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10501848B2 (en) 2017-03-14 2019-12-10 Eastman Kodak Company Deposition system with modular deposition heads
US10584413B2 (en) 2017-03-14 2020-03-10 Eastman Kodak Company Vertical system with vacuum pre-loaded deposition head
US11248292B2 (en) 2017-03-14 2022-02-15 Eastman Kodak Company Deposition system with moveable-position web guides
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
WO2018217914A1 (en) * 2017-05-23 2018-11-29 Starfire Industries, Llc Atmospheric cold plasma jet coating and surface treatment
US11245065B1 (en) 2018-03-22 2022-02-08 Facebook Technologies, Llc Electroactive polymer devices, systems, and methods
US10962791B1 (en) 2018-03-22 2021-03-30 Facebook Technologies, Llc Apparatuses, systems, and methods for fabricating ultra-thin adjustable lenses
US11048075B1 (en) 2018-03-29 2021-06-29 Facebook Technologies, Llc Optical lens assemblies and related methods
CN110331383B (zh) * 2019-07-29 2024-03-01 陕西煤业化工技术研究院有限责任公司 一种材料表面处理气体喷射装置
CN110791748B (zh) * 2019-10-15 2024-05-28 江苏卓高新材料科技有限公司 一种微孔薄膜表面沉积装置及方法
FI129557B (en) * 2019-11-28 2022-04-29 Picosun Oy Substrate processing apparatus and process
CN112813414B (zh) * 2020-12-30 2022-12-09 上海埃延半导体有限公司 一种化学气相沉积系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06173000A (ja) * 1992-12-07 1994-06-21 Hitachi Ltd 連続式成膜装置
US6083355A (en) * 1997-07-14 2000-07-04 The University Of Tennessee Research Corporation Electrodes for plasma treater systems
JP2000239849A (ja) * 1999-02-25 2000-09-05 Hitachi Maxell Ltd 連続プラズマcvd法及びcvd装置
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4699082A (en) * 1983-02-25 1987-10-13 Liburdi Engineering Limited Apparatus for chemical vapor deposition
US4505949A (en) * 1984-04-25 1985-03-19 Texas Instruments Incorporated Thin film deposition using plasma-generated source gas
US4698244A (en) * 1985-10-31 1987-10-06 Air Products And Chemicals, Inc. Deposition of titanium aluminides
US4957780A (en) * 1987-01-20 1990-09-18 Gte Laboratories Incorporated Internal reactor method for chemical vapor deposition
US4890574A (en) * 1987-01-20 1990-01-02 Gte Laboratories Incorporated Internal reactor for chemical vapor deposition
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
DE3923390A1 (de) * 1988-07-14 1990-01-25 Canon Kk Vorrichtung zur bildung eines grossflaechigen aufgedampften films unter verwendung von wenigstens zwei getrennt gebildeten aktivierten gasen
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5227340A (en) * 1990-02-05 1993-07-13 Motorola, Inc. Process for fabricating semiconductor devices using a solid reactant source
US5221354A (en) * 1991-11-04 1993-06-22 General Electric Company Apparatus and method for gas phase coating of hollow articles
US5376409B1 (en) * 1992-12-21 1997-06-03 Univ New York State Res Found Process and apparatus for the use of solid precursor sources in liquid form for vapor deposition of materials
FR2704558B1 (fr) * 1993-04-29 1995-06-23 Air Liquide Procede et dispositif pour creer un depot d'oxyde de silicium sur un substrat solide en defilement.
US5458725A (en) * 1993-08-17 1995-10-17 Motorola, Inc. Gas distribution system
KR100333237B1 (ko) * 1993-10-29 2002-09-12 어플라이드 머티어리얼스, 인코포레이티드 플라즈마에칭챔버내에서오염물질을감소시키는장치및방법
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
TW359943B (en) * 1994-07-18 1999-06-01 Silicon Valley Group Thermal Single body injector and method for delivering gases to a surface
US5571332A (en) * 1995-02-10 1996-11-05 Jet Process Corporation Electron jet vapor deposition system
US6001172A (en) * 1997-08-05 1999-12-14 Advanced Technology Materials, Inc. Apparatus and method for the in-situ generation of dopants
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
DE10080457T1 (de) * 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6410432B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
JP2001113163A (ja) * 1999-10-20 2001-04-24 Hoya Schott Kk 紫外光照射装置及び方法
KR100358045B1 (ko) * 1999-12-22 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
EP1199378A4 (en) * 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
US6440494B1 (en) * 2000-04-05 2002-08-27 Tokyo Electron Limited In-situ source synthesis for metal CVD
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6863021B2 (en) * 2002-11-14 2005-03-08 Genus, Inc. Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
US20050172897A1 (en) * 2004-02-09 2005-08-11 Frank Jansen Barrier layer process and arrangement
US7115304B2 (en) * 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
JPH06173000A (ja) * 1992-12-07 1994-06-21 Hitachi Ltd 連続式成膜装置
US6083355A (en) * 1997-07-14 2000-07-04 The University Of Tennessee Research Corporation Electrodes for plasma treater systems
JP2000239849A (ja) * 1999-02-25 2000-09-05 Hitachi Maxell Ltd 連続プラズマcvd法及びcvd装置

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010525162A (ja) * 2007-04-17 2010-07-22 ラム リサーチ コーポレーション 原子層蒸着のための装置および方法
US8691669B2 (en) 2008-08-13 2014-04-08 Veeco Ald Inc. Vapor deposition reactor for forming thin film
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP2013506762A (ja) * 2009-09-30 2013-02-28 サイノス・テクノロジー・インコーポレイテツド 曲面上に薄膜を形成するための蒸着反応器
JP2011124384A (ja) * 2009-12-10 2011-06-23 Tokyo Electron Ltd 成膜装置
US8721790B2 (en) 2009-12-10 2014-05-13 Tokyo Electron Limited Film deposition apparatus
JP2011151343A (ja) * 2009-12-25 2011-08-04 Tokyo Electron Ltd プラズマ処理装置
JP2011210872A (ja) * 2010-03-29 2011-10-20 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
JP2013084898A (ja) * 2011-09-26 2013-05-09 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2015515743A (ja) * 2012-02-29 2015-05-28 アライアンス フォー サステイナブル エナジー リミテッド ライアビリティ カンパニー CuInSe2及びCu(In,Ga)Se2被膜を用いて太陽電池を形成する為のシステム及び方法
JP2014121700A (ja) * 2012-11-22 2014-07-03 National Applied Research Laboratories 閉じ込め型ランナー反応槽システム、及び触媒又は支持材の製造方法
JP2015209557A (ja) * 2014-04-24 2015-11-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US9365928B2 (en) 2014-04-24 2016-06-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method for manufacturing semiconductor device and computer-readable recording medium
JP2016040825A (ja) * 2014-08-12 2016-03-24 ラム リサーチ コーポレーションLam Research Corporation 差動排気式の反応性ガス注入器
US10580628B2 (en) 2014-08-12 2020-03-03 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US10998167B2 (en) 2014-08-29 2021-05-04 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US11062920B2 (en) 2014-08-29 2021-07-13 Lam Research Corporation Ion injector and lens system for ion beam milling
JP2017143258A (ja) * 2016-02-03 2017-08-17 東京エレクトロン株式会社 堆積プロセス及びエッチングプロセスを使用する凸状フィーチャ及び凹状フィーチャのための選択的膜形成
US10115601B2 (en) 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US11289306B2 (en) 2016-02-25 2022-03-29 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP2019522106A (ja) * 2016-05-13 2019-08-08 ナノコ テクノロジーズ リミテッド 二次元材料を製造するための化学蒸着方法
JP2018021216A (ja) * 2016-08-01 2018-02-08 東京エレクトロン株式会社 成膜装置

Also Published As

Publication number Publication date
US20090304924A1 (en) 2009-12-10
CN101589171A (zh) 2009-11-25
WO2007106076A3 (en) 2009-04-02
EP1992007A2 (en) 2008-11-19
WO2007106076A2 (en) 2007-09-20
EP1992007A4 (en) 2010-05-05

Similar Documents

Publication Publication Date Title
JP2009531535A (ja) 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法
JP4629110B2 (ja) 薄膜蒸着装置及び方法
US8187679B2 (en) Radical-enhanced atomic layer deposition system and method
TW578212B (en) Atomic layer deposition reactor
US7153542B2 (en) Assembly line processing method
US8697198B2 (en) Magnetic field assisted deposition
US6845734B2 (en) Deposition apparatuses configured for utilizing phased microwave radiation
KR101090895B1 (ko) 화학적 비활성화를 통한 반응기 표면의 패시베이션
US20040082171A1 (en) ALD apparatus and ALD method for manufacturing semiconductor device
US20040026371A1 (en) Two-compartment chamber for sequential processing method
US20040058293A1 (en) Assembly line processing system
KR101599431B1 (ko) Cvd 방법 및 cvd 반응기
JP2016511797A (ja) 空間分離原子層堆積のための装置およびプロセス閉じ込め
JP2014515790A (ja) ホットワイヤ原子層堆積装置及び使用方法
EP1216106A1 (en) Improved apparatus and method for growth of a thin film
JP2013508561A (ja) 曲がりやすいプレートを有する流体分配マニホールド
KR20110022036A (ko) 기판 처리방법
US20130323422A1 (en) Apparatus for CVD and ALD with an Elongate Nozzle and Methods Of Use
JP2004204339A (ja) 処理装置及び処理方法
CN103988286A (zh) 自给自足式加热元件
KR20090031496A (ko) 박막의 대면적 다층 원자층 화학 기상 공정을 위한 장치 및방법
KR101076172B1 (ko) 기상 증착 반응기
KR101413979B1 (ko) 플라즈마 발생장치 및 이를 포함하는 박막증착장치
CN115885059A (zh) 衬底处理装置和方法
KR20200133406A (ko) 기판처리장치

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120724