JP2016040825A - 差動排気式の反応性ガス注入器 - Google Patents

差動排気式の反応性ガス注入器 Download PDF

Info

Publication number
JP2016040825A
JP2016040825A JP2015158951A JP2015158951A JP2016040825A JP 2016040825 A JP2016040825 A JP 2016040825A JP 2015158951 A JP2015158951 A JP 2015158951A JP 2015158951 A JP2015158951 A JP 2015158951A JP 2016040825 A JP2016040825 A JP 2016040825A
Authority
JP
Japan
Prior art keywords
substrate
reactant
region
head
injection head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015158951A
Other languages
English (en)
Other versions
JP2016040825A5 (ja
JP6599166B2 (ja
Inventor
サード アイバン・エル.・ベリー・ザ
Ivan L Berry Iii
サード アイバン・エル.・ベリー・ザ
トルステン・リル
Lill Thorsten
ケニス・リース・レイノルズ
Reese Reynolds Kenneth
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2016040825A publication Critical patent/JP2016040825A/ja
Publication of JP2016040825A5 publication Critical patent/JP2016040825A5/ja
Application granted granted Critical
Publication of JP6599166B2 publication Critical patent/JP6599166B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/36Gas-filled discharge tubes for cleaning surfaces while plating with ions of materials introduced into the discharge, e.g. introduced by evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】表面から材料を除去するために使用され得るイオンと基板処理領域内に存在するその他の種との間の有害な衝突を最小限に抑えつつスループットを高めることのできる、イオンエッチング方法を提供する。
【解決手段】イオンエッチングは、基板へのイオンおよび反応性ガスの両方の供給を伴う。局部的に高圧な供給区域の外側の基板部分を大幅に低い圧力に維持しつつその基板に局部的に高圧で反応性ガスを供給することを可能にする。低い圧力は、高圧による反応物供給を小さい区域に限定し、余分な反応物および副生成物をそれらがこの小さい区域から出て広い基板処理領域に入る前に真空で除去することによって達成される。
【選択図】図6E

Description

半導体デバイスの製造は、通常、半導体基板上で様々な材料の堆積および除去を行う一連の操作を伴う。材料除去のための技術の1つが、イオンビームエッチングであり、これは、表面にイオンを供給して基板の表面から原子および化合物を異方性のやり方で物理的におよび/または化学的に除去することを伴う。衝突イオンは、基板表面も当たり、運動量の移動を通じて(反応性イオンエッチングの場合はさらに反応を通じて)材料を除去する。
本明細書で開示される特定の実施形態は、イオンビームエッチングを実施して基板の表面から材料を除去するための方法および装置に関する。様々な場合に注入ヘッドが使用され、ヘッドの外側の広い基板処理区域を低圧に維持しつつ局部的高圧で反応物を供給することができる。この低圧は、局部的に高圧な注入ヘッドの反応物供給区域を取り巻くまたは同区域に隣接する区域を真空加圧することによって維持することができる。この処理方式は、高圧で局部的な反応物供給を行いつつ低圧でイオンビーム処理を行うことを可能にし、そうして処理時間を短縮するとともにスループットを向上させることができる。
本明細書で開示される実施形態の一態様では、半導体基板から材料を除去するための装置が提供される。装置は、反応チャンバと、該反応チャンバ内で基板を支えるための基板サポートと、該基板サポートに向かってイオンを供給するように構成されたイオン源と、基板が基板サポート上に位置決めされているときにその基板の表面に反応物を提供するための注入ヘッドであって、(i)反応物供給導管の反応物出口領域と、(ii)真空導管につながれた吸引領域と、を含む基板対向領域を含む注入ヘッドと、該注入ヘッドを基板サポートに対して移動させるための移動機構と、を含んでいてよい。
反応物供給導管は、反応物源からのラインとつながるように構成されてよい。同様に、真空導管は、真空ポンプへのラインとつながるように構成されてよい。注入ヘッドの基板対向領域は、反応物供給導管の終端と、真空導管の終端とを含んでいてよく、これらの終端は、場合によっては実質的に同一面上にあってよい。イオン源は、通常は、プラズマを発生させるためのプラズマ発生器を含む。様々な場合に、イオン源は、プラズマからイオンを引き出して基板サポートに向かわせるための電極も含む。場合によっては、2つの電極が使用される。その他の場合は、3つの電極が使用される。特定の場合は、4つまたは5つ以上の電極が使用される。
特定の実施形態では、基板サポート、注入ヘッド、および/または移動機構は、基板が基板サポート上に位置決めされているときに注入ヘッドと基板の表面との間に分離距離を維持するように構成されてよい。分離距離は、約1cm以下であってよく、例えば、約10mm以下、または約5mm以下、または約2mm以下、または約1mm以下であってよい。その他の分離距離が使用されてもよい。分離距離は、場合によっては、距離センサからのフィードバックを通じて能動的に制御されてよい。
吸引領域は、通常、反応物出口領域に隣接している。一部の実施形態では、吸引領域は、反応物出口領域を取り巻いているまたは実質的に取り巻いている。場合によっては、真空導管に第2の吸引領域がつながれてよい。第2の吸引領域は、通常は、吸引領域に隣接している。場合によっては、第2の吸引領域は、吸引領域を取り巻いているまたは実質的に取り巻いている。反応物出口領域を取り巻くまたは実質的に取り巻く1つ以上の吸引領域を使用することによって、余分な反応物が広い基板処理区域に逃れてそこでイオンビーム中のイオンとの間に望ましくない衝突を生じ得る前にそのような反応物を(1つ以上の吸引領域を通じて)チャンバから除去することができる。
反応物出口領域は、多様な形状を有していてよい。場合によっては、反応物出口領域は、上から見たときに円形のまたは楕円形の断面を有する。その他の場合は、反応物出口領域は、上から見たときに多角形の断面を有する。特定の実施形態では、反応物出口領域は、上から見たときに、スリットを有する長くて薄い断面を有する。反応物出口領域の長さは、装置内で処理される標準的な基板の直径と比べて小さくてよい、おおよそ等しくてよい、または大きくてよい。特定の場合は、反応物出口領域の長さは、装置内で処理される標準的な半導体基板の直径に少なくとも等しくてよい、またはそれよりも大きくてよい。例えば、標準的な半導体基板は、約200mm、約300mm、または約450mmの直径を有するだろう。この比較的長い長さは、注入ヘッドが長くて薄い場合に特に関わりがある。反応物出口領域は、基板サポートに平行な方向に幅があってよく、該幅は、約0.5mm〜10cmである。反応物出口領域は、約0.5mm〜2cmの幅を有する仕切りによって吸引領域から隔てられてよく、この仕切りの幅が、反応物出口領域を吸引領域から分離している。場合によっては、吸引領域および/または第2の吸引領域は、約1mm〜5cmの幅を有していてよい。
装置は、場合によっては、シャッタをさらに含んでいてよい。シャッタは、イオンフラックスを調節するように構成されてよい。シャッタは、イオン源と基板サポートとの間に位置決めされてよい。特定の場合では、シャッタは、特定のイオンがシャッタの通り抜けを許されると同時に別のイオンはそのシャッタの通り抜けを阻まれるようなやり式でイオンフラックスを調節するように構成されてよく、シャッタの通り抜けを阻まれるイオンは、さもなければ注入ヘッドに影響を及ぼすだろうイオンである。様々な場合に、注入ヘッドは、反応物供給導管および真空導管を覆うケースをさらに含んでいてよい。ケースは、注入ヘッドの基板対向領域とは反対側にイオン源対向表面を含んでいてよく、該イオン源対向表面は、耐スパッタリング性の材料を含む。場合によっては、注入ヘッドは、少なくとも上面を耐スパッタリング性の材料で被覆されてよい。注入ヘッドは、一部の実施形態では、供給前に互いに実質的に混ざり合わない2種以上の別々の反応物を局部的に供給するように構成されてよい。場合によっては、さらなる反応物ガスを供給するために、第2の注入ヘッドが提供されてよい。
装置は、さらに、センサ、センサヘッド、検出器、または検出器ヘッドの少なくとも1つを、注入ヘッド上に搭載されてよい、または注入ヘッドに隣接して設置されてよい、または注入ヘッドに組み込まれてよい。これらのセンサおよび/または検出器の1つ以上は、反応物出口領域内で(i)反応物、(ii)1種以上の反応物副生成物、および/または(iii)基板の少なくとも1つを監視するように構成されてよい。これらのまたはその他の場合では、1つ以上のセンサおよび/または検出器上は、吸引領域内で(i)反応物、(ii)反応物副生成物、および/または(iii)基板の少なくとも1つを監視するように構成されてよい。さらに、これらのまたはその他の場合では、1つ以上のセンサおよび/または検出器は、真空導管内の(i)反応物および/または(ii)反応副生成物を監視するように構成されてよい。そして、場合によっては、1つ以上のセンサおよび/または検出器は、注入ヘッドに近接して(i)反応物、(ii)反応物副生成物、および/または(iii)基板の少なくとも1つを監視するように構成されてよい。
注入ヘッドは、通常は、基板表面に対して移動するように構成される。場合によっては、装置は、注入ヘッドを軸に沿って移動させるための行路を含む。場合によっては、注入ヘッドを基板の上で移動させるために、真空対応のX−Yステージが使用されてよい。さらに、注入ヘッドの下で基板を回転させるように、回転機構が構成されてよい。一部の実施形態では、注入ヘッドを基板に対して移動させるために、真空対応の関節ロボットアームが使用される。
幾つかの実装形態では、注入ヘッドは、複数のセグメントに分けられてよく、これらのセグメントは、(i)異なる反応物、(ii)異なる反応物流量、および/または(iii)異なる真空コンダクタンスを与えられる、すなわち受けるように構成される。各セグメントに供給されるまたは印可される反応物流量または真空コンダクタンスを独立に制御するために、1つ以上の固定オリフィス、可変オリフィス、および/または質量流量コントローラが使用されてよい。これらのセグメントは、様々な場合に、反応物出口領域内および/または吸引領域内であってよい。特定の実施形態では、注入ヘッドに加熱素子および/または冷却素子が含められてよい。注入ヘッドは、一部の実装形態では、枢軸を中心にして回転するように構成されてよい。注入ヘッドの幅は、基板の表面をガスで均一に覆うように変化させてよい。
様々な実施形態において、装置は、コントローラをさらに含む。コントローラは、反応物を吸引領域から除去するのと同時に反応物出口領域内で第1の圧力で反応物を基板表面に供給するための命令を有していてよい。コントローラは、反応チャンバ内における反応物出口領域および吸引領域の外側の区域を第2の圧力に維持するための命令を有していてよく、ここで、第2の圧力は、第1の圧力の約25分の1以下である。その他の場合では、第2の圧力は、第1の圧力の約100分の1以下、または第1の圧力の約500分の1以下、または第1の圧力の約1000分の1以下、または第1の圧力の約2000分の1以下、または第1の圧力の約3000分の1以下であってよい。コントローラは、注入ヘッドを基板に対して移動させるための命令を有していてよい。注入ヘッドを基板に対して移動させるための命令は、エッチング操作中に基板の表面全域にわたって時間平均にして実質的に均一なイオンフラックスを実現することができる。注入ヘッドを基板に対して移動させるための命令は、基板を移動させるための命令を含んでいてよい。或いはまたは加えて、注入ヘッドを基板に対して移動させるための命令は、注入ヘッドを移動させるための命令を含んでいてよい。コントローラは、プラズマを発生させ、引出電極に第1のバイアスをかけ、集束電極に第2のバイアスをかけるための命令も有していてよい。
開示される実施形態の別の態様では、半導体基板から材料を除去するための装置が提供され、該装置は、反応チャンバと、該反応チャンバ内で基板を支えるための基板サポートと、該基板サポートに向かってイオンを供給するように構成されたイオン源またはプラズマ源と、基板が基板サポート上に位置決めされているときに基板の表面に反応物を提供するための注入ヘッドであって、(i)反応物供給導管の反応物出口領域を含む基板対向領域と、(ii)基板の周囲にある余分な反応物を除去するように構成された真空導管につながれた吸引領域と、を含む注入ヘッドと、該注入ヘッドまたは基板サポートを互いに対して移動させるための移動機構と、を含んでいてよい。
開示される実施形態の別の態様では、半導体基板から材料を除去する方法が提供される。方法は、反応チャンバに基板を提供することと、イオン源から発するイオンに基板の表面を暴露することと、基板を反応物ガスに暴露し、そうして反応物ガスを基板の表面の第1の部分上の反応物出口区域内で基板表面に接触可能にすることであって、反応物ガスは、反応物出口区域を実質的に取り巻く基板表面上の吸引区域内で反応物ガスを除去するのと同時に第1の圧力で反応物出口区域に提供され、反応チャンバは、反応物出口区域および吸引区域の外側で第2の圧力に維持され、第2の圧力は、第1の圧力の約25分の1以下である、ことと、イオンへの暴露および反応物ガスへの暴露の結果として、基板から材料を除去することと、を含んでいてよい。
場合によっては、第2の圧力は、第1の圧力の約100分の1以下、または第1の圧力の約500分の1以下、または第1の圧力の約1000分の1以下、または第1の圧力の約2000分の1以下、または第1の圧力の約3000分の1以下であってよい。特定の場合では、第2の圧力は、約10ミリトール以下であり、例えば、約1ミリトール以下である。
方法は、また、基板表面の上で反応物出口区域を移動させることを含んでいてよい。反応物出口区域は、時間平均にして空間的に均一に反応物を供給するやり方で基板表面の上で移動されてよい。その他の場合では、反応物出口区域は、時間平均にして空間的に非均一に反応物を供給するやり方で基板の上で移動される。基板表面の上で反応物出口区域を移動させることは、基板を回転させることを含んでいてよい。これらのおよびその他の場合では、基板表面の上で反応物出口区域を移動させることは、基板表面の上を反応物出口区域でスキャンすることを含んでいてよい。様々な実装形態において、基板を反応物ガスに暴露することは、基板対向領域を含む注入ヘッドに反応物ガスを供給することを含み、ここで、基板対向領域は、(i)反応物出口区域に反応物を供給する、反応物供給導管の反応物出口領域と、(ii)真空導管につながれ、吸引区域内の反応物を除去する吸引領域とを含む。注入ヘッドの下面と基板の表面との間の距離は、注入ヘッドが反応物ガスを供給する間、約0.1〜5mmに維持されてよい。短い分離距離は、反応物が広い基板処理領域に逃れてそこでそのような反応物の分子がイオンビームに衝突し得る事態を最小限に抑えるのに有用である。
必要に応じて、様々なタイプのイオンが使用されてよい。場合によっては、イオンは、不活性または非反応性である。その他の場合では、イオンは、反応性である。例えば、場合によっては、イオンは、基板上の材料を酸化させる。反応物ガスは、基板上の酸化材料と反応し、それによって酸化材料を除去することができる。特定の実施形態では、反応物ガスは、酸化剤、ハロゲン化剤、還元剤、錯化剤、酸、塩基、アルコール、ケトン、アルデヒド、またはエステル、またはこれらの任意の組み合わせからなる群から選択された、1種以上のガスを含んでいてよい。非限定的な例として、H2O、H22、NO2、NO、N2O、CF4、C26、CHF3、SF6、HF、HCl、HI、HNO3、Cl2、CClF3、CCl22、HBr、Br2、F2、H2、NH3、メタノール、エタノール、イソプロパノール、酢酸、ギ酸、カルボン酸、アセトン、メチルエチルケトン、アセチルアセトン(acac)、ヒドロフルオロアセトン(hfac)、ホルムアルデヒド、および酢酸ブチルが挙げられる。
基板をイオンに暴露することは、様々な実施形態において、プラズマを発生させることと、プラズマと基板との間に位置決めされた引出電極に第1のバイアスを印加することによってプラズマからイオンを引き出すことと、引出電極と基板との間に位置決めされた集束電極に第2のバイアスを印加することによってイオンを集束させることと、を含んでいてよい。基板からの材料を除去することは、通常は、基板上の材料の層の少なくとも一部分を除去することを含む。材料の層は、不揮発性メモリデバイスの特徴を形成することができる。不揮発性メモリデバイスは、MRAMデバイスであってよい。不揮発性メモリデバイスは、FeRAMデバイスであってよい。不揮発性メモリデバイスは、PCMデバイスであってよい。不揮発性メモリデバイスは、3D積層メモリデバイスであってよい。
特定の実施形態では、反応物の圧力および/または流量が、基板の表面の上で材料の除去速度が時間平均にして均一になるように調節される。その他の実施形態では、反応物の圧力または流量が、基板の表面の上で材料の除去速度が時間平均にして非均一になるように調節される。注入ヘッドのセグメントごとに反応物の圧力または流量を異なるように維持することによって、基板表面の上で材料の除去を時間平均にして均一にすることができる。その他の場合では、注入ヘッドのセグメントごとに反応物の圧力または流量を異なるように維持することによって、基板表面の上で材料の除去を時間平均にして非均一にすることができる。
関連の図面を参照にして、これらのおよびその他の特徴が以下で説明される。
イオンビームエッチングを実施するために使用され得る反応チャンバの概略図である。
一実施形態にしたがったイオンビームエッチングプロセスを基板が経る様子を示した図である。 一実施形態にしたがって基板がイオンビームエッチングプロセスを経る様子を示した図である。 一実施形態にしたがって基板がイオンビームエッチングプロセスを経る様子を示した図である。
局部的高圧で反応物を供給するための注入ヘッドを有する反応チャンバを示した図である。
特定の実施形態にしたがった注入ヘッドの断面図である。 特定の実施形態にしたがった注入ヘッドの断面図である。 特定の実施形態にしたがった注入ヘッドの断面図である。
独立に制御可能な数々のガス供給導管を有する注入ヘッドの上面図および側断面図である。
独立に制御可能な数々の真空セグメントを有する注入ヘッドの上面図および側断面図である。
基板全域を覆う注入ヘッドの上面図および断面図である。
二分可能であって各半部分を回転させることによって開かれる注入ヘッドの上面図であり、途中まで開いた位置で示されている。
図4Fおよび図4Gに示された注入ヘッドの断面図である。 図4Fおよび図4Gに示された注入ヘッドの断面図である。
図4A〜4Cに示された注入ヘッドのそれぞれ異なる領域にかかる圧力に関係したモデリングデータを示したグラフである。
注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。 注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。 注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。 注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。 注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。 注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。 注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。 注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。 注入ヘッドが基板表面に対して移動するのに伴って注入ヘッドが辿り得る経路および動きの特定の例を示している。
特定の実施形態にしたがって局部的高圧で複数の反応物ガスを個別に供給する注入ヘッドを示した図である。 特定の実施形態にしたがって局部的高圧で複数の反応物ガスを個別に供給する注入ヘッドを示した図である。
本出願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および「部分的に製造された集積回路」という用語が、区別なく使用される。当業者にならば、「部分的に製造された集積回路」という用語が、集積回路製造における数多くの段階のうちの任意の段階にあるシリコンウエハを言えることが理解される。半導体デバイス産業で使用されるウエハまたは基板は、一般に、200mm、300mm、または450mmの直径を有する。以下の詳細な説明は、本発明がウエハ上で実行に移されることを想定している。しかしながら、本発明は、この限りではない。被加工物は、様々な形状、サイズ、および材料であってよい。本発明の利点を活用し得るその他の被加工物には、半導体ウエハに加えて、プリント回路基板、フラットパネルディスプレイ、半導体パッケージ、磁気記録媒体および磁気記録装置、光学素子、ミラーおよびその他の反射媒体、シートメタルまたは実質的に平面状のその他の材料などの、様々な物品がある。
以下の詳細な説明では、提示される実施形態の完全な理解を与えるために、数々の具体的詳細が述べられる。開示される実施形態は、これらの具体的詳細の一部または全部を伴わずとも実施され得る。また、開示される実施形態を不必要に不明瞭にしないように、周知のプロセス操作の詳細な説明は省かれている。開示される実施形態は、具体的な実施形態との関連のもとで説明されるが、これは、開示される実施形態を制限することを意図していないことが理解される。
イオンビームエッチングは、半導体デバイスの製造によく使用される。上記のように、イオンビームエッチングは、基板の表面にエネルギイオンを供給することによって基板表面から材料を除去することを伴う。イオンビームエッチングは、不活性イオン(例えばアルゴンイオン)のみを伴うプロセスと、反応性イオン、またはイオン(例えば、酸素イオン、もしくはフッ素を含むイオン化された化合物などの或る種のイオン化化合物、基板の表面上に化学吸着もしくは物理吸着された反応物と化学反応を起こす反応性イオンもしくは不活性イオン)によって起こされる化学反応を伴うプロセスとに大別される。いずれのタイプのプロセスでも、イオンは、基板表面に衝突し、直接的な物理的運動量移動(スパッタリング)またはイオンからのエネルギ伝達によって起こされる化学反応(反応性イオンビームエッチング)のいずれかを通じて材料を除去する。反応性イオンビームエッチングは、通常は、物理的衝撃に加えて基板と化学的に反応することができるイオン(酸素やフッ素など)の利用、または基板と反応物との間の化学反応を起こす不活性イオン(表面に吸着される作用ガスなど)の利用、または基板の表面上に反応場を形成し該反応場の形成と同時にもしくは該反応場の形成に続いて作用反応物と反応するイオンの利用、またはこれらのイオンを任意に組み合わせた利用を伴う。
イオンビームエッチングプロセスの特定の用途は、不揮発性材料のエッチングに関する。場合によっては、エッチングされる材料は、導電性材料である。特定の実施形態では、材料は、磁気抵抗ランダムアクセスメモリ(MRAM)デバイス、スピントルク注入メモリデバイス(STT−RAM)、相変化メモリデバイス(PSM)、不揮発性導体(銅、プラチナ、金など)の形成を背景としてエッチングされる。その他の用途では、基板へのイオン入射角を制御する能力は、垂直積層メモリ、FinFETデバイス、またはゲートオールアラウンド構造などの3Dデバイスを作成するのに役立つことができる。
イオンビームエッチングプロセスを実施するときは、基板表面の上で高度に均一なイオンフラックスを発展させることが望ましい。高度な均一性は、基板の表面全域にわたって高信頼性のデバイスを作成するのに有益である。さらに、特定の場合では、高束のイオンおよび/または気相反応物を発展させることが望ましい。高束は、スループットの最大化に役立つことができる。エッチング結果の質に作用する別の要素は、イオンが表面に激突する際のエネルギおよび角度を制御する能力である。これらの要素は、所望の寸法およびプロファイルを有する特徴を形成するのに重要である。
図1は、特定の方法にしたがってイオンビームエッチングを実施するための装置100の略断面図を示している。この例では、基板101が、基板サポート103上に座しており、該基板サポートは、電気接続および流体接続を提供するためにハードウェア(不図示)を備えていてよい。電気接続は、場合によっては基板サポート103に電気を供給するために使用されてよく、流体接続は、基板101および基板サポート103の温度を制御するために使用される流体を提供するために使用されてよい。基板サポート103は、ヒータ(不図示)によって加熱されてよい、または冷却機構(不図示)によって冷却されてよい。冷却機構は、基板サポート103の中のまたは基板サポート103に隣接する配管に冷却流体を流すことを伴ってよい。場合によっては、ヒータは、基板を少なくとも約100℃の昇温に加熱してよく、例えば、少なくとも200℃、少なくとも約300℃、または少なくとも約400℃である。これらのまたはその他の場合では、ヒータは、基板を約600℃以下の温度に加熱してよい。冷却機構が使用される場合は、該冷却機構は、基板をおおよそ室温から−100℃までの温度に冷却してよい。基板サポート103は、図1において両矢印によって示されるように、様々な速度および角度で回転可能および傾斜可能であってよい。
プラズマ発生ガスが、主プラズマ発生領域105に供給される。プラズマ発生ガスは、プラズマ源107によって活性化される。図1を背景とすると、プラズマ源107は、誘導結合プラズマ源として機能するコイルである。適切に設計されたリアクタでは、容量結合プラズマ、マイクロ波源またはアーク放電源、液体金属イオン源またはフィールドイオン化源などの、その他のプラズマ源が用いられてもよい。プラズマが、主プラズマ発生領域105内に発生する。引出電極109は、イオンを引き出すための一連の孔110を含む。引出電極109に印加されるバイアスV1は、基板に対する運動エネルギをイオンに提供する働きをする。このバイアスは、通常は正であり、約20〜10,000ボルトまたはそれより大きい範囲にあってよく、場合によっては25〜2,000ボルトの範囲にある。引出電極109の上方のプラズマ中の陽イオンは、引出電極109と下部電極113との間の電位差によって、下部電極113に引き寄せられる。集束電極111は、イオンを収束させるためにおよびもし必要であれば電子を反発させるために追加されている。集束電極111に印加されるバイアスV2は、引出電極109に対して正または負のいずれかであってよく、様々な場合で負にバイアスをかけられる。集束電極111のバイアス電位は、集束電極111のレンズ特性によって決定される。この電極にかかる電圧は、電位V1より上の正電圧(例えばバイアスV1の約1.5〜10倍)から負電圧(例えばバイアスV1の約−0.001〜−0.9倍)に及ぶ。電極にかかる電位がそれぞれ異なるゆえに、電位勾配が存在する。電位勾配は、約1000V/cm程度であってよい。隣り合う電極間の分離距離の例は、約0.1〜10cmであり、例えば約1cmである。イオンは、接地された下部電極113の底から離れた後、平行化された集束ビームの形で移動する。
下部電極113は、多くの(しかしながら全部ではない)場合に接地される。接地された下部電極113を接地された基板101と併せて使用することによって、実質的に無電場の基板処理領域115が得られる。無電場領域内に基板を位置付けることによって、イオンビームが反応チャンバ内で残留ガスまたは表面と衝突して生成される電子または二次イオンが基板に向かって加速されて望ましくない損傷または二次反応を引き起こす事態が阻止される。また、基板101がイオンビーム自体によってまたはイオンビームと基板との衝突中に生成される放出二次電子によって帯電される事態を阻止することも重要である。中性化は、通常は、基板101の付近に低エネルギの電子源(不図示)を追加することによって実現される。イオン上の正電荷、および放出二次電子は、ともに基板を正に帯電させるので、付近における低エネルギ電子は、正に帯電した表面に引き寄せられて、この電荷を中性化することができる。この中性化の実施は、無電場領域内であればずっと容易である。
一部の用途では、下部電極113と基板101との間に電位差があることが望ましいだろう。例えば、非常に低エネルギのイオンが必要とされる場合は、正帯電イオンどうしの斥力(空間電荷効果)ゆえに、良く平行化されたビームを長距離にわたって低エネルギで維持することが困難である。これに対する解決策は、1つには、基板101に対して下部電極113に負のバイアスをかける(または反対に、下部電極113に対して基板101に正のバイアスをかける)ことである。このバイアス方式は、高いエネルギでイオンを引き出し、基板に近づくにつれてイオンを減速させることを伴う。
引出電極109、集束電極111、および下部電極113の孔110は、互いに正確に位置を揃えることができる。さもないと、イオンが正しく向けられず、ウエハ上のエッチング結果が芳しくなくなる。例えば、もし、集束電極111内の孔の1つの位置がずれていると、基板101上の或る区域が過剰にエッチングされる(向けられるイオンが多すぎる)一方で、基板101上の別の区域のエッチングが足りなくなる(向けられるイオンが無いまたは少なすぎる)だろう。このように、孔は、可能な限り互いに位置を揃えられることが望ましい。様々な場合では、垂直に隣接する電極どうしの位置のずれは、(隣接する孔と比べたときの孔の位置のずれの直線距離で測ったときに)穴の直径の約1%以下であるように制限される。
イオンビームエッチングプロセスは、通常は、低圧で行われる。一部の実施形態では、圧力は、約100ミリトール以下であってよく、例えば約10ミリトール以下または約1ミリトール以下であり、多くの場合、約0.1ミリトール以下である。低圧は、イオンと基板処理領域内に存在するあらゆるガス種との間における望ましくない衝突を最小限に抑えるのに役立つ。
あいにく、多くの反応性イオンビームエッチングで必要とされる低圧は、反応物が基板処理領域に供給され得る速度を制限する。もし、反応物が供給される速度が高すぎると、圧力が上昇し、イオンとガスとの衝突が問題になる。反応物の流速が低いと、表面を迅速に効果的にエッチングするのに十分な分圧で化学反応物/エッチャントが存在しなくなるので、プロセスが比較的低スループットになる。
本明細書で開示される特定の実施形態は、注入ヘッドの外側を比較的低圧に維持しつつ注入ヘッドによって比較的高い局部圧で基板の表面に反応物ガスを提供することによって、衝突とスループットとのこの矛盾に対処している。操作に当たり、注入ヘッドは、注入ヘッドの反応物供給領域と注入ヘッドの縁との間に大きい圧力勾配を提供するだろう。注入ヘッドは、反応物を供給し、それと同時に余分な反応物種および副生成物を吸い取る。この仕組みは、反応物ガスの投入量の大半を、このような反応物がイオンと衝突する可能性が高い領域に進入しないように阻止し、それによって、基板処理領域全体を低圧にすることおよび反応物を高圧で局部的に供給することの両方を可能にする。注入ヘッドは、反応物ガスを基板表面に時間平均にして均一に供給するやり方でウエハの各部位の上をスキャンしてよい。スキャンする注入ヘッドは、任意の所定の時点では、ウエハの局部にのみ高圧で反応物を供給しているに過ぎないが、エッチングプロセス全体では、平均して均一に反応物ガスが供給されるように基板の表面の上をスキャンする。反応物を均一に供給するために、様々なスキャンパターンが使用されてよい。或いは、注入器ヘッドは、基板全体を覆ってよく、基板の上に位置決めされるのと基板の上から取り除かれるのとを交互に行ってもよい。
開示される注入ヘッドが有益になり得るプロセスの一例は、図2A〜2Cに示されるように基板をエッチングすることを伴う。図2Aは、エッチングプロセスの初期段階における基板を示している。基板は、1枚以上の下層201と、部分的に露出されたコバルト白金(CoPt)の層202と、その上のパターン化ハードマスク層204とを有する。この例では、ハードマスク層は、タンタルである。例として、これらの材料が挙げられているが、開示される実施形態は、イオンビームと作用反応物との順次組み合わせによってエッチング可能である様々な材料のエッチングに使用することができる。エッチングされる材料の非限定的な例として、(1)シリコン、シリコンゲルマニウム、ゲルマニウムなどの半導体であって、場合によっては、Cl2、HCl、HBr、またはBr2のガスに順次暴露され次いで不活性イオン(不活性イオンは、例えば、He、Ne、Ar、Kr、Xe、またはこれらの組み合わせであってよい)に暴露されることによってエッチングすることができる半導体、(2)Cu、Fe、Co、Ni、Pd、またはWなどの金属であって、場合によっては、酸素イオンビームによって酸化され次いでアセチルアセトン(acac)、ヒドロフルオロアセトン(hfac)、酢酸、またはギ酸などの酸または有機蒸気と反応して揮発性ガスまたは高蒸気圧化合物を形成することができる金属、ならびに(3)Si、SiGe、Ge、III-V族元素、Pd、およびFeなどの金属または半導体であって、場合によっては、H、He、O、N、F、Cl、またはBrなどの不活性または反応性のイオンによって表面を活性化され次いでF2、HF、Cl2、HCl、Br2、HBr,NH3、酢酸、またはこれらの組み合わせなどの反応性のガスまたは蒸気の作用を受けて反応場において化学的にエッチングされる金属または半導体が挙げられる。
プラズマが、電極セットの上方の主プラズマ発生領域で発生する。この例でプラズマを発生させるために使用されるガスは、酸素を含み、発生するプラズマは、したがって、酸素イオンを含む。酸素イオンは、引出電極、集束電極、および下部電極を通って移動し、図2Aに示されるように、基板の表面に衝突する。イオンが一定期間にわたって基板表面に衝突した後、コバルト白金材料202の上面は、図2Bに示されるように、酸化材料206になる。ハードマスク層204は、酸化に耐え得る。反応性ガスに暴露された後、酸化材料206は、図2Cに示されるように、エッチングによって除去される。
従来の方法では、このエッチングは、プラズマを消滅させること、基板を別の処理チャンバに移送すること、および基板をアセチルアセトン(acac)、ヒドロフルオロアセトン(hfac)、または酢酸などの酸化物除去化学物質に接触させることを伴っていてよい。その他の基板材料は、その他の化合物によって除去することができる。場合によっては、除去は、ウェット化学方法を通じて生じる。
開示される実施形態では、しかしながら、プラズマを消滅させるおよび基板を新しい処理チャンバへ移送するなどの余分な工程を回避することができる。一例では、注入ヘッドは、一次プラズマ発生領域内にプラズマが存在し基板表面にイオンが活発に衝突している間に、ウエハの表面をスキャンして比較的高い局部分圧(例えば、基板処理領域内の圧力の少なくとも約10倍、または少なくとも約100倍、または少なくとも約500倍、または少なくとも約1000倍、または少なくとも約2000倍の分圧であり、場合によっては少なくとも約3000倍の分圧)で反応物ガスまたは反応物蒸気を供給する。反応物ガスは、酸化された材料を表面からエッチングする。別の例では、注入ヘッドは、イオンが表面に活発に衝突していない間に、特定の時点でウエハの表面をスキャンして反応物ガスを供給する。このような一例では、基板処理領域に入るイオン流は、図3に示されるように、シャッタによって調節される。このようにすれば、プラズマを点火状態に維持したままで、必要に応じてイオン流をシャッタによってオンおよびオフに切り替えることができる。注入ヘッドは、シャッタが開かれてイオンが基板表面に衝突している間は、外れた場所(すなわち、ウエハと電極との間ではない場所)に移動されてよい。
場合によっては、シャッタは、イオンを電極の特定の部分を通り抜けないように遮断する一方で電極のその他の部分を通り抜け可能にするように構成されてよい。この場合のシャッタは、一度に全部が開閉する覆いのように機能するのではなく、電極の個々の孔を個別に遮断するまたは連通させることができる。このようにすれば、各孔を通るイオン流をオンおよびオフに切り替えられる。この実施形態の利点は、1つには、孔が、その特定の孔と基板表面との間に注入ヘッドがあるときのみシャッタによって遮断され、注入ヘッドが間を遮っていないときは開かれた状態にとどまれることである。
イオンが基板に衝突している間に注入ヘッドが基板に反応物ガスを活発に供給するかどうかに関わらず、注入ヘッドの使用は、プラズマを消滅させる必要なく同じチャンバ内でエッチングの両過程(イオン供給および化学反応物供給)が起きることを可能にする。
原子層エッチング(ALE)などの用途の場合、開示される実施形態は、プロセスの各過程における圧力の最適化を可能にするゆえに、とりわけ有用である。ALEは、反応物の供給および吸着、余分な反応物のパージ、および非常に薄い層(例えば場合によっては単分子層)を除去するためのエネルギ源への暴露を順次行うことを伴う。多くの場合、このような、吸着、パージ、およびエネルギへの暴露の操作は、材料を1層ごとにエッチングするために周期的に実施される。開示される注入ヘッドおよびその使用方法は、利用可能な操作窓を、様々なガスが提供される圧力に合わせて実質的に開かせる。さらに、開示される技術は、様々なタイミングシーケンスを使用するALD方法を実現することができる。例えば、反応物供給、パージ、およびエネルギへの暴露は、全て、ウエハ上の異なる部分に対して同時に起きる。反応物供給およびパージは、注入ヘッドの下で局所的に起き、エネルギ(イオン)への暴露は、注入ヘッドが遮断しない至る所で広く起きる。原子層エッチング方法は、参照によってその全体を本明細書にそれぞれ組み込まれる発明の名称を「ADSORPTION BASED MATERIAL REMOVAL PROCESS(吸着をベースとする材料除去プロセス)」とする米国特許第7,416,989号、発明の名称を「METHODS OF REMOVING SILICON NITRIDE AND OTHER MATERIALS DURING FABRICATION OF CONTACTS(接点の作成中にシリコン窒化物およびその他の材料を除去する方法)」とする米国特許第7,977,249号、発明の名称を「MODULATING ETCH SELECTIVITY AND ETCH RATE OF SILICON NITRIDE THIN FILMS(シリコン窒化物薄膜のエッチング選択性およびエッチング速度の調節)」とする米国特許第8,187,486号、発明の名称を「ATOMIC LAYER REMOVAL FOR HIGH ASPECT RATIO GAPFILL(高アスペクト比ギャップ充填のための原子層除去)」とする米国特許第7,981,763号、発明の名称を「ATOMIC LAYER REMOVAL PROCESS WITH HIGHER ETCH AMOUNT(エッチング量が高い原子層除去プロセス)」とする米国特許第8,058,179号でさらに論じられている。
図3は、一部の実装形態において反応性イオンビームエッチングに使用される反応チャンバ300の概略図を示している。基板処理領域303内の静電チャック302などの台座上で、ウエハ301が支えられる。イオン源304において、イオンが生成され、引き出され、集束される。イオン源304は、図1に示されるように、プラズマ発生域と、一連の電極とを含んでいてよいが、その他のイオン源が使用されてもよい。イオン源304から発せられるイオン流は、随意のイオンシャッタ305を通じてオンおよびオフに切り替え可能である。注入ヘッド306は、注入ヘッド306下で局部高圧供給領域307にプロセスガスを供給するために、基板301の表面の上で移動する。局部高圧領域は、反応物出口領域または反応物供給領域と呼ぶこともできる。局部高圧領域は、反応物供給導管の一部を形成してよく、反応物を注入ヘッド306に提供するラインにつながれてよい。
基板処理領域303内は、局部高圧反応物をそれらがウエハ301に供給された直後に除去する注入ヘッド306によって、低圧に維持される。具体的に言うと、局部高圧供給領域307において反応物が供給されている間、注入ヘッド306は、局部高圧供給領域307を取り巻くまたはそれ以外の形で局部高圧供給領域307に接近している領域(これらの取り巻く領域は、圧力降下領域または吸引領域と呼ばれることもある)に同時に真空を適用し、それによって、余分な反応物をそれらが注入ヘッド306の外側の広い基板処理領域303に入る前に除去する。余分な反応物は、真空接続(不図示)を通じて除去される。真空接続は、ウエハ301の表面の上で注入ヘッド306が移動することを可能にするために、幾分薄くて且つ可撓性であってよい、または注入ヘッド自体の一部を構成していてよい。真空接続は、反応物を供給するラインと真空接続とが(たとえ両者が機能的には分かれていても)一緒に移動するように、反応物供給ラインと物理的に一体化されてよい。
場合によっては、注入ヘッドは、基板の幅全体に広がるように細長くされてよく、真空接続は、ヘッドに一致するように構成されてよい。図3は、注入ヘッド306(ならびに注入ヘッド306の一部を構成し得る反応物供給ラインおよび真空接続)が紙面に出入りする方向に伸びていると解釈することができる。この実施形態では、注入ヘッドは、注入器ヘッドの長さに垂直な単軸に沿って(すなわち、図3における左右方向に)、または枢軸に沿ってスキャンすることによって、ウエハの表面全域に反応物を供給することができる。この構成では、真空接続は、注入ヘッド自体の外側の領域ではイオンビームを遮断しないだろう。注入ヘッド306のさらなる詳細が、以下で論じられる。
図4A〜4Cは、特定の実施形態にしたがった、ウエハ401の上を通過する注入ヘッド400の略断面図を示している。図4Aは、注入ヘッド400およびその中の構成要素を示している。図4Bは、注入ヘッド400の特定の寸法を示すために提供されている。図4Cは、注入ヘッド400を通る流れのパターンを示すために提供されている。先ず、図4を見ると、反応物入口402から注入ヘッド400に反応物ガスが導入される。反応物ガスは、比較的高圧で局部高圧領域R0(反応物出口領域とも呼ばれる)に導入される。第1の仕切りD1が、局部高圧領域R0を第1の圧力降下領域R1(吸引領域とも呼ばれる)から分離し、第2の仕切りD2が、第1の圧力降下領域R1を第2の圧力降下領域R2(第2の吸引領域と呼ばれることもある)から分離し、第3の仕切りD3が、第2の圧力降下領域R2を周囲の基板処理領域R3から分離する。仕切りは、シートまたはその他の薄い構造であってよく、ポリマ、セラミック、金属、またはガラスなどの耐エッチング材料で作成されてよい。材料の例として、アルミニウム、アルミニウム合金、陽極酸化アルミニウム、ステンレス鋼、アルミナセラミック、マシナブルガラスセラミック、溶融シリカ、インコネル、モネル、ホウケイ酸塩、ベスペル、Teflon、またはカプトンが挙げられ、使用されている(1種以上の)エッチャントに応じて選択されてよい。
局部高圧領域R0に供給される余分な反応物を真空除去するために、第1および第2の圧力降下領域R1およびR2に真空が適用される。真空は、真空接続403を通じて適用される。同様な一実施形態では、真空接続403は、図4A〜4Cに示されるように紙面の右へはけるのではなく、紙面に出入りする方向に伸びている。一実施形態では、局部高圧領域R0は、両側を第1の仕切りD1によって境界された円筒状の領域であってよい。第1および第2の圧力降下領域R1およびR2は、局部高圧領域R0を取り巻くように環状であってよい。或いは、局部高圧領域R0、ならびに第1および第2の圧力降下領域R1およびR2は、それぞれ、上から見たときに紙面に出入りする方向に伸びる長くて薄い領域であってよい(例えば、上から見て実質的に矩形の断面をそれぞれ有していてよい)。
耐スパッタリング被覆404が、注入ヘッド400を被覆してよい。このような耐スパッタリング被覆404は、炭素(例えば非晶質炭素)、またはスパッタリングされた場合に基板材料を汚染すると見なされないシリコン、SiO2、アルミニウム、もしくはAl23などの材料で作成されてよい。耐スパッタリング被覆404は、スパッタリングによって注入ヘッド400から剥がれる材料の量を最小限に抑えるのに役立つ。注入ヘッドの(耐スパッタリング被覆下の)外殻は、ポリマ、セラミック、金属、またはガラスで作成されてよく、例として、アルミニウム、アルミニウム合金、陽極酸化アルミニウム、ステンレス鋼、アルミナセラミック、マシナブルガラスセラミック、溶融シリカ、インコネル、モネル、ホウケイ酸塩、ベスペル、Teflon、またはカプトンが挙げられる。
それぞれの関連領域は、ウエハ自体に何が起きているかに着目する別の見方によっても特徴付けられる。ウエハのうち局部高圧領域R0下に位置する部分は、局部高圧区域(反応物出口区域とも呼ばれる)と呼ばれてよい。ウエハのうち第1および第2の圧力降下領域R1およびR2下に位置する部分は、圧力降下区域、または2つの圧力降下小区域と呼ばれてよい。これらの区域は、吸引区域とも呼ばれてよい。ウエハのうち注入ヘッド下にない部分は、イオン処理区域と呼ばれてよい。局部高圧区域、圧力降下区域、およびイオン処理区域の位置は、注入ヘッドがウエハの表面の上で移動するのに伴って変化する。
当業者ならば、局部高圧領域R0、ならびに第1および第2の圧力降下領域R1およびR2を形成するための1つまたは複数の構造に、余分な反応物および反応副生成物をそれらが局部高圧領域R0に供給された後に基板処理領域R3に入る前に真空除去するように圧力降下領域が設計または構成される限り、多様な形状が使用されてよいことを理解できる。このように、第1の圧力降下領域R1は、局部高圧領域R0を取り巻いていてまたは実質的に取り巻いていてよく、第2の圧力降下領域R2は、第1の圧力降下領域R1を取り巻いていてまたは実質的に取り巻いていてよい。これらの領域は、図4A〜4Cに示されるように円形/円筒状であってよい、または別の形状(楕円形、四角形、矩形、三角形、もしくはその他の多角形、スリット状など)であってよい。R0下の露出区域は、基板よりも大幅に小さくてよい、基板とおおよそ等しくてよい、または基板よりも大きくてよい。特定の一例では、局部高圧領域は、比較的長くて薄いスリット状であり、圧力降下領域は、そのスリットの両辺に隣接している。この場合は、圧力降下領域は、(例えばスリットの狭い両辺の近くなどでは)局部高圧領域を完全に取り囲んでいるかもしれないしまたはそうではないかもしれないが、このような圧力降下領域は、スリット状の局部高圧領域の長い両辺に近接している圧力降下領域によって余分な反応物の実質大半が真空除去されるゆえに、局部高圧領域を実質的に取り巻いていると言える。特定の実施形態では、圧力降下領域のいずれかまたは両方が、反応物供給領域の周囲の少なくとも70%(または少なくとも約90%)を取り巻いている。特定の場合では、圧力降下領域のいずれかまたは両方が、反応物供給領域の100%を取り巻いている。特定の実施形態では、第1の圧力降下/吸引領域が、局部高圧/反応物供給領域に直に隣接している。特定の実施形態では、第2の圧力降下/吸引領域が、第1の圧力降下/吸引領域に直に隣接している。
任意の数の個別の圧力降下/吸引領域が使用されてよい。図4A〜4Cには、2つの圧力降下領域が示されているが、実施形態によっては、1つの圧力降下領域のみが使用される。その他の実施形態では、例えば3つまたは4つ以上の圧力降下領域などのように、2つ以上の圧力降下領域が使用される。一部の実施形態では、最多で約5つの圧力降下領域が使用される。基板処理領域および局部高圧領域は、圧力降下領域とは見なされない。通常は、任意の圧力降下領域が、局部高圧領域または別の圧力降下領域に近接して位置付けられ、余分な反応物を除去するための真空接続を有する。圧力降下領域は、隣り合う領域間で圧力を順次降下させる働きをする。
図4Bは、図4Aに示された注入ヘッド400を、特定の寸法を強調して示している。W0は、局部高圧領域R0の幅を表している。W1およびW2は、第1の圧力降下領域R1および第2の圧力降下領域R2の厚さ(これらの領域が環状である場合は外径から内径を引いた値)をそれぞれ表している。L1、L2、およびL3は、第1の仕切りD1、第2の仕切りD2、および第3の仕切りD3の厚さをそれぞれ表している。基板401の表面と、仕切りD1〜D3の底部との間の距離は、gで記されている。基板401の表面と、真空接続403との間の距離は、hで記されている。仕切りの高さは、hDで記されている。
幅W0は、約0.5mm〜10cmであってよい。厚さW1は、約1mm〜5cmであってよい。同様に、厚さW2も、約1mm〜5cmであってよい。W1およびW2は、同じまたは異なる厚さであってよい。場合によっては、W1がW2よりも大きく、その他の場合では、W2がW1よりも大きい。厚さL1は、約0.5mm〜2cmであってよい。同様に、厚さL2は、約0.5mm〜2cmであってよく、厚さL3は、約0.5mm〜2cmであってよい。場合によっては、L1、L2、およびL3は実質的に同じである(例えば、約5%以下の違いである)。その他の場合では、これらの厚さは、互いに異なっていてよい。仕切りD1〜D3の底部と、基板401の表面との間の高さgは、約5mm以下であってよく、例えば約2mm以下または約1mm以下である。場合によっては、この距離gは、約0.1〜5mmである。この距離は、注入ヘッドから基板処理領域へ逃れる余分な反応物の量を最小限に抑えるために、比較的小さいことが望ましい。高さhは、約0〜5cmであってよい。
場合によっては、仕切りD1〜D3は、長さが等しくなく、各仕切りの底部と、基板の表面との間の距離が異なる。図4Bには示されていないが、このような一実施形態では、基板表面と第1の仕切りD1との間の距離は、g1と呼ばれてよく、基板表面と第2の仕切りD2との間の距離は、g2と呼ばれてよく、基板表面と第3の仕切りD3との間の距離は、g3と呼ばれてよい。特定の実施形態では、(g1、g2、およびg3を比較したときに)g1が最大であっておよび/またはg3が最小であってよい。こうすれば、基板処理領域R3に逃れる余分な反応物を最小限に抑えられる。仕切りの長さD1〜D3、仕切りの幅L1〜L3、および仕切りの隔たりW0〜W2を調整することによって、反応物ガスの滞留時間を調整することができる。
図4Cは、注入ヘッド400を通る流れのパターンを示している。図4Cには、各領域にかかる圧力も記されている。高圧領域R0には圧力P0が存在し、第1の圧力降下領域R1には圧力P1が存在し、第2の圧力降下領域R2には圧力P2が存在し、基板処理領域R3には圧力P3が存在する。P0が最も高い圧力であり、P3が最も低い圧力である。反応物は、圧力P0で高圧領域R0に供給され、そこで、材料をエッチング除去するために基板表面に作用する。次いで、余分な反応物および反応副生成物が、第1の仕切りD1の下を通って第1の圧力降下領域R1に入り、そこで、真空接続403によって真空で除去される。第1の圧力降下領域R1において真空によって吸い上げられなかった種は、第2の仕切りD2の下を通って第2の圧力降下領域R2に入り、そこで、真空接続403によって真空で除去される。ごく少量の種は、第3の仕切りD3の下を通って基板処理領域R3に入るかもしれない。しかしながら、基板処理領域R3に逃れるそのような種の量は、極めて少なく、通常は、イオン衝突の観点から見て問題になることはない。特定の実施形態では、P0は、P3の少なくとも約1000倍であってよい。一例では、P0は、P1の少なくとも約10倍であり、P1は、P2の少なくとも約10倍であり、P2は、P3の少なくとも約10倍である。これらのおよびその他の場合では、圧力は、隣り合う領域間で少なくとも5分の1に降下してよい。
特定の実施形態では、注入ヘッドは、基板の表面積の約0.1〜50%、または約1〜10%を覆う。この割合は、基板のうちイオンとの接触から遮断される部分、ならびに/または基板のうち注入ヘッドの(1つ以上の)反応物供給部分および吸引部分に曝される部分を表すことができる。その他の実施形態では、注入ヘッドは、基板の100%以上を覆う。
図4Fは、基板面積の100%以上を覆うことができる注入ヘッドの一実施形態を示している。図4Fに示された注入ヘッドは、円形であるが、基板表面全域を覆うことができる限り、任意の形状であってよい。場合によっては、上から見たときの注入ヘッドの全体形状が、基板の形状に一致する(例えば、図4Fに示されるように円形の基板の場合は円形の注入ヘッド、四角形の基板の場合は四角形の注入ヘッドなど)。注入ヘッドは、基板表面へのイオンの暴露を可能にするために基板から離れるように移動され、次いで、基板を反応物に暴露するために基板の上に戻るように移動される。この動きは、直線的平行移動または回転(またはこれら2種の動きの組み合わせ)を通じて生じることができる。注入ヘッドは、2つの半部分を含むものとして示されているが、これらの半部分は、互いに合わされて1つの統一された注入ヘッドを形成することができる。注入ヘッドが半部分(またはその他の部分構成要素)に分かれる場合は、これらの半部分は、図4Gに示されるように分割/回転されてよい。図4Gに示される注入ヘッドは、個々の枢軸上にある2つの半部分に分けられる。ここで、注入ヘッドは、部分的に開かれた位置で示されている。或いは、注入器ヘッドは、例えば、3分の1部分、4分の1部分などのように、任意の数の区分および枢軸に分割することができる。この実施形態では、注入ヘッドの各部分は、局部的高圧で反応物ガスを提供するために回転して基板の上に至り、次いで、基板表面へのイオンの暴露を可能にするために回転して基板の上から離れる。この例では、高圧領域は、基板の表面全域に一度に作用する。基板表面と、反応ヘッドの天井との間の距離は、注入ヘッドが基板表面上の小体積に反応物を供給することができるように、比較的小さく維持される。余分な反応物は、図4G〜4Iに示されるように、基板の周囲で除去される。
図5は、図4A〜4Cに示された注入ヘッドに関係したコンピュータモデリングデータを示している。具体的には、図5は、注入ヘッドの各領域における圧力を、約0〜1000sccmの流量に関係付けている。データは、高圧で供給される反応物がN2であると想定してモデリングされている(さらに大きい分子であれば、さらに圧力降下が大きくなるだろう)。さらに、データは、W0=5cm、W1=W2=1cm、L1=L2=L3=1cm、h=1cm、およびg=1mmであると想定してモデリングされている。分子/遷移流は、R.A.GeorgeらによるJournal of Physics E:Scientific Instruments,Volume 4,Number 5(1971)の「A low conductance optical slit for windowless vacuum ultraviolet light sources(無窓真空紫外線源のための低コンダクタンス光スリット)」における記述に基づくスリットコンダクタンスの近似を使用して計算された。
図5においてモデリングされた任意の所定の流量に対し、圧力は、注入ヘッドの隣り合う領域間で10分の1未満に降下する。その結果、圧力は、P0における約2トールから、P3における約0.00025まで降下し、これは、全体として約99.9875%の減少を表している。結果を別の言い方で特徴付けると、この例では圧力が約8,000分の1に減少する。
寸法が異なる注入ヘッドに対しても、同様なモデリングシミュレーションが行われた。寸法は、圧力降下の程度に影響はしたが、いずれの場合も、隣り合う領域間で相当な圧力減少を示した。L1、L2、およびL3の寸法(仕切りD1〜D3の厚さ)が大きいほど、圧力降下が大きくなる。同様に、仕切りD1〜D3の底部と基板表面との間の距離gが小さいほど、圧力降下が大きくなる。注入ヘッドにおける圧力降下の程度に影響を及ぼすために、その他にも様々な変更が可能である。
注入ヘッドによって提供されるその他の利点は、原子層エッチングを実施する能力である。原子層エッチングは、制御された量の材料をマルチパスプロセスにおける各パスで除去するプロセスを表しており、プロセスの1つは、完全にまたは部分的に自己限定性である。原子層除去プロセスは、それぞれ参照によってその全体を本明細書に組み込まれる特許および特許出願である米国特許第8,608,973号、米国特許第8,617,411号、およびPCT特許出願第PCT/US2012/046137号でさらに論じられている。一実施形態では、注入ヘッドのスキャンによって、基板表面上に反応性化学物質の局部的吸着が形成され、該吸着は、次いで、その局部区域からヘッドが離れたらイオンビームによって除去される。第2の実施形態では、イオンビームは、反応性の表面を形成し、該表面は、その上を注入ヘッドがスキャンしたときにそのヘッド内で反応性化学物質と反応する。
上記のように、注入ヘッドは、反応物ガスを供給するために、ウエハの表面の上で移動する。特定の実施形態に応じて、イオンは、注入ヘッドが反応物ガスを供給している間に、ウエハの表面に活発に衝突しているかもしれないし、またはそうでないかもしれない。ウエハ表面の上で注入ヘッドを保持および移動させるために、ロボットアームまたはその他の可動式の機械的サポートが使用されてよい。ロボットアームは、基板の上で注入ヘッドを一次元でまたは二次元で移動させてよく、関節ロボットアームであってよい。基板表面に対する注入ヘッドの移動は、注入ヘッドを移動させることによって、またはウエハを移動させる(例えば回転させる)ことによって、またはこのような動きを組み合わせることによって実現されてよい。ロボットアームは、例えばウエハが搭載されるまたは取り出されるときなどは、注入ヘッドを三次元で移動させて(基板サポートから持ち上げて)もよい。一部の実施形態では、ロボットアームまたはその他の機械的サポートに、真空接続および/または反応物供給接続が組み込まれる。その他の場合は、真空接続および/または反応物ガス接続とロボットアームとが別々である。注入ヘッドと同様に、ロボットアームは、耐スパッタリング性の被覆で被覆されてよい。一部の実装形態では、注入ヘッドを支えるために使用されるロボットアームまたはその他のスキャン機構は、反応チャンバの一部分に永久的に装着される(例えば、反応チャンバの側壁に取り付けられる)。その他の実装形態では、ロボットアームまたはその他のスキャン機構は、ウエハの周囲でアームが容易に移動することを可能にする行路上に装着されてよい。いずれの場合も、ロボットアームまたはスキャン機構は、注入ヘッドが必要に応じて基板の表面の上で移動することを可能にするために、接続部/結合部/移動起点を含んでいてよい。
特定の実施形態では、注入ヘッドは、例えば図6Eに示されるように、長くて狭い。様々な場合に、注入ヘッドの長い方の辺は、基板の全長/直径にわたり、注入ヘッドの狭い方の幅は、図に示されるように、基板の幅の何分の1かにわたる。この実施形態では、注入ヘッドは、図に示されるように、各パスで基板全域が網羅されるように、注入ヘッドの長手方向軸に垂直な方向に行き来するようにスキャンする(例えば図6Eでは、注入ヘッドは、左から右へスキャンする)。これらのまたはその他の場合は、注入ヘッドは、基板の物理的長さ以上(例えば、多くの場合、約200mm以上、300mm以上、または450mm以上)の長さを有していてよい。様々な実施形態において、注入ヘッドは、基板の長さよりも約1〜10cm長い長さを有する。注入ヘッドは、約1〜15cmの幅を有していてよく、例えば約2〜5cmである。ヘッドのスキャンは、図6Eに動きを示されるように線形アクチュエータを使用してまたは図6Gおよび図6Hに示されるように1つ以上の枢軸を使用して実現されてよい。基板は、図6F〜6Iに示されるように注入ヘッドの下で回転されてもよい。特定の実施形態では、例えば1枢軸式のヘッド構成で生じ得るスキャン速度またはその他のばらつきを補償するために、注入ヘッドの幅を変化させてよい。可変幅を有する注入ヘッドの一例が、図6Hに示されている。或いは、追加の枢軸が使用されてもよい。図6Iに示されるように、2枢軸式のヘッドの場合、注入器ヘッドは、基板を直線状にスキャンすることができる。
注入ヘッドに関しては、互いに異なるが関係はある均一性に関する2つの検討事項が重要である。1つ目は、注入ヘッドを通る反応物ガス供給束(質量/単位面積)が、エッチングプロセスにおいて基板の面全域にわたって時間平均にして均一であると望ましいことである。2つ目は、イオン源からのイオン供給束が、基板の面全域にわたって時間平均にして均一であると望ましいことである。注入ヘッド/ロボットアーム/真空接続によって、ウエハ上の或る局所とイオン源との間が遮られると、このようなウエハの局所は、イオンによる影響を受けなくなる。このように、注入ヘッド、ロボットアーム、および真空接続は、時間平均にして空間的に均一に反応物ガスおよびイオンの供給を提供するように構成されてよい。
基板の表面の上で注入ヘッドを移動させ、このように空間的に均一な反応物ガス/イオン供給を実現するためには、様々なスキャンパターンを使用することができる。図6A〜6Iは、一部の実施形態で使用され得る代表的なスキャンパターンを示している。図6A〜6Dは、基板の表面の上で注入ヘッドがとり得る様々な行路を示している。これらのスキャンパターンは、基板表面全域を網羅するために注入ヘッドが二次元移動を伴う幾何学的形状を有する場合に特に関係がある。場合によっては、注入ヘッドを基板の上で移動させるために、X−Yステージが使用されてよい。図6E〜6Fは、様々な実施形態における、スキャンしている細長い注入ヘッドと基板の表面の上におけるそれらの動きとを示している。これらの場合の注入ヘッドは、少なくとも基板の直径と同程度に長いので、基板の上での動きは、比較的単純である。
図6Aは、らせん状のスキャンパターンを示しており、図6Bおよび図6Cは、一行ごとの直線状のパターンを示しており、図6Dは、放射状のパターンを示している。その他のパターンが使用されてもよい。場合によっては、パターンは、局部高圧領域がウエハの全部または実質全部に至るように構成される。図6Bに示されるように、特定のパターンでは、局部高圧領域の一部および/または圧力降下領域の一部がウエハの縁を跨ぐことがある。その他の場合では、図6Aに示されるように、パターンは、局部高圧領域および/または圧力降下領域を常に完全にウエハの縁よりも内側に置くように設計することができる。注入ヘッドは、直線、曲線、らせんなどを描いて移動することができる。注入ヘッドは、図6Dに示されるように、半径に沿って移動することもできる。一部の実施形態では、環状軌道を描く動きが使用される。図6Eでは、上から見たときに矩形の/スリット状の断面を有する細長い注入ヘッドが、その長い方の辺に垂直な方向に行き来するようにスキャンし、基板が回転される。図6Gおよび図6Hでは、細長い注入ヘッドは、基板の回転を伴ってまたは伴わず、固定された枢軸を中心にして回転されて基板の上をスキャンして行き来する。図6Iでは、2つの枢軸の使用によって、注入ヘッドは、専用の線形アクチュエータを使用する必要なく基板表面の上を直線状にスキャンすることができる。
場合によっては、時間平均にして空間的に非均一に反応物を供給することが有用だろう。空間的に非均一な反応物供給は、プロセス中に生じるその他の空間的非均一性に対処するために使用することができる。例えば、もし、空間的に均一な反応物供給の結果、基板の中心のエッチングが過剰になり、基板の縁のエッチングが不十分になるならば、基板の中心よりも基板の縁に追加のエッチャントガスまたはその他のプロセスガスを提供し、それによって、プロセスの釣り合いをとらせ、空間的に均一な結果を得ることができる。イオンビームまたは処理ツールにおける非均一性などの関連の問題も、同様に、非均一な反応物供給によって(例えば、基板の場所ごとにおよび/またはエッチングプロセスにおける段階ごとにエッチャント速度/流量/圧力/スキャン速度などを調整することによって)打ち消すことができる。さらに、非均一な反応物供給および非均一なエッチング結果は、その他のプロセスから生じる前出の空間的非均一性を打ち消すにも有用だろう。例えば、前出の処理工程が、マスク幅のばらつき(線幅誤差)または膜厚のばらつきなどの定誤差(またはもしこのような誤差が事前の測定および定量化を経ているならば偶然誤差)を引き起こすかもしれない。このような誤差/空間的非均一性が既知である場合は、この非均一性を打ち消すようにエッチングプロセスを構成することができる。
非均一な反応物供給および非均一なエッチングが有益になり得るもう1つ理由は、プロセスの開発および微調整に関係している。例えば、非均一な反応物供給は、1枚の基板に対して複数の実験を行うために使用することができる。基板の場所ごとに反応物供給条件を独立に調整し(例えば、ウエハの場所ごとに異なる流量および/または異なる圧力および/または異なるスキャン速度で反応物を供給し)、その結果を観察および比較することができる。この技術は、様々な反応条件をテストするために必要とされる基板の枚数を減らすことができる。
非均一な反応物供給および非均一なエッチングから生じ得るさらなる利点は、エッチングしつつ特定の特徴/形状を形成することに関係している。例えば、各側に異なるプロファイル(例えば、一方の側には鉛直プロファイルおよびもう一方の側には傾斜プロファイル)を有する線をエッチングすることが望まれるかもしれない。このエッチング形状を実現するためには、流量が可変の反応物を使用することができる。基板が第1の方向に傾けられている間は第1の流量が使用されてよく、基板が第2の方向(例えば、第2の方向は第1の方向の反対であってよい)に傾けられている間は第2の流量が使用されてよい。この非対称エッチング技術は、非均一プロファイルを有する特徴をエッチングするために使用することができる。
注入ヘッドが移動する線速度は、約0〜500cm/秒であってよく、例えば約1〜100cm/秒または約5〜100cm/秒である。注入ヘッドが(例えば図6Gおよび図6Hに示される実施形態のように)場所によって異なる速度で移動する場合は、上記の速度は、注入ヘッドのうち最速に移動する部分に対応することができる。注入ヘッドは、約0.5〜10秒の期間内に、ウエハの表面全域を少なくとも1回はスキャンすることができる。基板回転速度は、約0〜500RPMであってよく、例えば約0〜10RPMである。線速度または角速度は、場合によっては一定であり、場合によっては可変である。可変速度は、時間とともに空間的均一性を実現するパターンを設計するのに有用だと考えられる。例えば、ウエハ上の特定の場所が注入ヘッドによって頻繁に覆われるパターン(例えば図6Dでは、基板の中心が、ウエハの各外寄り部分よりも頻繁に注入ヘッドによる作用を受ける)では、注入ヘッドは、そのような高頻度部分をその他の部分よりも大きい速度でスキャンすることができる。こうすれば、基板のその部分に毎回供給される材料が少なくなり、合計のガス供給が全体として空間的に均一になる。一部の実施形態では、反応物供給速度は、基板表面上における位置の関数として変化する。例えば、ヘッドが最速で移動する領域では、より高い供給速度を用いることができる。別の例では、反応物供給の均一性は、一定の線速度および/または角速度で注入ヘッドを移動させ、注入ヘッドによって覆われる頻度が低いウエハ上の部分に注入ヘッドが作用するときに高めの反応物供給速度を使用することによって実現される(例えば、基板が回転している間に、注入ヘッドが基板の半径に沿って内向きおよび外向きに移動する場合は、どの所定の縁区域よりも、中心区域が頻繁に接触されるゆえに、コントローラは、基板の縁に向かうほど高くなるとともに基板の中心に向かうほど低くなる振動供給速度を使用することができる)。
細長い注入ヘッドが使用される特定の場合(例えば図6E〜6I)は、ヘッドの長さが複数のゾーン/セグメントに分けられてよい。各ゾーンは、独立した反応物供給圧力または吸引コンダクタンスを有していてよく、そうして、基板表面にわたる反応物の量および圧力の制御が可能になる。ゾーン間における流量、圧力、およびコンダクタンスの差の調整は、静的または動的であってよい。動的調整の場合は、各ゾーンは、例えば独立式質量流量コントローラや可変オリフィスなどを通じて、独立した反応物供給制御を有していてよい。或いはまたは加えて、各ゾーンは、例えば一式のバタフライ弁を通じて、独立した真空ポンプ機能を有していてよい。特定の実施形態では、細長い注入ヘッドの長さに沿ったゾーンは、独立した真空ポンプ機能および反応物注入能力の両方を有する。図4Dは、独立制御式のガス供給ライン478によってそれぞれ供給を受ける複数の独立制御式のガス注入器477を有する注入ヘッド450の上面図および側面図を示している。説明を容易にするために、ガス供給ライン478は、上面図には示されていない。図には示されていないが、ガス供給ライン478は、注入ヘッド450と一体化可能なケースによって覆われてよい。図4Eは、独立制御式の真空領域461を有する注入ヘッド460の上面図および側面図を示している。各真空領域461における真空圧力は、独立制御可能であってよい。各真空領域461は、仕切り462によって分けられてよく、これらの仕切りは、場合によっては、図4A〜4Cに関連して挙げられた仕切り寸法のうちの任意を有していてよい。
注入ヘッドは、これまでは、静止した基板の表面の上で移動する可動の注入ヘッドとして説明されてきたが、その他の設計も可能である。例えば、一部の実施形態では、ウエハが、注入ヘッドの下で移動する。このようなウエハ移動は、注入ヘッドの移動に代わるまたは追加されるものであってよい。このような場合の基板サポート機構は、ウエハを回転させるおよび/または平行移動させるように構成されてよい。ウエハは、約0〜200RPMの速度で回転してよい。基板および注入ヘッドの両方の協調運動を使用して、環状軌道を描く処理を実現することができる。
一部のプロセスでは、2種以上のガス状反応物を局部的高圧で供給することが有益だろう。2種以上の反応物の供給には、異なる複数の技術が使用可能である。一例では、注入ヘッドは、(図4Aに関連して)上述されたように構成され、2種以上の反応物は、局部高圧領域に供給される前または際に混合される。別の一例では、個々の各反応物を供給するために、複数の注入ヘッドが提供される。複数の注入ヘッドが提供される実施形態は、反応物ガスが互いと有害な反応を起こすことが予想される場合にまたは反応物が順次方式で供給されることが望まれる場合に特に有用だろう。別の一例では、各反応物を個別に提供するために、1つの変形型注入ヘッドが使用される。
変形型注入ヘッドは、様々な形態をとり得る。図7Aは、複数の反応物を個別に供給するために使用され得る注入ヘッド700の一例を示している。図7Aの注入ヘッド700は、図4Aの注入ヘッド400と同様であるが、図7Aの注入ヘッド700は、反応物Aおよび反応物Bをウエハ701に個別に供給するための2つの入口702Aおよび702Bを含む。2つの入口702Aおよび702Bは、局部高圧領域を2つの局部高圧領域R0AとR0Bとに分断する仕切りD4によって隔てられている。反応物Aは、第1の局部高圧領域R0Aにおいて高圧で供給され、反応物Bは、第2の局部高圧領域R0Bにおいて高圧で供給される。図に示されるように、各局部高圧領域R0AおよびR0Bは、基板表面に曝される面積が同じであってよい。しかしながら、仕切りD4は、中心からずらして位置決めすることによって、基板上の反応物の面積を異ならせてもよい(言い換えると、R0AとR0Bは、異なる大きさであってよい)。一実施形態では、局部高圧領域R0AおよびR0Bは、上から見たときに実質的に半円形の断面を有し、圧力降下領域R1〜R2は、上から見たときに実質的に環状の断面を有する。別の一実施形態では、R0A、R0B、およびR1〜R2は、それぞれ、上から見たときに例えば実質的に矩形などの細長い断面を有する。このような場合、これらの各領域は、図7Aの紙面に出入りする方向に伸びていてよい。この場合、反応物供給ラインおよび真空接続は、注入ヘッドの各細長い区域に沿うように設計することが有益だろう。このような設計では、真空ポンプに向かう矢印は、図に示されるように右へはけるのではなく、注入ヘッドの長さに沿って、紙面に出入りする方向に伸びていてよい。
反応物Aおよび反応物Bは、同じ局部的高圧でまたは異なる圧力で提供されてよい。余分な反応物は、圧力降下領域R1およびR2において除去される。別の一実施形態では、反応物Bは、反応物Aの反応物供給領域を実質的に取り巻く反応物供給領域を通じて提供される。例えば、反応物Bの反応物供給領域は、反応物Aのための反応物供給領域を完全に取り囲んでいてよい。
反応物AおよびBは、別々に提供されるが、圧力降下領域R1およびR2において、ある程度互いに混ざり合う可能性がある。このような混合は、圧力降下領域を異なる角度部分に分けることによって、最小限に抑えることができる。例えば、圧力降下領域は、2つの小領域、すなわち第1の局部高圧領域R0Aに接近した第1の小領域と、第2の局部高圧領域R0Bに接近した第2の小領域とにそれぞれ分けることができる。第1の小領域は、主に、余分な反応物Aを除去可能であり、第2の小領域は、主に、余分な反応物Bを除去可能である。もちろん、反応物の混合をさらに最小限に抑えるために、異なる角度の小領域をさらに追加で使用することもできる。もし、真空接続703内における反応物の混合が問題になるならば、装置の各個別部分につながる異なる真空接続を提供することができる。この実施形態は、入口および入口を分ける仕切りの形状を変えることによって、任意の数の反応物を異なる圧力で別々に提供するように変更可能である。一例では、仕切りD4は、上からみたときに十字型の断面を有し、該断面の各四分円は、4つの異なる反応物のうちの1つを提供するための入口として構成されている。
図7Bは、2つの異なる反応物AおよびBを別々に供給するために使用され得る注入ヘッド710のさらなる一実施形態を示している。図7Aの実施形態と同様に、高圧領域は、反応物Aを供給するための第1の局部高圧領域R0Aと、反応物Bを供給するための第2の局部高圧領域R0Bとに分けられる。しかしながら、図7Bは、これら2つの局部高圧領域R0AとR0Bとを分ける追加の中央の反応物除去領域RVを含む。中央反応物除去領域RVは、ウエハの近くにおいて、一方の側を仕切りD5によって境界され、もう一方の側を仕切りD6によって境界されている(したがって、この例では、基板の近くにおけるRV部分は、上から見たときに矩形の断面を有する)。中央反応物除去領域RVは、反応物が基板701の表面上に活発に吸着されるまたはそれ以外の形で基板701の表面に接触する際に余分な反応物を除去するためにおよび反応物の混合を防ぐのを助けるために、接続711を通じて真空ポンプにつながっている。この実施形態は、任意の数の反応物を提供するように変更可能である。さらに、中央反応物除去領域RVは、反応物がガス状(非吸着)状態で混合し得る可能性をさらに最小限に抑えるために、さらなる領域に分けられてもよい。図7Aの実施形態と同様に、図7Bの実施形態における注入ヘッドは、上から見たときに、実質的に円形の断面または細長い実質的に矩形の断面を有していてよい。注入ヘッドが実質的に円形である場合は、圧力降下領域R1およびR2は、上から見て環状であってよい。注入ヘッドが細長い場合は、圧力降下領域R1およびR2も、やはり細長くてよく、R1領域が局部高圧領域R0AおよびR0Bの全長と境を接するとともにR2領域がR1領域の全長と境を接する状態で注入ヘッド全体の長さに沿って伸びている。
上記のように、2種以上の反応物を別々に提供する別のやり方は、2つ以上の注入ヘッドを使用する。2つ以上の注入ヘッドは、完全に別々であってよい、または真空接続やロボットアームなどの1つ以上の構成要素を共有していてよい。さらに、2つ以上の注入ヘッドは、たとえ両ヘッドが同じ反応物ガスを供給する場合でも、ウエハ上の異なる部分に別々に反応物を提供するために使用することができる。
開示される実施形態は、任意の気相反応物を局部的高圧で基板の表面に供給するために使用されてよい。一部の実施形態では、注入ヘッドによって供給される気相反応物は、酸化剤、ハロゲン化剤、還元剤、錯化剤、酸、塩基、アルコール、ケトン、アルデヒド、またはエステル、またはこれらの任意の組み合わせの、1つ以上を含む。非限定的な例として、H2O、H22、NO2、NO、N2O、CF4、C26、CHF3、SF6、HF、HCl、HI、HNO3、Cl2、CClF3、CCl22、HBr、Br2、F2、H2、NH3、メタノール、エタノール、イソプロパノール、酢酸、ギ酸、カルボン酸、アセトン、メチルエチルケトン、アセチルアセトン(acac)、ヒドロフルオロアセトン(hfac)、ホルムアルデヒド、および酢酸ブチル、およびこれらの任意の組み合わせが挙げられる。さらに、任意のイオン源およびイオンタイプが使用されてよい。イオンは、不活性イオン、反応性イオン、非反応性イオン、または不活性イオンと反応性イオンと非反応性イオンとの組み合わせであってよい。不活性イオンの例には、アルゴン、ヘリウム、ネオン、クリプトン、キセノンなどの希ガスがある。反応性イオンの例には、窒素、水素、酸素、フッ素、臭素、ヨウ素、硫黄などがある。非反応性イオンの例には、窒素、シリコン、炭素、ゲルマニウム、ホウ素、およびアルミニウムがある。不活性イオンは、例えばMRAMデバイスおよびFeRAMデバイスの製造に関わるプロセスなどで不揮発性材料をエッチングするのに特に適しているだろう。他方、反応性イオンは、論理デバイスおよびメモリデバイスを製造するためのプロセスを伴うだろう半導体材料のエッチングにとりわけ適しているだろう。
一部の実施形態では、イオンを生成するために使用されるガスの流量は、約0.1〜1000sccmである。これらのまたはその他の実施形態では、注入ヘッドを通る反応物ガスの流量は、約0.1〜5000sccmであり、例えば、約10〜500sccmである。反応物は、約0.1〜100トールの圧力で注入ヘッドの局部高圧領域に提供されてよく、このときの圧力は、例えば場合によっては約1〜50トールである。酸素イオンが金属表面を酸化する働きをするとともに反応物ガス(例えば酢酸)が酸化金属を除去するために使用される図2A〜2Cに関係して上述された例では、酢酸の流量は、約10〜500sccmであってよく、酸素イオン電流密度は、約0.1〜20mA/cm2であってよい。
一部の実施形態では、注入ヘッドは、加熱または冷却することができる。加熱された注入器ヘッドは、(反応物の恐縮を防ぐために)反応物蒸気を注入するために、または基板上における表面反応を起こすための何らかの熱エネルギを提供するために、必要とされるだろう。その他の実施形態では、基板上における反応物の表面吸着を促すために、注入器ヘッドを冷却することができる。
注入ヘッドは、随意として、1つ以上の診断素子または終端検出器を、ヘッドに組み込まれていてよいまたはヘッドに接続されていてよい。検出器または診断素子は、局部高圧ゾーン内に、または1つ以上の中間圧力ゾーン内に、または真空排気領域内に、またはヘッドの外側にただしヘッドに隣接して配されてよい。診断素子または終端検出器としては、残留ガス分析器、FTIR分光器、偏光解析器、吸光係数測定器、またはその他の膜厚光学測定機器、原子吸光光度計、発光分析器、イオン誘起発光分析器、ファラデーカップ、干渉計、水晶振動子マイクロバランス、AFMプローブ、磁場センサ、渦電流センサ、誘電体共振器、またはその他の非接触型シート抵抗センサが挙げられる。
以上の実施形態は、反応性イオンビームエッチングプロセスとの関連のもとで説明されてきたが、そのように限定されず、開示される注入ヘッドは、(注入ヘッドの外側の)全体の圧力が低いままであることが望まれる状況で(1種以上の高圧反応物を局部的に表面に供給することを伴う任意の用途において有用であることが期待される。
開示される実施形態を実施するのに有用な装置は、多くの場合、エッチングプロセスを制御するためのプログラミングを有するシステムコントローラを含む。コントローラは、システム制御ソフトウェアを実行してよく、該ソフトウェアは、マスストレージデバイスに記憶されて、メモリデバイスに取り込まれて、プロセッサ上で実行されてよい。ソフトウェアは、場合によっては、ネットワークを通じて移行されてよい。様々なプロセスツールプロセスを実行に移すために必要とされるプロセスツールコンポーネントの操作を制御するために、様々なプロセスツールコンポーネントサブルーチンまたは制御オブジェクトが記述されてよい。システム制御ソフトウェアは、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。一部の実施形態では、システム制御ソフトウェアは、本明細書で論じられる様々なパラメータを制御するための入出力制御(IOC)シークエンシング命令を含んでいてよい。システムコントローラは、また、コントローラに関係付けられたマスストレージデバイスまたはメモリデバイスに記憶され得るその他のコンピュータソフトウェアおよび/またはコンピュータプログラムに関係付けられてもよい。これを目的としたプログラムまたはプログラムセクションの例には、基板位置決めプログラム、プラズマガス制御プログラム、反応物ガス制御プログラム、圧力制御プログラム、温度制御プログラム、およびプラズマ制御プログラムがある。
基板位置決めプログラムは、基板を基板サポートに搭載するおよび基板を基板サポートから取り出すために使用されるならびに基板と処理装置の注入ヘッドなどのその他のパーツとの間の間隔を制御するために使用されるプロセスツール構成要素のためのコードを含んでいてよい。プラズマガス制御プログラムは、イオンを引き出されるプラズマを発生させるために使用される(1種以上の)ガスの組成および流量を制御するためのコードを含んでいてよい。反応物ガス制御プログラムは、反応物ガスが注入ヘッドを通じて(または装置のその他の部分へ/装置のその他の部分を通じて)供給される際の組成、流量、および圧力を制御するためのコードを含んでいてよい。圧力制御プログラムは、個々の反応物が供給される圧力、反応物が除去される圧力、基板処理領域が維持される圧力を制御するためのコードを含んでいてよい。温度制御プログラムは、基板、基板サポート、および/または基板処理領域を特定の温度に維持するために使用される加熱機器および/または冷却機器を制御するためのコードを含んでいてよい。プラズマ制御プログラムは、特定の電力および周波数でプラズマを発生させるためのコードを含んでいてよい。
システム制御ソフトウェアは、本明細書で開示される流量および/または圧力で反応物を供給するための命令を含んでいてよい。このような命令は、(イオンを引き出される)プラズマを発生させるために使用されるガスの供給に関してであってよい、または1つ以上の注入ヘッドを通じた1種以上のガスの供給に関してであってよい。システム制御ソフトウェアは、また、余分な反応物を特定の真空圧力で除去するための命令を含んでいてよい。さらに、システム制御ソフトウェアは、基板に対する注入ヘッドの移動に関した命令を含んでいてよい。例えば、命令は、注入ヘッドの移動、基板の移動、またはその両方に関してであってよい。様々な場合に、システム制御ソフトウェアは、反応物ガスを時間平均にして空間的に均一に供給する方式で注入ヘッドを基板に対して移動させるための命令を含む。命令は、また、基板の搭載および取り出しに必要とされる任意の操作に関してであってもよい。
システム制御ソフトウェアは、さらに、基板処理領域を例えば本明細書で挙げられた低圧のうちの任意などの特定の圧力に維持するための命令を含んでいてよい。システム制御ソフトウェアは、また、エッチングプロセスのタイミングを制御するための命令も含むのが一般的である。多くの場合、コントローラは、各電極に印可されるバイアスも制御する。このように、システム制御ソフトウェアは、引出電極に第1のバイアスを、集束電極に第2のバイアスを、そして下部電極および基板/基板サポートに第3の電極(または大地条件)を印可するための命令を含んでいてよい。一部の実施形態では、命令は、さらに、加熱または冷却を通じて基板および/または基板処理領域を特定の温度に維持することを含む。
イオンフラックスを調節するためにシャッタが使用される場合は、システム制御ソフトウェアは、所望の時点でシャッタを開閉させることによってイオンを調節するための命令を含んでいてよい。特定の一実施形態では、ソフトウェアは、注入ヘッドが表面の上で活発な状態で存在していないときのみシャッタを開く(それによってイオンをウエハ表面に衝突可能にする)ための命令を含む。関連の一実施形態では、ソフトウェアは、或るシャッタを開いた状態に且つ或るシャッタを閉じた状態に維持するための命令を含み、ここで、閉じられたシャッタは、閉じられていなければイオンを注入ヘッドに衝突可能にするシャッタであり、開いたシャッタは、イオンがウエハ表面に直接に衝突する(すなわち、イオンが注入ヘッドではなく基板表面に当たる)ことを可能にするシャッタである。
プラズマの発生に関連して、システム制御ソフトウェアは、特定の流量、温度、および/または圧力でのプラズマの発生を提供するための命令を含んでいてよい。命令は、さらに、プラズマを発生させるために使用される電力(例えばRF電力)の量、およびこのような電力が供給される周波数に関してであってよい。
一部の実施形態では、システムコントローラに、ユーザインターフェースが関係付けられてよい。ユーザインターフェースとしては、ディスプレイ画面、装置および/またはプロセス条件のグラフィックソフトウェア表示、ならびに位置指示装置、キーボード、タッチ画面、マイクなどのユーザ入力装置が挙げられる。
多くの実施形態では、システムコントローラは、その他のプロセスパラメータを調整するために使用される。このようなパラメータの非限定的な例として、反応物ガスの組成、流量、および圧力、プラズマ発生ガスの組成、流量、および圧力、基板処理領域内の圧力、個々の電極に印加されるバイアス、温度、プラズマ条件(例えば周波数および電力)、ウエハおよび/または注入ヘッドの位置などが挙げられる。
プロセスを監視するための信号が、システムコントローラのアナログおよび/またはデジタル入力接続によって様々なプロセスツールセンサから提供されてよい。プロセスを制御するための信号は、コントローラのアナログおよびデジタル出力接続に載せて出力されてよい。監視され得るプロセスツールセンサの非限定的な例として、質量流量コントローラ、圧力センサ、熱電対などが挙げられる。プロセス条件を維持するために、これらのセンサからのデータとともに、適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが使用されてよい。特定の実施形態では、基板と注入ヘッドとの間の距離を制御するためのフィードバックを提供するために、距離センサが使用されてよい。
上述された様々なハードウェアおよび方法の実施形態は、半導体デバイス、ディスプレイ、LED、光起電性パネルなどの製造または生産のための、リソグラフィパターニングのツールまたはプロセスと併せて使用されてよい。このようなツール/プロセスは、必ずしもそうとは限らないが、通常は、共通の製造設備において併せて使用または実施される。
膜のリソグラフィパターニングは、通常は、(1)スピンオンツールまたは噴き付けツールを使用して、その上にシリコン窒化物を形成された例えば基板などの被加工物上にフォトレジストを塗布する工程、(2)加熱板または加熱炉またはその他の適切な硬化ツールを使用して、フォトレジストを硬化させる工程、(3)ウエハステッパなどのツールによって、可視光または紫外線またはX線にフォトレジストを暴露する工程、(4)レジストを選択的に除去してパターニングするために、ウェットベンチまたは噴き付け現像器などのツールを使用して、レジストを現像する工程、(5)ドライ式またはプラズマ強化式のエッチングツールを使用することによって、レジストパターンをその下の膜または被加工物に転写する工程、ならびに(6)RFまたはマイクロ波プラズマレジスト剥ぎ取り器などのツールを使用して、レジストを除去する工程の、一部または全部を含み、各工程は、考えられる幾つかのツールによってそれぞれ実現される。一部の実施形態では、フォトレジストを塗布する前に、アッシング可能なハードマスク層(非結晶質炭素層など)および別の適切なハードマスク(反射防止層など)が堆積されてよい。
本明細書で説明される構成および/または手法は、例示的な性質のものであり、これらの具体的な実施形態または実施例は、多数のヴァリエーションが可能であるゆえに、限定的な意味ではとらえられない。本明細書で説明される具体的なルーチンまたは方法は、任意の数の処理戦略のうちの1つ以上を表わしていてよい。したがって、例示された様々な行為は、例示された順序で、その他の順序で、もしくは平行して実施可能であり、または場合によっては省略可能である。同様に、上述のプロセスは、順序を変更可能である。
本開示の対象内容は、本明細書で開示される様々なプロセス、システム、構成、その他の特徴、機能、行為、および/または特性の、新規のおよび非自明のあらゆる組み合わせおよび部分組み合わせ、ならびにそれらのあらゆる均等物を含む。

Claims (26)

  1. 半導体基板から材料を除去するための装置であって、
    反応チャンバと、
    前記反応チャンバ内で前記基板を支えるための基板サポートと、
    前記基板サポートに向かってイオンを供給するように構成されたイオン源またはプラズマ源と、
    前記基板が前記基板サポート上に位置決めされているときに前記基板の表面に反応物を提供するための注入ヘッドであって、(i)反応物供給導管の反応物出口領域と、(ii)真空導管につながれた吸引領域と、を含む基板対向領域を含む注入ヘッドと、
    前記注入ヘッドまたは前記基板サポートを互いに対して移動させるための移動機構と、
    を備える装置。
  2. 請求項1に記載の装置であって、
    前記基板対向領域は、前記反応物供給導管の終端と、前記真空導管の終端とを含み、前記終端は、実質的に同一面上にある、装置。
  3. 請求項1または2に記載の装置であって、
    前記基板サポート、前記注入ヘッド、および/または前記移動機構は、前記基板が前記基板サポート上に位置決めされているときに前記注入ヘッドと前記基板の前記表面との間に分離距離を維持するように構成され、前記分離距離は、約1cm以下である、装置。
  4. 請求項3に記載の装置であって、
    前記分離距離は、約2mm以下である、装置。
  5. 請求項3または4に記載の装置であって、
    前記分離距離は、距離センサからのフィードバックを通じて能動的に制御される、装置。
  6. 請求項1ないし5のいずれか一項に記載の装置であって、
    前記吸引領域は、前記反応物出口領域を実質的に取り巻いている、装置。
  7. 請求項1ないし6のいずれか一項に記載の装置であって、さらに、
    1本以上の真空導管につながれて前記吸引領域を実質的に取り巻く1つ以上の追加の吸引領域を備える装置。
  8. 請求項1ないし7のいずれか一項に記載の装置であって、
    前記反応物出口領域の長さは、少なくとも、前記装置内で処理される基板の直径に少なくともほぼ等しいまたはそれよりも大きい、装置。
  9. 請求項1ないし8のいずれか一項に記載の装置であって、
    前記反応物出口領域は、前記基板サポートに平行な方向に約0.5mm〜10cmの間の幅を有する、装置。
  10. 請求項1ないし9のいずれか一項に記載の装置であって、
    前記反応物出口領域は、約0.5mm〜2cmの幅を有する仕切りによって前記吸引領域から隔てられ、前記仕切りの幅は、前記反応物出口領域を前記吸引領域から分離する、装置。
  11. 請求項1ないし10のいずれか一項に記載の装置であって、
    前記吸引領域は、約1mm〜5cmの幅を有する、装置。
  12. 請求項1ないし11のいずれか一項に記載の装置であって、
    前記注入ヘッドは、さらに、前記反応物供給導管および前記真空導管を覆うケースを含む、装置。
  13. 請求項1ないし12のいずれか一項に記載の装置であって、
    前記注入ヘッドは、少なくとも上面を耐スパッタリング材料で被覆される、装置。
  14. 請求項1ないし13のいずれか一項に記載の装置であって、
    前記注入ヘッドは、供給前に互いに実質的に混ざり合わない2種以上の別々の反応物を局部的に供給するように構成される、装置。
  15. 請求項1ないし14のいずれか一項に記載の装置であって、さらに、
    さらなる反応物ガスを提供するためのさらなる注入ヘッドを備える装置。
  16. 請求項1ないし15のいずれか一項に記載の装置であって、
    センサ、センサヘッド、検出器、または検出器ヘッドの少なくとも1つが、前記注入ヘッド上に搭載される、前記注入ヘッドに隣接して設置される、前記注入ヘッドに組み込まれる、装置。
  17. 請求項16に記載の装置であって、
    前記センサおよび/または検出器の1つ以上は、(i)前記反応物、(ii)1種以上の反応物副生成物、および/または(iii)前記基板のうちの少なくとも1つを監視するように構成される、装置。
  18. 請求項1ないし17のいずれか一項に記載の装置であって、さらに、
    前記注入ヘッドの下で前記基板を回転させるように構成される回転機構を備える装置。
  19. 請求項1ないし18のいずれか一項に記載の装置であって、
    前記注入ヘッドは、複数のセグメントに分けられ、前記セグメントは、(i)異なる反応物、(ii)異なる反応物流量、および/または(iii)異なる真空コンダクタンスを与えられる、すなわち受けるように構成される、装置。
  20. 請求項19に記載の装置であって、さらに、
    各セグメントに供給されるまたは印可される反応物流量または真空コンダクタンスを独立に制御するための、1つ以上の固定オリフィス、可変オリフィス、または質量流量コントローラを備える装置。
  21. 請求項1ないし20のいずれか一項に記載の装置であって、さらに、
    前記注入ヘッドを加熱および/または冷却するための加熱素子および/または冷却素子を備える装置。
  22. 請求項1ないし21のいずれか一項に記載の装置であって、
    前記注入ヘッドは、枢軸を中心にして回転するように構成され、前記注入ヘッドの幅は、前記基板の表面の上に均一なガス供給を提供するように変化される、装置。
  23. 請求項1ないし22のいずれか一項に記載の装置であって、さらに、
    前記反応物を前記吸引領域から除去するのと同時に前記反応物出口領域内で第1の圧力で前記反応物を前記基板表面に供給するための命令を有するコントローラを備える装置。
  24. 請求項23に記載の装置であって、
    前記コントローラは、さらに、前記注入ヘッドを前記基板に対してまたは前記基板を前記注入ヘッドに対して移動させるための命令を有する、装置。
  25. 半導体基板から材料を除去するための装置であって、
    反応チャンバと、
    前記反応チャンバ内で前記基板を支えるための基板サポートと、
    前記基板サポートに向かってイオンを供給するように構成されたイオン源またはプラズマ源と、
    前記基板が前記基板サポート上に位置決めされているときに前記基板の表面に反応物を提供するための注入ヘッドであって、(i)反応物供給導管の反応物出口領域を含む基板対向領域と、(ii)前記基板の周囲にある余分な反応物を除去するように構成された真空導管につながれた吸引領域と、を含む注入ヘッドと、
    前記注入ヘッドまたは前記基板サポートを互いに対して移動させるための移動機構と、
    備える装置。
  26. 半導体基板から材料を除去する方法であって、
    反応チャンバに前記基板を提供することと、
    イオン源から発するイオンに前記基板の表面を暴露することと、
    前記基板を反応物ガスに暴露し、そうして前記反応物ガスを前記基板の表面の第1の部分上の反応物出口区域内で前記基板表面に接触可能にすることであって、前記反応物ガスは、前記反応物出口区域を実質的に取り巻く前記基板表面上の吸引区域内で前記反応物ガスを除去するのと同時に第1の圧力で前記反応物出口区域に提供され、前記反応チャンバは、前記反応物出口区域および前記吸引区域の外側で第2の圧力に維持され、前記第2の圧力は、前記第1の圧力の約25分の1以下である、ことと、
    前記イオンへの暴露および前記反応物ガスへの暴露の結果として、前記基板から前記材料を除去することと、
    を備える方法。
JP2015158951A 2014-08-12 2015-08-11 差動排気式の反応性ガス注入器 Active JP6599166B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/458,161 2014-08-12
US14/458,161 US9837254B2 (en) 2014-08-12 2014-08-12 Differentially pumped reactive gas injector

Publications (3)

Publication Number Publication Date
JP2016040825A true JP2016040825A (ja) 2016-03-24
JP2016040825A5 JP2016040825A5 (ja) 2018-09-20
JP6599166B2 JP6599166B2 (ja) 2019-10-30

Family

ID=55302668

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015158951A Active JP6599166B2 (ja) 2014-08-12 2015-08-11 差動排気式の反応性ガス注入器

Country Status (6)

Country Link
US (2) US9837254B2 (ja)
JP (1) JP6599166B2 (ja)
KR (1) KR102513666B1 (ja)
CN (2) CN109402637B (ja)
SG (1) SG10201506242SA (ja)
TW (2) TWI702307B (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6426489B2 (ja) * 2015-02-03 2018-11-21 東京エレクトロン株式会社 エッチング方法
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
WO2017209901A2 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Substrate distance monitoring
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
CN108232002B (zh) * 2016-12-14 2022-02-25 上海磁宇信息科技有限公司 一种制备磁性隧道结阵列的方法
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102383108B1 (ko) 2018-05-18 2022-04-04 삼성전자주식회사 웨이퍼 처리 장치 및 메모리 소자의 제조 방법
KR102208609B1 (ko) * 2018-12-28 2021-01-28 (주)에스테크 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN114695210B (zh) * 2022-06-02 2022-09-09 西安奕斯伟材料科技有限公司 一种用于硅片边缘刻蚀的装置和方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0219471A (ja) * 1988-05-26 1990-01-23 Energy Conversion Devices Inc 薄膜を作製する方法
JP2003201957A (ja) * 2001-12-28 2003-07-18 Mitsubishi Electric Corp 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP2009531535A (ja) * 2006-03-03 2009-09-03 ガードギール,プラサード 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法
JP2011222960A (ja) * 2010-02-26 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012057251A (ja) * 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
JP2013514633A (ja) * 2009-12-03 2013-04-25 ラム リサーチ コーポレーション 小型のプラズマチャンバシステム及び方法
JP5432396B1 (ja) * 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
JPS5432396A (en) 1977-08-17 1979-03-09 Toshiba Corp Gas sensitive element
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4233348B2 (ja) * 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
WO2005043115A2 (en) 2003-10-20 2005-05-12 Ionwerks, Inc. Ion mobility tof/maldi/ms using drift cell alternating high and low electrical field regions
US7495241B2 (en) 2004-02-26 2009-02-24 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
KR100706809B1 (ko) 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
WO2010120805A2 (en) 2009-04-13 2010-10-21 Applied Materials, Inc. Modification of magnetic properties of films using ion and neutral beam implantation
WO2011011532A2 (en) 2009-07-22 2011-01-27 Applied Materials, Inc. Hollow cathode showerhead
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
KR20110097193A (ko) 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
EP2608872B1 (en) 2010-08-23 2019-07-31 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
WO2012047914A2 (en) 2010-10-05 2012-04-12 Veeco Instruments, Inc. Grid providing beamlet steering
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0219471A (ja) * 1988-05-26 1990-01-23 Energy Conversion Devices Inc 薄膜を作製する方法
JP2003201957A (ja) * 2001-12-28 2003-07-18 Mitsubishi Electric Corp 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP2009531535A (ja) * 2006-03-03 2009-09-03 ガードギール,プラサード 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法
JP2013514633A (ja) * 2009-12-03 2013-04-25 ラム リサーチ コーポレーション 小型のプラズマチャンバシステム及び方法
JP2011222960A (ja) * 2010-02-26 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012057251A (ja) * 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
JP5432396B1 (ja) * 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ

Also Published As

Publication number Publication date
US20160049281A1 (en) 2016-02-18
SG10201506242SA (en) 2016-03-30
TW201619433A (zh) 2016-06-01
US9837254B2 (en) 2017-12-05
US20180047548A1 (en) 2018-02-15
CN109402637A (zh) 2019-03-01
US10580628B2 (en) 2020-03-03
JP6599166B2 (ja) 2019-10-30
CN109402637B (zh) 2021-03-09
TWI702307B (zh) 2020-08-21
CN105374713A (zh) 2016-03-02
KR20160019876A (ko) 2016-02-22
TWI671427B (zh) 2019-09-11
KR102513666B1 (ko) 2023-03-23
TW201936981A (zh) 2019-09-16
CN105374713B (zh) 2018-10-09

Similar Documents

Publication Publication Date Title
JP6599166B2 (ja) 差動排気式の反応性ガス注入器
US10998167B2 (en) Ion beam etch without need for wafer tilt or rotation
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
JP6461482B2 (ja) 半導体製造用の内部プラズマグリッド
US20180005852A1 (en) Ion to neutral control for wafer processing with dual plasma source reactor
US11145518B2 (en) Method and apparatus for etching target object
JP2016103632A (ja) エネルギー吸収体ガスへの衝突共鳴エネルギー伝達によるプラズマのvuv放出の調節
US20220005700A1 (en) Method and apparatus for etching target object
US20210327719A1 (en) Method for processing workpiece
US20060118520A1 (en) Plasma etching method
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
KR20220143122A (ko) 코어 제거

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180807

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180807

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190528

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190822

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191002

R150 Certificate of patent or registration of utility model

Ref document number: 6599166

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250