KR102513666B1 - 차동 펌핑된 반응 가스 주입기 - Google Patents

차동 펌핑된 반응 가스 주입기 Download PDF

Info

Publication number
KR102513666B1
KR102513666B1 KR1020150113464A KR20150113464A KR102513666B1 KR 102513666 B1 KR102513666 B1 KR 102513666B1 KR 1020150113464 A KR1020150113464 A KR 1020150113464A KR 20150113464 A KR20150113464 A KR 20150113464A KR 102513666 B1 KR102513666 B1 KR 102513666B1
Authority
KR
South Korea
Prior art keywords
substrate
injection head
reactant
zone
reactants
Prior art date
Application number
KR1020150113464A
Other languages
English (en)
Other versions
KR20160019876A (ko
Inventor
이반 엘. 베리3세
토르스텐 릴
케니스 리즈 레이놀즈
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160019876A publication Critical patent/KR20160019876A/ko
Application granted granted Critical
Publication of KR102513666B1 publication Critical patent/KR102513666B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/36Gas-filled discharge tubes for cleaning surfaces while plating with ions of materials introduced into the discharge, e.g. introduced by evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0279Ionlithographic processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma Technology (AREA)

Abstract

표면으로부터 재료를 제거하기 위해 사용될 수도 있는 일 프로세스는 이온 에칭이다. 특정한 경우들에서, 이온 에칭은 이온들 및 반응 가스 양자의 기판으로의 전달을 수반한다. 개시된 실시예들은 국부적으로 고압 전달 영역 외부인 기판의 부분들에 대해 훨씬 보다 저압을 유지하는 동안 기판으로의 반응 가스의 국부적으로 고압 전달을 허용한다. 저압은 고압 반응물질 전달을 작은 영역으로 한정하고, 과도한 반응물질들 및 부산물들이 이 작은 영역을 떠날 때 그리고 과도한 반응물질들 및 부산물들이 보다 큰 기판 프로세싱 구역으로 들어가기 전에 과도한 반응물질들 및 부산물들이 진공배출 (vacuuming away) 함으로써 달성된다. 개시된 기법들은 기판 프로세싱 구역 내에 존재하는 이온들과 다른 종들 간의 유해한 충돌을 최소화하는 동안 쓰루풋을 증가시키기 위해 사용될 수도 있다.

Description

차동 펌핑된 반응 가스 주입기{DIFFERENTIALLY PUMPED REACTIVE GAS INJECTOR}
반도체 디바이스들의 제조는 통상적으로 다양한 재료들이 반도체 기판 상에 증착되고 반도체 기판으로부터 제거되는 일련의 동작들을 수반한다. 재료 제거를 위한 하나의 기법은 이방성 방식으로 표면으로부터 원자들 및 화합물들을 물리적으로 그리고/또는 화학적으로 제거하도록 기판의 표면으로 이온들을 전달하는 것을 수반하는, 이온 빔 에칭이다. 충돌하는 이온들은 기판 표면과 부딪히고 운동량 전달을 통해 (그리고 반응 이온 에칭의 경우에서의 반응을 통해) 재료를 제거한다.
본 명세서에서 특정한 실시예들은 기판의 표면으로부터 재료를 제거하도록 이온 빔 에칭을 수행하기 위한 방법들 및 장치들에 관한 것이다. 다양한 경우들에서, 주입 헤드는 주입 헤드의 외부인, 보다 큰 기판 프로세싱 영역에서 저압을 유지하는 동안 국부적으로 고압으로 반응물질들을 전달하도록 사용될 수도 있다. 저압은 주입 헤드의 국부적으로 고압 반응물질 전달 영역을 둘러싸거나 또는 주입 헤드의 국부적으로 고압 반응물질 전달 영역과 인접한 영역들에 진공 압력을 인가함으로써 유지될 수도 있다. 프로세싱 스킴 (scheme) 은 고압 국부적 반응물질 전달을 사용하여 저압 이온 빔 프로세싱을 허용하고, 이로써 프로세싱 시간들을 감소시키고 쓰루풋을 향상시킨다.
본 명세서의 실시예들의 일 양태에서, 장치는 반도체 기판으로부터 재료를 제거하기 위해 제공된다. 장치는 반응 챔버; 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부; 이온들을 기판 지지부를 향해 전달하도록 구성된 이온 소스; 기판이 기판 지지부 상에 배치될 때 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드로서, 주입 헤드는 (i) 반응물질 전달 도관의 반응물질 유출 구역, 및 (ii) 진공 도관에 커플링된 흡입 구역을 포함하는 기판-대향 구역 (substrate-facing region) 을 포함하는, 주입 헤드; 및 기판 지지부에 대해 주입 헤드를 이동시키기 위한 이동 기구 (mechanism) 를 포함할 수도 있다.
반응물질 전달 도관은 반응물질 소스로부터 일렬로 커플링되도록 구성될 수도 있다. 유사하게, 진공 도관도 진공 펌프와 일렬로 커플링되도록 구성될 수도 있다. 주입 헤드의 기판-대향 구역은 반응물질 전달 도관의 말단 (terminus) 및 진공 도관의 말단을 포함할 수도 있고, 그리고 말단들은 일부 경우들에서 실질적으로 동일 평면 상에 있을 수도 있다. 이온 소스는 통상적으로 플라즈마를 생성하기 위한 플라즈마 생성기를 포함한다. 다양한 경우들에서, 이온 소스는 또한 플라즈마로부터 이온들을 추출하고 기판 지지부를 향해 이온들을 지향시키기 위한 전극들을 포함한다. 일부 경우들에서, 2개의 전극들이 사용된다. 다른 경우들에서, 3개의 전극들이 사용된다. 특정한 경우들에서, 4개 이상의 전극들이 사용된다.
특정한 실시예들에서, 기판 지지부, 주입 헤드, 및/또는 이동 기구는 기판이 기판 지지부 상에 배치될 때 주입 헤드와 기판의 표면 사이의 이격 거리를 유지하도록 구성될 수도 있다. 이격 거리는 약 1 cm 이하, 예를 들어, 약 10 mm 이하, 또는 약 5 mm 이하, 또는 약 2 mm 이하, 또는 약 1 mm 이하일 수도 있다. 다른 이격 거리들도 또한 사용될 수도 있다. 이격 거리는 일부 경우들에서 거리 센서로부터의 피드백을 통해 능동적으로 제어될 수도 있다.
흡입 구역은 통상적으로 반응물질 유출 구역과 인접하다. 일부 실시예들에서, 흡입 구역은 반응물질 유출 구역을 둘러싸거나 또는 반응물질 유출 구역을 실질적으로 둘러싼다. 제 2 흡입 구역은 일부 경우들에서, 진공 도관과 커플링될 수도 있다. 제 2 흡입 구역은 통상적으로 흡입 구역과 인접하다. 일부 경우들에서. 제 2 흡입 구역은 흡입 구역을 둘러싸거나 또는 흡입 구역을 실질적으로 둘러싼다. 반응물질 유출 구역과 인접하고 그리고/또는 반응물질 유출 구역을 둘러싸는 하나 이상의 흡입 구역들을 사용함으로써, 과잉 반응물질 가스들은 이러한 반응물질들이 바람직하지 않게 이온 빔들 내의 이온들과 충돌하는, 보다 큰 기판 프로세싱 구역 내로 탈출하기 전에 챔버로부터 (흡입 구역(들)을 통해) 제거될 수도 있다.
반응물질 유출 구역은 다양한 형상들을 가질 수도 있다. 일부 경우들에서, 반응물질 유출 구역은 위에서 볼 때 원형 또는 타원형 형상의 단면을 갖는다. 다른 경우들에서, 반응물질 유출 구역은 위에서 볼 때 다각형 형상의 단면을 갖는다. 특정한 실시예들에서, 반응물질 유출 구역은 위에서 볼 때 슬릿 형상의 단면을 갖고, 길고 얇다. 반응물질 유출 구역의 길이는 대략 장치 내에서 프로세싱될 표준 기판의 직경 이상보다 작거나, 거의 같거나 보다 클 수도 있다. 특정한 경우들에서, 반응물질 유출 구역의 길이는 적어도 대략 장치 내에서 프로세싱될 표준 반도체 기판의 직경과 거의 같거나 보다 클 수도 있다. 예를 들어, 표준 반도체 기판은 약 200 mm, 약 300 mm, 또는 약 450 mm의 직경을 가질 수도 있다. 이 상대적으로 긴 길이는 특히 주입 헤드가 길고 얇은 것과 관련된다. 반응물질 유출 구역은 기판 지지부와 평행한 방향으로 폭을 가질 수도 있고, 폭은 약 0.5 mm 내지 약 10 cm이다. 반응물질 유출 구역은 약 0.5 mm 내지 약 2 cm의 폭을 가진 분할기에 의해 흡입 구역으로부터 분리될 수도 있고, 여기서 분할기의 폭은 흡입 구역으로부터 반응물질 유출 구역을 분리시킨다. 일부 경우들에서, 흡입 구역 및/또는 제 2 흡입 구역은 약 1 mm 내지 약 5 cm의 폭을 가질 수도 있다.
장치는 일부 경우들에서, 셔터 (shutter) 를 더 포함할 수도 있다. 셔터는 이온들의 플럭스를 조절하도록 구성될 수도 있다. 셔터는 이온 소스와 기판 지지부 사이에 배치될 수도 있다. 특정한 경우에서, 셔터는 특정한 이온들로 하여금, 다른 이온들이 동시에 셔터를 통과하는 것이 방지되는 동안 셔터를 통과하도록 허용하는 방식으로 이온들의 플럭스를 조절하도록 구성될 수도 있고, 여기서 셔터를 통과하는 것으로부터 방지되는 이온들은 그렇지 않으면 주입 헤드와 충돌하는 이온들이다. 다양한 경우들에서, 주입 헤드는 반응 물질 전달 도관과 진공 도관을 커버하는 하우징을 더 포함할 수도 있다. 하우징은 주입 헤드의 기판-대향 구역 (substrate-facing region) 반대편의 이온 소스-대면 표면을 포함할 수도 있고, 이온 소스-대면 표면은 스퍼터-저항성 재료 (sputter-resistant material) 를 포함한다. 일부 경우들에서, 주입 헤드는 적어도 스퍼터-저항성 재료로 상부면 상에서 코팅될 수도 있다. 일부 실시예들에서, 주입 헤드는 전달 전에 실질적으로 서로 혼합되지 않는 2개 이상의 별개의 반응물질들을 국부적으로 전달하도록 구성될 수도 있다. 일부 경우들에서, 제 2 주입 헤드는 추가의 반응물질 가스들을 공급하기 위해 제공될 수도 있다.
장치는 주입 헤드 상에 장착되거나, 주입 헤드와 인접하거나, 주입 헤드 내에 통합될 수도 있는, 센서, 센서 헤드, 검출기, 또는 검출기 헤드 중 적어도 하나를 더 포함할 수도 있다. 센서들 및/또는 검출기들 중 하나 이상은 (i) 반응물질들, (ii) 하나 이상의 반응물질 부산물들, 및/또는 (iii) 반응물질 유출 구역 내의 기판 중 적어도 하나를 모니터링하도록 구성될 수도 있다. 이들 또는 다른 경우들에서, 센서들 및/또는 검출기들 중 하나 이상은 (i) 반응물질들, (ii) 반응물질 부산물들, 및/또는 (iii) 흡입 구역 내의 기판 중 적어도 하나를 모니터링하도록 구성될 수도 있다. 또한, 이들 또는 다른 경우들에서, 센서들 및/또는 검출기들 중 하나 이상은 (i) 반응물질들, (ii) 진공 도관 내의 반응물질 부산물들 중 적어도 하나를 모니터링하도록 구성될 수도 있다. 그리고 일부 경우들에서, 센서들 및/또는 검출기들 중 하나 이상은 (i) 반응물질들, (ii) 반응물질 부산물들, 및/또는 (iii) 주입 헤드와 근접한 기판 중 적어도 하나를 모니터링하도록 구성될 수도 있다.
주입 헤드는 통상적으로 기판 표면에 대해 이동되도록 구성된다. 일부 경우들에서, 장치는 축을 따라 기판 위로 주입 헤드를 이동시키기 위한 트랙을 포함한다. 일부 경우들에서, 진공 호환 가능 X-Y 단계는 기판 위로 주입 헤드를 이동시키기 위해 사용될 수도 있다. 또한, 회전 기구는 주입 헤드 아래에서 기판을 회전시키도록 구성될 수도 있다. 일부 실시예들에서, 진공 호환 가능 관절 (articulating) 로봇이 기판에 대해 주입 헤드를 이동시키도록 사용된다.
일부 구현예들에서, 주입 헤드는 세그먼트들로 분할될 수도 있고, 세그먼트들은 (i) 상이한 반응물질들, (ii) 상이한 반응물질 플로우 레이트들, 및/또는 (iii) 상이한 진공 컨덕턴스들을 수용 또는 경험하도록 (experience) 구성된다. 하나 이상의 고정된 오리피스들, 가변성 오리피스들, 및/또는 질량 유량 제어기들은 각각의 세그먼트에 공급되거나 또는 인가된 진공 컨덕턴스 또는 반응물질의 플로우 레이트를 독립적으로 제어하기 위해 사용될 수도 있다. 세그먼트들은 다양한 경우들에서 반응물질 유출 구역 및/또는 흡입 구역 내에 있을 수도 있다. 가열 및/또는 냉각 엘리먼트 (element) 가 특정한 실시예들에서 주입 헤드 내에 포함될 수도 있다. 일부 구현예들에서, 주입 헤드는 포인트를 중심으로 피벗하도록 (pivot) 구성될 수도 있다. 주입 헤드의 폭은 기판의 표면 위로 균일한 가스 커버리지를 제공하기 위해서 변경될 수도 있다.
다양한 실시예들에서, 장치는 제어기를 더 포함한다. 제어기는 흡입 구역으로부터 반응물질을 동시에 제거하는 동안 제 1 압력으로 반응물질 유출 구역에서 기판 표면에 반응물질을 전달하기 위한 인스트럭션들을 가질 수도 있다. 제어기는 반응물질 유출 영역 및 흡입 영역의 외부에서 반응 챔버 내를 제 2 압력으로 유지하기 위한 인스트럭션들을 가질 수도 있고, 여기서 제 2 압력은 제 1 압력보다 적어도 약 25배 낮다. 다른 경우들에서, 제 2 압력은 제 1 압력보다 적어도 약 100배, 또는 제 1 압력보다 적어도 약 50배, 또는 제 1 압력보다 적어도 약 1000배, 또는 제 1 압력보다 적어도 약 2000배, 또는 제 1 압력보다 적어도 약 3000배 낮을 수도 있다. 제어기는 기판에 대해 주입 헤드를 이동시키기 위한 인스트럭션들을 가질 수도 있다. 기판에 대해 주입 헤드를 이동시키기 위한 인스트럭션들은 에칭 동작 동안 시간에 걸쳐 평균될 (averaged) 때 기판의 표면에 걸쳐 실질적으로 균일한 이온 플럭스를 달성할 수도 있다. 기판에 대해 주입 헤드를 이동시키기 위한 인스트럭션들은 기판을 이동시키기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 추가로, 기판에 대해 주입 헤드를 이동시키기 위한 인스트럭션들은 주입 헤드를 이동시키기 위한 인스트럭션들을 포함할 수도 있다. 제어기는 또한 플라즈마를 생성하기 위한 인스트럭션들, 추출 전극에 제 1 바이어스를 인가하기 위한 인스트럭션들, 및 포커스 전극에 제 2 바이어스를 인가하기 위한 인스트럭션들을 가질 수도 있다.
개시된 실시예들의 또 다른 양태에서, 반도체 기판으로부터 재료를 제거하기 위한 장치가 제공되고, 장치는: 반응 챔버; 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부; 이온들을 기판 지지부를 향해 전달하도록 구성된 이온 또는 플라즈마 소스; 기판이 기판 지지부 상에 배치될 때 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드로서, 주입 헤드는 (i) 반응물질 전달 도관의 반응물질 유출 구역 및 (ii) 기판의 주변에서 과잉 반응물질들을 제거하도록 구성되는 진공 도관에 커플링된 흡입 구역을 포함하는, 기판-대향 구역을 포함하는, 주입 헤드; 및 주입 헤드 또는 기판 지지부를 서로 이동시키기 위한 이동 기구를 포함한다.
개시된 실시예들의 또 다른 양태에서, 반도체 기판으로부터 재료를 제거하기 위한 방법이 제공된다. 방법은 기판을 반응 챔버에 제공하는 단계; 이온 소스로부터 나오는 이온들에 기판의 표면을 노출시키는 단계; 반응물질 가스로 하여금, 기판 표면의 제 1 부분들 상의 반응물질 유출 영역에서 기판의 표면과 접촉하도록, 반응물질 가스에 기판을 노출시키는 단계로서, 기판 표면 상의 흡입 영역에서 반응물질 가스를 동시에 제거하고 또한 반응물질 유출 영역을 실질적으로 둘러싸는 동안, 반응물질 가스가 제 1 압력으로 반응물질 유출 영역에 제공되고, 반응 챔버는 반응물질 유출 영역 및 흡입 영역의 외부에서 제 2 압력으로 유지되고, 제 2 압력은 제 1 압력보다 적어도 약 25배 낮은, 반응물질 가스에 기판을 노출시키는 단계; 및 이온들에 대한 노출 및 반응물질 가스에 대한 노출의 결과로서 기판으로부터 재료를 제거하는 단계를 포함할 수도 있다.
일부 경우들에서, 제 2 압력은 제 1 압력보다 적어도 약 100배, 또는 제 1 압력보다 적어도 약 50배, 또는 제 1 압력보다 적어도 약 1000배, 또는 제 1 압력보다 적어도 약 2000배, 또는 제 1 압력보다 적어도 약 3000배 낮을 수도 있다. 특정한 경우들에서, 제 2 압력은 약 10 mTorr 이하, 예를 들어, 약 1 mTorr 이하이다.
방법은 기판 표면 위로 반응물질 유출 영역을 이동시키는 단계를 포함할 수도 있다. 반응물질 유출 영역은 시간에 걸쳐 평균될 때 공간적으로 균일한 방식으로 반응물질들을 전달하는 방식으로 기판 표면 위로 이동될 수도 있다. 다른 경우들에서, 반응물질 유출 영역은 시간에 걸쳐 평균될 때 공간적으로 불균일한 방식으로 반응물질들을 전달하는 방식으로 기판 위로 이동된다. 기판 표면 위로 반응물질 유출 영역을 이동시키는 단계는 기판을 회전하는 단계를 포함할 수도 있다. 이들 또는 다른 경우들에서, 기판 표면 위로 반응물질 유출 영역을 이동시키는 단계는 기판 표면에 걸쳐 반응물질 유출 영역을 스캐닝하는 단계를 포함할 수도 있다. 다양한 구현예들에서, 반응물질 가스에 기판을 노출시키는 단계는: (i) 반응물질 전달 도관의 반응물질 유출 구역으로서, 반응물질 유출 영역에 반응물질들을 전달하는, 반응물질 유출 구역, 및 (ii) 진공 도관에 커플링된 흡입 구역으로서, 흡입 구역 내의 반응물질들을 제거하는, 흡입 구역을 포함하는 기판-대향 구역을 포함하는, 주입 헤드에 반응물질 가스를 전달하는 단계를 포함한다. 주입 헤드의 하부면과 기판의 표면 사이의 거리는 주입 헤드가 반응물질 가스를 전달하는 동안 약 0.1 mm 내지 약 5 mm로 유지될 수도 있다. 작은 이격 거리는 보다 큰 기판 프로세싱 구역 내로의 반응물질들의 탈출을 최소화하도록 돕고, 기판 프로세싱 구역에서 이러한 반응물질 분자들이 이온 빔들과 충돌할 수도 있다.
다양한 유형들의 이온들이 원하는 대로 사용될 수도 있다. 일부 경우들에서, 이온들은 비활성 또는 비반응성이다. 다른 경우들에서, 이온들은 반응성이다. 예를 들어, 일부 경우들에서, 이온들은 기판 상의 재료를 산화시킨다. 반응물질 가스는 산화된 재료를 제거하기 위해서 기판 상의 산화된 재료와 반응할 수도 있다. 특정한 실시예들에서, 반응물질 가스는 산화제들, 할로겐화제들 (halogenators), 환원제들, 착화제들, 산들, 염기들, 알콜들, 케톤들, 알데하이드들, 또는 에스테르들 또는 이들의 임의의 조합으로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함할 수도 있다. 예들은 이로 제한되진 않지만: H2O, H2O2, NO2, NO, N2O, CF4, C2F6, CHF3, SF6, HF, HCl, HI, HNO3, Cl2, CClF3, CCl2F2, HBr, Br2, F2, H2, NH3, 메탄올, 에탄올, 이소프로판올, 아세트산, 포름산, 카르복시산, 아세톤, 메틸에틸 케톤, 아세틸 아세톤 (acac), 하이드로플루오로 아세톤 (hfac), 포름알데히드, 및 부틸 아세테이트를 포함한다.
다양한 구현예들에서, 이온들에 기판을 노출시키는 단계는, 플라즈마를 생성하는 단계, 플라즈마와 기판 사이에 배치된 추출 전극에 제 1 바이어스를 인가함으로써 플라즈마로부터 이온들을 추출하는 단계, 및 추출 전극과 기판 사이에 배치된 포커스 전극에 제 2 바이어스를 인가함으로써 이온들을 포커싱하는 단계를 포함할 수도 있다. 기판으로부터 재료를 제거하는 단계는 통상적으로 기판 상의 재료층의 적어도 일부를 제거하는 단계를 포함한다. 재료층은 비휘발성 메모리 디바이스의 피처를 형성할 수도 있다. 비휘발성 메모리 디바이스는 MRAM 디바이스일 수도 있다. 비휘발성 메모리 디바이스는 FeRAM 디바이스일 수도 있다. 비휘발성 메모리 디바이스는 PCM 디바이스일 수도 있다. 비휘발성 메모리 디바이스는 3D 적층 디바이스일 수도 있다.
특정한 실시예들에서, 반응물질 압력 및/또는 반응물질 플로우는 시간에 걸쳐 평균될 때 기판의 표면 위에서 균일한 재료 제거 레이트를 발생시키도록 조절된다. 다른 실시예들에서, 반응물질 압력 또는 반응물질 플로우는 시간에 걸쳐 평균될 때 기판의 표면 위에서 불균일한 재료 제거 레이트를 발생시키도록 조절된다. 상이한 반응물질 압력들 또는 상이한 반응물질 플로우 레이트들은 시간에 걸쳐 평균될 때 기판의 표면 위에서 균일한 재료 제거를 발생시키도록 주입 헤드 내의 상이한 세그먼트들 내에서 유지될 수도 있다. 다른 경우들에서, 상이한 반응물질 압력들 또는 상이한 반응물질 플로우 레이트들은 시간에 걸쳐 평균될 때 기판의 표면에 걸쳐 불균일한 재료 제거를 발생시키도록 주입 헤드 내의 상이한 세그먼트들 내에서 유지될 수도 있다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 아래에 기술될 것이다.
도 1은 이온 빔 에칭을 수행하기 위해 사용될 수도 있는 반응 챔버의 단순화된 도면이다.
도 2a 내지 도 2c는 기판이 일 실시예에 따른 이온 빔 에칭 프로세스를 겪을 때의 기판을 도시한다.
도 3은 국부적으로 고압에서 반응물질들을 전달하기 위한 주입 헤드를 갖는 반응 챔버를 나타낸다.
도 4a 내지 도 4c는 특정한 실시예들에 따른 주입 헤드의 단면도를 도시한다.
도 4d는 수많은 독립적으로 제어 가능한 가스 전달 도관들을 갖는 주입 헤드의 평면도 및 측면 단면도를 예시한다.
도 4e는 수많은 독립적으로 제어 가능한 진공 세그먼트들을 갖는 주입 헤드의 평면도 및 측면 단면도를 나타낸다.
도 4f는 기판의 전체 영역을 커버하는 주입 헤드의 평면도를 나타낸다.
도 4g는 2개의 절반부들로 분리 가능하고 절반이 개방된 위치에 도시된, 절반부들을 피벗함으로써 개방되는 주입 헤드의 평면도를 나타낸다.
도 4h 및 도 4i는 도 4f 및 도 4g에 도시된 주입 헤드들의 단면도들을 나타낸다.
도 5는 도 4a 내지 도 4c에 도시된 주입 헤드의 상이한 구역들 각각에 가해진 압력과 관련된 모델링 데이터를 나타낸다.
도 6a 내지 도 6i는 기판 표면에 대해 이동할 때 주입 헤드에 의해 추적될 수도 있는 특정한 예시적인 경로들 및 움직임들을 예시한다.
도 7a 및 도 7b는 특정한 실시예들에 따른 국부적으로 고압들에서 복수의 반응물질 가스들을 별도로 전달하는 주입 헤드들을 도시한다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 mm, 또는 300 mm, 또는 450 mm의 직경을 갖는다. 다음의 상세한 기술은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명은 이로 제한되지 않는다. 워크피스는 다양한 형상들, 크기들, 및 재료들일 수도 있다. 반도체 웨이퍼들 외에, 이 발명을 이용할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 평판 디스플레이들, 반도체 패키지들, 자기 기록 매체 및 디바이스들, 광 디바이스들, 미러들 및 다른 반사 매체 (reflecting media), 시트 금속 또는 실질적으로 평면인 다른 재료들 등과 같은 다양한 물품들을 포함한다.
다음의 기술에서, 수많은 구체적인 상세들이 제공된 실시예들의 철저한 이해를 제공하도록 제시된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부 없이 실행될 수도 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되지만, 개시된 실시예들을 제한하도록 의도되진 않음이 이해될 것이다.
이온 빔 에칭은 반도체 디바이스들의 제조에 흔히 사용된다. 상술된 바와 같이, 이온 빔 에칭은 기판 표면에 활동적인 이온들을 전달함으로써 기판의 표면으로부터 재료를 제거하는 것을 수반한다. 이온 빔 에칭은 비활성 이온들 (예를 들어, 아르곤 이온들) 만을 수반하는 프로세스들, 및 이온들 (예를 들어, 산소 이온들, 불소-함유 이온화된 화합물들, 기판 상의 표면 상에 화학 흡착되거나 또는 물리 흡착되는 반응물질과의 화학 반응을 개시하는 반응 또는 비활성 이온들과 같은 특정한 이온화된 화합물들) 에 의해 개시되는 화학 반응들 또는 반응 이온들을 수반하는 프로세스들로 분류된다. 프로세스들 양 유형들에서, 이온은 기판 표면과 충돌하고 직접적인 물리적 운동량 전달 (스퍼터링) 또는 이온들로부터의 에너지 전달에 의해 개시된 화학 반응 (반응성 이온 빔 에칭) 중 하나를 통해 재료를 제거한다. 반응성 이온 빔 에칭은 통상적으로 물리적 충돌 외에, 기판과 화학적으로 반응할 수도 있는 이온 (산소, 불소 등과 같은) 또는 (표면 상에 흡착되는 적용된 가스와 같은) 반응물질과 기판 사이의 화학 반응을 개시하는 비활성 이온 또는 반응물질 사이트의 생성과 동시에 일어나거나 반응물질 사이트의 생성 다음의 적용된 반응물질과 반응하는 기판의 표면 상의 반응 사이트를 생성하는 이온, 또는 이온들의 조합 중 하나의 이용을 수반한다.
이온 빔 에칭 프로세스들에 대한 특정한 적용들은 비휘발성 재료들의 에칭에 관한 것이다. 일부 경우들에서, 에칭된 재료는 전도성 재료이다. 특정한 실시예들에서, 재료는 MRAM (magneto-resistive random-access memory) 디바이스, STT-RAM (spin-torque-transfer memory) 디바이스, PSM (phase-change memory) 디바이스, 비휘발성 전도체 (구리, 백금, 금 등) 를 형성하는 맥락에서 에칭된다. 다른 적용들에서, 기판에 대한 이온 입사각을 제어하는 능력은 수직 적층된 메모리, FinFET 디바이스들 또는 게이트-올-어라운드 (gate-all-around) 구조들과 같은 3D 디바이스들을 생성하는데 있어서 유용할 수 있다.
이온 빔 에칭 프로세스들을 수행할 때, 기판 표면 위에서 매우 균일한 이온 플럭스를 촉진하는 것이 바람직하다. 높은 균일도는 기판의 전체 표면에 걸쳐 신뢰할 수 있는 디바이스들을 생성하는데 있어서 유익하다. 또한, 특정한 경우들에서, 높은 이온 플럭스 및/또는 가스 상 반응물질의 높은 플럭스를 촉진하는 것이 바람직할 수도 있다. 높은 플럭스는 쓰루풋을 최대화하는 것을 도울 수 있다. 에칭 결과들의 품질에 영향을 미치는 또 다른 요인은 에너지를 제어하는 능력 및 이온들이 표면들에 충돌하는 각도이다. 이들 요인들은 목표된 치수들 및 프로파일들을 갖는 피처들을 형성하는데 있어서 중요하다.
도 1은 특정한 방법들에 따른 이온 빔 에칭을 수행하기 위한 장치 (100) 의 단순화된 단면도를 나타낸다. 이 예에서, 기판 (101) 은 전기 접속부들 및 유체 접속부들을 제공하도록 하드웨어 (미도시) 를 구비할 수도 있는 기판 지지부 (103) 상에 놓인다. 전기 접속부들은 일부 경우들에서 기판 지지부 (103) 에 전기를 공급하도록 사용될 수 있는 반면, 유체 접속부들은 기판 (101) 및 기판 지지부 (103) 의 온도를 제어하기 위해 사용된 유체들을 제공하도록 사용될 수도 있다. 기판 지지부 (103) 는 히터 (미도시) 에 의해 가열될 수도 있거나 또는 냉각 기구 (미도시) 에 의해 냉각될 수도 있다. 냉각 기구는 기판 지지부 (103) 내의 또는 기판 지지부 (103) 와 인접한 파이프를 통해 냉각 유체들을 흘리는 것을 수반할 수도 있다. 일부 경우들에서, 히터는 적어도 약 100 ℃, 예를 들어, 적어도 약 200 ℃, 적어도 약 300 ℃, 또는 적어도 약 400 ℃인 상승된 온도로 기판을 가열할 수도 있다. 이들 또는 다른 경우들에서, 히터는 약 600 ℃ 이하의 온도로 기판을 가열할 수도 있다. 냉각 기구가 사용되는 경우에, 냉각 기구는 약 실온 내지 약 -100 ℃의 온도로 기판을 냉각할 수도 있다. 기판 지지부 (103) 는 도 1에서 양방향 화살표들로 나타낸 바와 같이, 가변 속도들 및 각도들로 회전 및 경사질 수도 있다.
플라즈마 생성 가스는 주 플라즈마 생성 구역 (105) 에 전달된다. 플라즈마 생성 가스는 플라즈마 소스 (107) 에 의해 에너자이징된다 (energize). 도 1의 맥락에서, 플라즈마 소스 (107) 는 유도 결합 플라즈마 소스의 역할을 하는 코일이다. 용량 결합 소스들, 마이크로파 소스들 또는 아크 방전 소스들, 액체 금속 이온 소스들 또는 필드 이온화 소스들과 같은 다른 소스들은 적절하게 설계된 반응기들에서 채용될 수도 있다. 플라즈마는 주 플라즈마 생성 구역 (105) 에서 형성된다. 추출 전극 (109) 은 이온들이 추출되는 일련의 어퍼처들 (110) 을 포함한다. 추출 전극 (109) 에 인가된 바이어스 V1은 기판에 대해 이온에 운동 에너지를 제공하는 역할을 한다. 이 바이어스는 일반적으로 포지티브하고 약 20 V 내지 약 10,000 V 이상의 범위일 수 있고, 그리고 특정한 경우들에서, 약 25 V 내지 약 2,000 V의 범위이다. 추출 전극 (109) 위에서 플라즈마 내의 포지티브 이온들은 전극들 (109 및 113) 사이의 전위차에 의해 하부 전극 (113) 으로 끌린다. 포커스 전극 (111) 은 이온들을 포커싱하도록 그리고 필요하다면 전극들을 밀어내도록 추가된다. 포커스 전극 (111) 상의 바이어스 V2는 추출 전극 (109) 에 대해 포지티브이거나 네거티브일 수도 있고, 다양한 경우들에서 네거티브 바이어스된다. 포커스 전극 (111) 의 바이어스 전위는 포커스 전극 (111) 의 렌징 (lensing) 특성들에 의해 결정된다. 이 전극 상의 전압들은 바이어스 V1 초과의 포지티브 전압들 (예를 들어, 약 1.5x바이어스 V1 내지 약 10x바이어스 V1) 내지 네거티브 전압들 (예를 들어, 약 -0.001x바이어스 V1 내지 약 -0.9x바이어스 V1) 의 범위이다. 상이한 전극들에 인가된 상이한 전위들 때문에, 전위 구배 (potential gradient) 가 존재한다. 전위 구배는 약 1000 V/cm 일 수도 있다. 이웃한 전극들 사이의 예시적인 이격 거리들은 약 0.1 cm 내지 약 10 cm, 예를 들어, 약 1 cm를 포함한다. 이온들이 접지된 하부 전극 (113) 의 하단에 남겨진 후에, 이온들은 조준된 (collimated) 빔 및 포커싱된 빔 (focused beam) 으로 이동한다.
하부 전극 (113) 은 많은 (하지만 전부는 아님) 경우들에서 접지된다. 접지된 기판 (101) 과 결합하는 접지된 하부 전극 (113) 의 사용은 실질적으로 자유장 (field-free) 인 기판 프로세싱 구역 (115) 을 발생시킨다. 자유장 구역에 기판을 위치시키는 것은, 다른 방식으로 원치 않는 손상 및 이차적인 반응들을 야기할 수 있는, 반응 챔버 내의 표면들 또는 잔여 가스들과 이온 빔의 충돌에 의해 생성된 전자들 또는 이차 이온들이 기판을 향해 가속되는 일을 방지한다. 또한, 기판 (101) 으로 하여금 이온 빔 자체로부터, 또는 기판과의 이온 빔 충돌 동안 생성된 배출된 이차 전자들로부터 대전되는 (charging) 것을 방지하는 것은 중요하다. 중성화는 통상적으로 기판 (101) 부근에서 낮은 에너지 전자 소스 (미도시) 를 추가함으로써 성취된다. 이온 상의 포지티브 전하, 및 배출된 이차 전자들 둘 다가 기판을 포지티브로 대전하기 때문에, 부근의 낮은 에너지 전자들이 포지티브 대전된 표면으로 끌어당겨질 수 있고 이 전하를 중성화시킨다. 이 중성화를 수행하는 것은 자유장 구역에서 훨씬 보다 쉽다.
일부 적용들에서, 하부 전극 (113) 과 기판 (101) 사이의 전위차를 갖는 것은 바람직할 수도 있다. 예를 들어, 매우 낮은 에너지 이온들이 요구된다면, 포지티브 대전된 이온들의 상호 반발 (공간 전하 효과들) 때문에 긴 거리들에 걸쳐 낮은 에너지로 잘 조준된 빔을 유지하는 것은 어렵다. 이것에 대한 일 해결책은 기판 (101) 에 대해 하부 전극 (113) 상에 네거티브 바이어스를 배치하는 것이다 (또는 역으로 하부 전극 (113) 에 대해 기판 (101) 을 포지티브하게 바이어스함). 이 바이어스 스킴 (scheme) 은 보다 높은 에너지로 이온들을 추출하는 것 그리고 이어서 이온들이 기판에 도달할 때 이온들을 느리게 하는 것을 수반한다.
추출 전극 (109), 포커스 전극 (111) 및 하부 전극 (113) 내의 어퍼처들 (110) 은 서로 정확하게 정렬될 수도 있다. 그렇지 않으면, 이온들은 부정확하게 향하게 될 수도 있고, 웨이퍼 상 에칭 (on-wafer etching) 결과들은 불량할 것이다. 예를 들어, 포커스 전극 (111) 내의 단일 어퍼처가 오정렬된다면, 이것은 오버에칭되는 (over-etched) 기판 (101) 의 일 영역 (매우 많은 이온들이 지향됨) 및 언더-에칭되는 (under-etched) 기판 (101) 의 또 다른 영역 (매우 적은 이온들이 향하거나 또는 이온들이 향하지 않음) 을 발생시킬 것이다. 이와 같이, 어퍼처들이 가능한 많이 서로 정렬되는 것이 바람직하다. 다양한 경우들에서, 수직으로 인접한 전극들 사이의 오정렬은 홀 직경의 약 1 % 이하로 제한된다 (인접한 어퍼처와 비교할 때 어퍼처의 위치에서 선형 시프트의 거리로 측정된 바와 같음).
이온 빔 에칭 프로세스들은 통상적으로 저압으로 진행된다. 일부 실시예들에서, 압력은 약 100 mTorr 이하, 예를 들어 약 10 mTorr 이하, 또는 약 1 mTorr 이하, 그리고 많은 경우들에서, 약 0.1 mTorr 이하일 수도 있다. 저압은 기판 프로세싱 구역 내에 존재하는 임의의 가스 종과 이온들 사이의 바람직하지 않은 충돌들을 최소화하는 것을 돕는다.
유감스럽게도, 많은 반응성 이온 빔 에칭 적용들에서 요구되는 저압은 반응물질들이 기판 프로세싱 구역으로 전달될 수 있는 레이트를 제한한다. 반응물질들이 매우 높은 레이트로 전달된다면, 압력은 상승할 것이고 이온-가스 충돌들이 문제가 된다. 낮은 반응물질 플로우 레이트는, 화학적 반응물질/에천트가 신속한 방식으로 표면을 효과적으로 에칭하도록 충분한 분압으로 존재하지 않기 때문에, 상대적으로 낮은 쓰루풋 프로세스를 발생시킨다.
본 명세서에 개시된 특정한 실시예들은 주입 헤드 외부를 상대적으로 낮은 압력으로 유지하는 동안 주입 헤드를 사용하여 상대적으로 높은 국부적 압력으로 기판의 표면에 반응물질 가스들을 제공함으로써 이 충돌-쓰루풋 트레이드오프 (collision-throughput tradeoff) 를 처리한다 (address). 동작에서, 주입 헤드는 주입 헤드의 반응물질 전달 구역과 주입 헤드의 에지 사이에 큰 압력 구배를 제공할 수도 있다. 주입 헤드는 반응물질들을 전달하고 동시에 과잉 반응물질 종 및 부산물들을 진공배출한다 (vacuum away). 이 설정 (setup) 은 대부분의 반응물질 가스 로드가 이러한 반응물질들이 이온들과 충돌할 것 같은 구역을 들어가는 것을 방지하고, 따라서 전체 기판 프로세싱 구역 내의 보다 저압 및 보다 고압의 국부적 반응물질 전달 둘 다를 가능하게 한다. 주입 헤드는 시간에 걸쳐 기판 표면에 반응물질 가스를 균일하게 전달하는 방식으로 웨이퍼의 상이한 부분들 위에서 스캐닝될 수도 있다. 비록 임의의 소정 시간에, 스캐닝된 주입 헤드가 오직 웨이퍼의 국부적 부분에 고압으로 반응물질들을 공급할지라도, 주입 헤드는 평균적으로 반응물질 가스가 균일한 방식으로 전달되도록, 에칭 프로세스 동안 기판의 표면 위를 스캐닝한다. 다양한 스캐닝 패턴들은 균일한 반응물질 전달을 제공하도록 사용될 수도 있다. 대안적으로, 주입 헤드는 전체 기판을 커버할 수도 있고, 그리고 대안적으로 기판 위에 배치될 수도 있고, 이어서 기판으로부터 제거될 수도 있다.
개시된 주입 헤드가 유익할 수도 있는 일 예시적인 프로세스는 도 2a 내지 도 2c에 도시된 바와 같이 기판을 에칭하는 것을 수반한다. 도 2a는 에칭 프로세스의 초기 부분 동안의 기판을 도시한다. 기판은 아래에 놓인 층 또는 층들 (201), 코발트 플래티늄 (CoPt) 의 부분적으로 노출된 층 (202), 부분적으로 노출된 층 (202) 상의 패터닝된 하드마스크층 (204) 을 갖는다. 이 예에서, 하드마스크층은 탄탈륨이다. 비록 이 재료들이 예들로서 제공되지만, 개시된 실시예들은 적용된 반응물질 및 이온 빔의 연속 결합에 의해 에칭 가능한, 임의의 다양한 재료들에 사용될 수도 있다. 에칭될 예시적인 재료들은 이로 제한되지 않지만: (1) 일부 경우들에서, Cl2, HCl, HBr, 또는 Br2 가스 노출에 후속하여 비활성 이온 노출 (비활성 이온들은 예를 들어, He, Ne, Ar, Kr, Xe, 또는 이들의 조합) 의 시퀀스에 의해 에칭될 수도 있는, 실리콘, 실리콘-게르마늄, 게르마늄과 같은 반도체들; (2) 일부 경우들에서, 산소 이온 빔을 사용하여 산화될 수 있고, 이어서 휘발성 가스 또는 높은 증기압 화합물을 형성하도록 acac (acetyl acetone), hfac (hydrofluoro acetone), 또는 아세트산 또는 포름산과 같은 산 또는 유기 증기와 반응될 수도 있는 Cu, Fe, Co, Ni, Pd, 또는 W와 같은 금속들; (3) 일부 경우들에서, H, He, O, N, F, Cl, 또는 Br 이온들과 같은 비활성 또는 반응 이온에 의해 표면 활성화될 수 있고, 이어서 F2, HF, Cl2, HCl, Br2, HBr, NH3, 아세트산, 이들의 조합들 등과 같은 반응물질 가스 또는 증기의 적용에 의해 반응 사이트에서 화학적으로 에칭될 수도 있는, Si, SiGe, Ge, III-V족 원소들, Pd, 및 Fe와 같은 금속들 또는 반도체들을 포함한다.
플라즈마는 전극들의 세트 위의 주 플라즈마 생성 구역에서 생성된다. 이 예에서, 플라즈마를 생성하도록 사용된 가스는 산소를 포함하고, 따라서 생성된 플라즈마는 산소 이온들을 포함한다. 산소 이온들은 추출 전극, 포커스 전극, 및 하부 전극을 통해 이동하고, 그리고 도 2a에 도시된 바와 같이 기판의 표면과 충돌한다. 이온들이 시간 기간 동안 기판 표면과 충돌한 후에, 코발트 플래티늄 재료 (202) 의 상부면은 도 2b에 도시된 바와 같이, 산화된 재료 (206) 가 된다. 하드마스크층 (204) 은 산화에 저항할 수도 있다. 반응물질 가스에 노출된 후에, 산화된 재료 (206) 는 도 2c에 도시된 바와 같이 에칭된다.
종래의 방법들에서, 이 에칭은 플라즈마를 소화시키는 것, 또 다른 프로세싱 챔버로 기판을 이송하는 것, 및 acac, hfac, 또는 아세트산과 같은 옥사이드 제거 화학 물질과 기판을 접촉시키는 것을 수반할 수도 있다. 다른 기판 재료들은 다른 화합물들에 의해 제거될 수도 있다. 일부 경우들에서, 제거는 습식 화학 방법들을 통해 발생한다.
그러나, 개시된 실시예들에서, 플라즈마를 소화시키는 것 및 새로운 프로세싱 챔버로 기판을 이송하는 것과 같은 추가의 단계들이 회피될 수도 있다. 일 예에서, 플라즈마가 주 플라즈마 생성 구역에 존재하고 이온들이 기판 표면과 활발하게 충돌하는 동안, 주입 헤드는 상대적으로 높은 국부적 분압들 (예를 들어, 적어도 약 10x, 또는 적어도 약 100x, 또는 적어도 약 500x, 또는 적어도 약 1000x, 또는 적어도 약 2000x인 분압, 및 일부 경우들에서 기판 프로세싱 구역에서의 적어도 약 3000x인 압력) 로 반응물질 가스들 또는 증기들을 전달하도록 웨이퍼의 기판을 스캔한다. 반응물질 가스는 표면으로부터 산화된 재료를 에칭한다. 또 다른 예에서, 주입 헤드는 이온들이 활발하게 표면과 충돌하지 않는 동안 특정 시간들에서 반응물질 가스를 전달하도록 웨이퍼의 표면을 스캔한다. 하나의 이러한 예에서, 기판 프로세싱 구역 내로의 이온들의 플로우는 도 3에 도시된 바와 같이, 셔터 (shutter) 를 사용하여 조절된다. 이 방식으로, 플라즈마는 점화된 상태일 수 있고 이온들의 플로우는 필요하다면 셔터 온 (shuttered on) 및 셔터 오프될 (shuttered off) 수 있다. 주입 헤드는 셔터가 개방되고 이온들이 기판 표면 상에 충돌하는 동안, 경로 이외의 위치 (즉, 웨이퍼와 전극들 사이가 아님) 로 이동할 수도 있다.
일부 경우들에서, 셔터는 이온들이 전극의 다른 부분들을 통과하는 동안, 전극의 특정한 부분을 통과하는 이온들을 차단하도록 구성될 수도 있다. 모두 함께 개방하고 폐쇄하는 블라인드들과 같이 작용하는 것보다는, 이 경우에서 셔터는 전극들 내의 개별 어퍼처들을 독립적으로 차단하거나 또는 차단하지 않을 수도 있다. 이 방식으로, 각각의 어퍼처를 통한 이온들의 플로우는 턴 온 (turned on) 및 턴 오프 (turned off) 될 수도 있다. 이 실시예의 일 이점은 주입 헤드가 이 경로 내에 있지 않을 때의 시간들 동안 어퍼처가 개방된 상태로 있는 동안, 특정한 어퍼처와 기판 표면 사이에 주입 헤드가 직접 있을 때에만 어퍼처가 셔터 오프될 (shutter off) 수도 있다는 것이다.
이온들이 기판 상에서 충돌하는 동안 주입 헤드가 활발하게 반응물질 가스를 기판으로 전달하는지 여부에 상관없이, 주입 헤드의 사용은 에칭의 부분들 둘 다 (이온 전달 및 화학적 반응물질 전달) 로 하여금 플라즈마를 소화시키는 일 없이, 동일한 챔버에서 발생하도록 한다.
ALE (atomic layer etching) 와 같은 적용들에 대해, 개시된 실시예들은 프로세스들의 각각의 부분으로 하여금 압력 최적화되게 허용할 때 특히 유용하다. ALE는 반응물질들의 연속적인 전달 및 흡착, 과잉 반응물질들의 퍼징, 및 재료의 매우 얇은 층들 (예를 들어, 일부 경우들에서 모노레이어들) 을 제거하도록 에너지 소스에 대한 노출을 수반한다. 자주, 이러한 흡착, 퍼징 및 에너지 노출 동작들은 층 단위 기반으로 (layer-by-layer basis) 재료를 에칭하는 순환적 방식으로 수행된다. 개시된 주입 헤드 및 사용 방법들은 다양한 가스들이 제공되는 압력에 대해 이용 가능한 동작 윈도우를 실질적으로 개방한다. 또한, 개시된 기법들은 상이한 타이밍 시퀀스들을 사용하는 ALE 방법들을 발생시킬 수도 있다. 예를 들어, 반응물질 전달, 퍼징, 및 에너지에 대한 노출은 웨이퍼의 상이한 부분들 상에서 모두 동시에 발생할 수도 있다. 반응물질 전달 및 퍼징은 주입 헤드 아래에서 국부적으로 발생하고, 에너지 (이온들) 에 대한 노출은 주입 헤드가 차단되지 않은 어느 곳에서나 전역으로 발생한다. 원자층 에칭 방법들은 다음의 미국 특허들에서 더 논의되고, 특허들 각각은 전체가 참조로서 본 명세서에 인용된다: 발명의 명칭이 "ADSORPTION BASED MATERIAL REMOVAL PROCESS"인 미국 특허 제 7,416,989 호; 발명의 명칭이 "METHODS OF REMOVING SILICON NITRIDE AND OTHER MATERIALS DURING FABRICATION OF CONTACTS"인 미국 특허 제 7,977,249 호; 발명의 명칭이 "MODULATING ETCH SELECTIVITY AND ETCH RATE OF SILICON NITRIDE THIN FILMS"인 미국 특허 제 8,187,486 호; 발명의 명칭이 "ATOMIC LAYER REMOVAL FOR HIGH ASPECT RATIO GAPFILL"인 미국 특허 제 7,981,763 호; 및 발명의 명칭이 "ATOMIC LAYER REMOVAL PROCESS WITH HIGHER ETCH AMOUNT"인 미국 특허 제 8,058,179 호.
도 3은 일부 구현예들에서 반응성 이온 빔 에칭을 위해 사용된 반응 챔버 (300) 의 간략화된 도면을 나타낸다. 웨이퍼 (301) 는 기판 프로세싱 구역 (303) 내의 정전 척 (302) 과 같은 페데스탈 상에 지지된다. 이온들은 이온 소스 (304) 에서 생성되고, 추출되고, 그리고 포커싱된다. 이온 소스 (304) 는 다른 이온 소스들이 또한 사용될 수도 있지만, 도 1에 도시된 바와 같이 플라즈마 생성 구역 및 일련의 전극들을 포함할 수도 있다. 이온 소스 (304) 로부터 나오는 이온들의 플로우는 선택적 이온 셔터 (305) 를 통해 셔터 온 및 셔터 오프될 수도 있다. 주입 헤드 (306) 는 국부적으로 고압 전달 구역 (307) 에서 주입 헤드 (306) 아래에 프로세스 가스들을 전달하도록 기판 (301) 의 표면에 걸쳐 이동한다. 국부적으로 고압 구역은 또한 반응물질 유출 구역 또는 반응물질 전달 구역으로 지칭될 수도 있다. 국부적으로 고압 구역은 반응물질 전달 도관의 일부를 형성할 수도 있고, 주입 헤드 (306) 로 반응물질을 제공하는 라인에 커플링될 수도 있다.
국부적으로 고압 반응물질들이 웨이퍼 (301) 에 전달된 직후에 국부적으로 고압 반응물질들을 제거하는, 주입 헤드 (306) 에 의해 기판 프로세싱 구역 (303) 에서 저압이 유지된다. 특히, 반응물질들이 국부적으로 고압 전달 구역 (307) 에 전달되는 동안, 주입 헤드 (306) 는 국부적으로 고압 전달 구역 (307) 을 둘러싸거나 또는 다른 방식으로 국부적으로 고압 전달 구역 (307) 과 근접한 구역들 (이들 둘러싸는 구역들은 때때로 압력 하강 구역들 또는 흡입 구역들로 지칭됨) 에 진공을 동시에 인가하고, 이로써 반응물질들이 주입 헤드 (306) 외부의 보다 큰 기판 프로세싱 구역 (303) 에 진입하기 전에 과잉 반응물질들을 제거한다. 과잉 반응물질들은 진공 연결부 (미도시) 를 통해 제거된다. 진공 연결부는 다소 얇을 수도 있고 주입 헤드 (306) 로 하여금 웨이퍼 (301) 의 표면에 걸쳐 이동하게 하도록 유연할 수도 있거나 또는 진공 연결부는 주입 헤드 자체의 일부를 형성할 수도 있다. 진공 연결부는 반응물질 전달 라인들 및 진공 연결부가 함께 이동하도록 (비록 반응물질 전달 라인들 및 진공 연결부가 기능적으로 분리되어 있을지라도) 반응물질들을 전달하기 위해 사용된 라인들과 물리적으로 연결될 수도 있다.
일부 경우들에서, 주입 헤드는 기판의 전체 폭을 연장하도록 길어질 수도 있고, 진공 연결부는 헤드와 일렬로 구성될 수도 있다. 도 3은 페이지 (page) 내외로 연장하는 주입 헤드 (306) (주입 헤드 (306) 의 일부를 형성할 수도 있는, 반응물질 전달 라인 및 진공 연결부에 더하여) 를 사용하여 이 방식으로 이해될 수도 있다. 이 실시예에서, 주입 헤드는 주입 헤드 (즉, 도 3의 좌측 및 우측) 의 길이에 대해 수직인 단일 축을 따라 또는 피벗 포인트를 따라 스캐닝함으로써 웨이퍼의 전체 표면에 반응물질들을 전달할 수도 있다. 이 구성에서, 진공 연결부는 주입 헤드 자체의 외부의 구역들 내의 이온 빔을 차단하지 못할 것이다. 또한 주입 헤드 (306) 의 상세들은 아래에 논의된다.
도 4a 내지 도 4c는 특정한 실시예들에 따른 웨이퍼 (401) 위를 지나가는 주입 헤드 (400) 의 개략적인 단면도를 나타낸다. 도 4a는 주입 헤드 (400) 및 주입 헤드 내부의 컴포넌트들을 도시한다. 도 4b는 주입 헤드 (400) 의 특정한 치수들을 예시하도록 제공된다. 도 4c는 주입 헤드 (400) 를 통한 플로우 패턴들을 예시하도록 제공된다. 도 4a부터 시작해서, 반응물질 가스들은 반응물질 유입부 (402) 에서 주입 헤드 (400) 로 도입된다. 반응물질 가스들은 국부적으로 고압 구역 (R0) (또한 반응물질 유출 구역으로 지칭됨) 에 상대적으로 고압으로 도입된다. 제 1 분할기 (D1) 는 제 1 압력 강하 구역 (R1) (또한 흡입 구역으로 지칭됨) 으로부터 국부적으로 고압 구역 (R0) 을 분리하고, 제 2 분할기 (D2) 는 제 2 압력 강하 구역 (R2) (때때로 제 2 흡입 구역으로 지칭됨) 으로부터 제 1 압력 강하 구역 (R1) 을 분리하고, 그리고 제 3 분할기 (D3) 는 둘러싸는 기판 프로세싱 구역 (R3) 으로부터 제 2 압력 강하 구역 (R2) 을 분리한다. 분할기들은 시트들이거나 또는 다른 얇은 구조체들일 수도 있고, 그리고 폴리머, 세라믹, 금속, 또는 유리와 같은 에천트-저항성 재료로 이루어질 수도 있다. 예시적인 재료들은 사용되는 특정한 에천트(들)에 대해 선택될 수도 있는, 알루미늄, 알루미늄 합금들, 양극산화된 알루미늄, 스테인리스 강, 알루미늄 세라믹, 기계가공 유리 세라믹, 융해된 실리카, 인코넬, 모넬, 보로-실리케이트 유리 (boro-silicate glass), 베스펠 (vespel), Teflon 또는 캡톤 (kapton) 을 포함한다.
진공은 국부적으로 고압 구역 (R0) 으로 전달된 과잉 반응물질을 진공 배출하도록 제 1 압력 강하 구역 (R1) 및 제 2 압력 강하 구역 (R2) 에 인가된다. 진공은 진공 연결부 (403) 를 통해 인가된다. 유사한 실시예에서, 진공 연결부 (403) 는 도 4a 내지 도 4c에 도시된 바와 같이 우측으로 연장하는 것보다는, 페이지 내외로 연장한다. 일 실시예에서, 국부적으로 고압 구역 (R0) 은 제 1 분할기 (D1) 에 의해 측면들 상에 인접한 원통형 형상의 구역일 수도 있다. 제 1 압력 강하 구역 (R1) 및 제 2 압력 강하 구역 (R2) 은 국부적으로 고압 구역 (R0) 을 둘러싸도록 환형 형상일 수도 있다. 대안적으로, 국부적으로 고압 구역 (R0) 및 제 1 압력 강하 구역 (R1) 및 제 2 압력 강하 구역 (R2) 각각은 위에서 볼 때 길고 얇을 수도 있고 (예를 들어, 각각은 위에서 볼 때 실질적으로 직사각형 단면을 가짐), 각각은 페이지 내/외로 연장한다.
스퍼터 저항성 코팅부 (404) 는 주입 헤드 (400) 를 코팅할 수도 있다. 이러한 스퍼터 저항성 코팅부 (404) 는 카본 (예를 들어, 비정질 카본), 또는 스퍼터링된다면, 실리콘, SiO2, 알루미늄, 또는 Al2O3, 등과 같은 기판 재료의 오염물질로 고려되는, 재료로 이루어질 수도 있다. 스퍼터 저항성 코팅부 (404) 는 주입 헤드 (400) 로부터 스퍼터링되는 재료의 양을 최소화하도록 도울 수도 있다. (스퍼터-저항성 코팅부 아래의) 주입 헤드 외부 쉘은 알루미늄, 알루미늄 합금들, 양극산화된 알루미늄, 스테인리스 강, 알루미늄 세라믹, 기계가공 유리 세라믹, 융해된 실리카, 인코넬, 모넬, 보로-실리케이트 유리, 베스펠, Teflon 또는 캡톤을 포함하는 예들을 가진, 폴리머, 세라믹, 금속, 또는 유리로 이루어질 수도 있다.
상이한 관련 있는 구역들을 특징짓는 또 다른 방법은 웨이퍼 자체에 발생하는 일을 보는 것에 의해서이다. 국부적으로 고압 구역 (R0) 아래에 위치된 웨이퍼의 부분은 국부적으로 고압 영역으로 지칭될 수도 있다 (또한 반응물질 유출 영역으로 지칭됨). 압력 강하 구역 (R1) 및 압력 강하 구역 (R2) 아래에 위치된 웨이퍼의 부분은 압력 강하 영역, 또는 2개의 압력 강하 서브-영역들로 지칭될 수도 있다. 이들 영역들은 또한 흡입 영역들로 지칭될 수도 있다. 주입 헤드 아래에 있지 않는 웨이퍼의 부분은 이온 프로세싱 영역들로 지칭될 수도 있다. 국부적으로 고압 영역, 압력 강하 영역 및 이온 프로세싱 영역의 위치들은 주입 헤드가 웨이퍼의 표면에 걸쳐 이동함에 따라 변한다.
당업자는 과잉 반응물질들 및 반응물질 부산물들이 국부적으로 고압 구역 (R0) 으로 전달된 후 그리고 과잉 반응물질들 및 반응물질 부산물들이 기판 프로세싱 구역 (R3) 에 진입하기 전에 과잉 반응물질들 및 반응물질 부산물들을 진공 배출하도록 압력 강하 구역들이 설계 또는 구성되는 한, 임의의 다양한 형상들이 국부적으로 고압 구역 (R0) 및 제 1 압력 강하 구역 (R1) 및 제 2 압력 강하 구역 (R2) 을 생성하기 위한 구조체 또는 구조체들에서 사용될 수 있음을 이해한다. 이와 같이, 제 1 압력 강하 구역 (R1) 은 국부적으로 고압 구역 (R0) 을 둘러싸거나 또는 실질적으로 둘러쌀 수도 있고, 그리고 제 2 압력 강하 구역 (R2) 은 제 1 압력 강하 구역 (R1) 을 둘러싸거나 또는 실질적으로 둘러쌀 수도 있다. 구역들은 도 4a 내지 도 4c에 도시된 바와 같이 원형/실린더형일 수도 있거나, 또는 구역들은 또 다른 형상 (타원형, 정사각형, 직사각형, 삼각형, 다른 다각형 형상, 슬릿 등) 일 수도 있다. R0 아래의 노출된 영역은 실질적으로 기판보다 작을 수도 있고, 기판과 대략 동일할 수도 있거나, 또는 기판보다 클 수도 있다. 특정한 예에서, 국부적으로 고압 구역은 상대적으로 길고 얇은 슬릿으로서 성형되고, 그리고 압력 강하 구역은 슬릿 양측들과 인접하다. 이 경우에서, 압력 강하 구역이 국부적으로 고압 구역 (예를 들어, 슬릿의 얇은 측면들과 가까운) 을 둘러쌀 수 있거나 또는 완전히 둘러쌀 수 없지만, 이러한 압력 강하 구역은 과잉 반응물질들의 상당한 다수가 슬릿 형상의 국부적으로 고압 구역의 긴 측면들과 가까운 압력 강하 구역들에 의해 진공 배출되기 때문에, 국부적으로 고압 구역을 실질적으로 둘러싼다고 말할 수도 있다. 특정한 실시예들에서, 압력 강하 구역들 중 하나 또는 둘 다는 반응물질 전달 구역의 주변부의 적어도 약 70 % (또는 적어도 약 90 %) 를 둘러싼다. 특정한 경우에서, 압력 강하 구역 또는 압력 강하 구역들 둘 다는 반응물질 전달 구역의 주변부의 적어도 100 %를 둘러싼다. 특정한 실시예들에서, 제 1 압력 강하/흡입 구역은 국부적으로 고압/반응물질 전달 구역과 바로 인접하다. 특정한 실시예들에서, 제 2 압력 강하/흡입 구역은 제 1 압력/흡입 구역과 바로 인접하다.
임의의 수의 분리된 압력 강하/흡입 구역들이 사용될 수도 있다. 2개의 압력 강하 구역들이 도 4a 내지 도 4c에 도시되지만, 일부 실시예들에서, 단일 압력 강하 구역만이 사용된다. 다른 실시예들에서, 2개 이상의 압력 강하 구역들이 사용되고, 예를 들어, 3개 이상의 압력 강하 구역들이 사용된다. 일부 실시예들에서, 최대 약 5개의 압력 강하 구역들이 사용된다. 기판 프로세싱 구역 및 국부적으로 고압 구역은 압력 강하 구역들로 고려되지 않는다. 통상적으로, 임의의 압력 강하 구역은 국부적으로 고압 구역 또는 또 다른 압력 강하 구역과 가깝게 위치될 것이고, 과잉 반응물질들을 제거하기 위해 진공 연결부를 가질 것이다. 압력 강하 구역들은 인접한 구역들 사이의 압력을 연속적으로 감소시키는 역할을 한다.
도 4b는 강조된 특정한 치수들을 가진, 도 4a에 예시된 주입 헤드 (400) 를 제공한다. W0은 국부적으로 고압 구역 (R0) 의 폭을 나타낸다. W1 W2는 제 1 압력 강하 구역 (R1) 및 제 2 압력 강하 구역 (R2) 각각의 두께 (외경 빼기 내경, 여기서 이들 구역들은 환형 형상임) 를 나타낸다. L1, L2, 및 L3은 제 1 분할기 (D1), 제 2 분할기 (D2), 및 제 3 분할기 (D3) 의 두께를 각각 나타낸다. 기판 (401) 의 표면과 분할기들 (D1 내지 D3) 의 하단 사이의 거리는 g로 표시된다. 기판 (401) 의 표면과 진공 연결부 (403) 사이의 거리는 h로 표시된다. 분할기들의 높이는 hD로 표시된다.
폭 (W0) 은 약 0.5 mm 내지 약 10 cm일 수도 있다. 두께 (W1) 는 약 1 mm 내지 약 5 cm일 수도 있다. 유사하게, 두께 (W2) 는 약 1 mm 내지 약 5 cm일 수도 있다. 두께 (W1) 및 두께 (W2) 는 동일하거나 상이할 수도 있다. 일부 경우들에서, W1은 W2보다 크지만, 다른 경우들에서는, W2가 W1보다 크다. 두께 (L1) 는 약 0.5 mm 내지 약 2 cm일 수도 있다. 유사하게, 두께 (L2) 는 약 0.5 mm 내지 약 2 cm일 수도 있고, 두께 (L3) 는 약 0.5 mm 내지 약 2 cm일 수도 있다. 일부 경우들에서, L1, L2, 및 L3은 실질적으로 동일하다 (예를 들어, L1, L2, 및 L3은 약 5 % 초과만큼 상이하지 않음). 다른 경우들에서, 이들 두께들은 상이할 수도 있다. 분할기들 (D1 내지 D3) 의 하단과 기판 (401) 의 표면 사이의 높이 (g) 는 약 5 mm 이하, 예를 들어, 약 2 mm 이하, 또는 약 1 mm 이하일 수도 있다. 일부 경우들에서, 이 거리 (g) 는 약 0.1 mm 내지 약 5 mm이다. 이 거리는 주입 헤드로부터 그리고 기판 프로세싱 구역 내로 탈출하는 과잉 반응물질의 양을 최소화하도록 상대적으로 짧아야 한다. 높이 (h) 는 약 0 cm 내지 약 5 cm일 수도 있다.
일부 경우들에서, 분할기들 (D1 내지 D3) 은 동일하지 않은 길이를 갖고 각각의 분할기의 하단과 기판의 표면 사이의 거리는 상이하다. 도 4b에 도시되지는 않았지만, 이러한 일 실시예에서, 기판 표면과 제 1 분할기 (D1) 사이의 거리는 g1으로 지칭될 수도 있고, 기판 표면과 제 2 분할기 (D2) 사이의 거리는 g2로 지칭될 수도 있고, 기판 표면과 제 3 분할기 (D3) 사이의 거리는 g3으로 지칭될 수도 있다. 특정한 실시예들에서, g1이 가장 크고 및/또는 g3이 가장 작다는 것이 바람직할 수도 있다 (g1, g2, 및 g3을 비교할 때). 이 방식으로, 기판 프로세싱 구역 (R3) 으로의 과잉 반응물질들의 탈출은 최소화될 수도 있다. 분할기 (D1 내지 D3) 길이, 분할기 폭들 (L1 내지 L3), 및 분할기 갭들 (W0 내지 W2) 을 조절함으로써, 반응물질 가스 체류 시간들이 조절될 수 있다.
도 4c는 주입 헤드 (400) 를 통한 플로우 패턴들을 예시한다. 또한 도 4c에서 각각의 구역에서 겪는 (experienced) 압력을 주의하라. P0의 압력은 국부적으로 고압 구역 (R0) 에 존재하고, P1의 압력은 제 1 압력 강하 구역 (R1) 에 존재하고, P2의 압력은 제 2 압력 강하 구역 (R2) 에 존재하고, P3의 압력은 기판 프로세싱 구역 (R3) 에 존재한다. P0은 가장 높은 압력이고 P3은 가장 낮은 압력이다. 반응물질들은 압력 (P0) 으로 국부적으로 고압 구역 (R0) 에 전달되고, 국부적으로 고압 구역에서 재료를 에칭하도록 기판 표면 상에서 작용한다. 과잉 반응물질들 및 반응 생성물들 각각은 이어서 제 1 분할기 (D1) 아래로 그리고 제 1 압력 강하 구역 (R1) 내로 지나가고, 여기서 반응물질들 및 반응 생성물들은 진공 연결부 (403) 에 의해 진공 배출된다. 제 1 압력 강하 구역 (R1) 내에서 진공 배출되지 못한 종은 대신에 제 2 분할기 (D2) 아래로 그리고 제 2 압력 강하 구역 (R2) 내로 지나가고, 여기서 진공 배출되지 못한 종은 진공 연결부 (403) 에 의해 진공 배출된다. 매우 작은 양의 종은 제 3 분할기 (D3) 아래로 그리고 기판 프로세싱 구역 (R3) 내로 지나갈 수도 있다. 그러나, 기판 프로세싱 구역 (R3) 으로 탈출하는 이러한 종의 양은 매우 적고, 일반적으로 이온 충돌의 관점에서 문제를 제기하지 않는다. 특정한 실시예들에서, P0은 P3보다 적어도 약 1000배일 수도 있다. 일 예에서, P0은 P3보다 적어도 약 10배인, P2보다 적어도 약 10배인, P1보다 적어도 약 10배이다. 이들 및 다른 경우들에서, 압력은 인접한 구역들 사이에서 적어도 5개의 요인에 의해 강하될 수도 있다.
특정한 실시예들에서, 주입 헤드는 약 0.1 % 내지 약 50 %, 또는 약 1% 내지 약 10%의 기판의 표면적의 부분을 커버한다. 이 부분은 이온 접촉으로부터 차단된 기판의 부분 및/또는 주입 헤드의 흡입 부분(들) 및 반응물질 전달 부분에 노출된 기판의 부분을 나타낼 수도 있다. 다른 실시예들에서, 주입 헤드는 기판의 100 % 이상을 커버한다.
도 4f는 기판 면적의 100 % 이상을 커버할 수 있는 주입 헤드의 일 실시예를 나타낸다. 도 4f에 도시된 주입 헤드는 원형이지만, 주입 헤드가 기판 표면의 전부를 커버한다면 임의의 형상일 수 있다. 일부 경우들에서, 위에서 볼 때 주입 헤드의 전체 형상은 기판의 형상과 일치한다 (예를 들어, 도 4f에 도시된 바와 같이, 원형 기판에 대해 원형 주입 헤드, 정사각형 기판에 대해 정사각형 주입 헤드 등). 주입 헤드는 기판 표면 상의 이온 노출을 허용하도록 기판으로부터 이동될 수 있고, 이어서 반응물질에 기판을 노출시키도록 기판 위로 다시 이동될 수 있다. 이 이동은 선형 병진 운동 (translation) 을 통해 또는 피벗을 통해 (또는 이들의 조합을 통해) 발생할 수도 있다. 주입 헤드가 2개의 절반부들을 포함하도록 도시되지만, 이들 절반부들은 단일 통합된 주입 헤드를 형성하도록 함께 연결될 수도 있다. 주입 헤드가 절반부들 (또는 다른 부분적인 컴포넌트들) 로 분리되는 경우에, 절반부들은 도 4g에 도시된 바와 같이 개방 상태로 나뉘거나 (split) /피벗할 수도 있다. 도 4g에 나타낸 바와 같이, 주입 헤드는 2개의 절반부들로 분리되고, 절반부들 각각은 별개의 피벗 (pivot) 상에 있다. 여기서, 주입 헤드는 부분적으로 개방 위치에 도시된다. 대안적으로 주입 헤드는 임의의 수의 섹션들 및 피벗 포인트들로, 예를 들어, 3분의 1, 4분의 1 등으로 나눠질 수 있다. 이 실시예에서, 주입 헤드의 다양한 부분들은 국부적으로 고압에서 반응물질 가스를 제공하도록 기판 위에서 회전하고, 이어서 기판 표면 상의 이온 노출을 허용하도록 기판으로부터 떨어져서 회전한다. 이 예에서, 고압 구역은 한 번에 전체 기판 표면에 작용한다. 기판 표면과 반응 헤드의 실링 (ceiling) 사이의 거리는, 주입 헤드가 기판 표면 위의 작은 체적으로 반응물질들을 전달할 수 있도록 상대적으로 작게 유지된다. 과잉 반응물질들은 도 4g 내지 도 4i에 도시된 바와 같이, 기판의 주변부에서 제거된다.
도 5는 도 4a 내지 도 4c에 도시된 주입 헤드와 관련된 컴퓨터 모델링 데이터를 나타낸다. 특히, 도 5는 약 0 sccm 내지 약 1000 sccm의 플로우 레이트에 대한 주입 헤드의 각각의 구역의 압력에 관한 것이다. 데이터는 고압으로 전달된 반응물질이 N2인 것을 가정하여 모델링된다 (보다 큰 분자들은 훨씬 보다 큰 압력 강하들을 발생시킬 것임). 또한, 데이터는 W0 = 5 cm, W1 = W2 = 1 cm, L1 = L2 = L3 = 1 cm, h = 1 cm, 및 g = 1 mm임을 가정하여 모델링된다. 분자/천이 플로우는 Journal of Physics E: Scientific Instruments, Volume 4, Number 5 (1971) 의, R. A. George 등이 저술한, "A low conductance optical slit for windowless vacuum ultraviolet light sources"의 기술에 기초한 슬릿 전도 근사치들을 사용하여 계산되었다.
도 5에 모델링된 임의의 소정 플로우 레이트에 대해, 압력은 주입 헤드의 인접한 구역들 사이의 자릿수보다 큰 만큼 강하된다. 그 결과, 압력은 P0에서 약 2 Torr로부터 P3에서 0.00025 Torr로 강하하고, 이는 약 99.9875 %의 총 감소를 나타낸다. 결과들을 특징짓는 또 다른 방법은 압력이 이 예에서 약 8,000 개의 요인에 의해 강하한다는 것이다.
유사한 모델링 시뮬레이션들은 상이한 치수들을 가진 주입 헤드들에 대해 진행된다. 치수들이 압력 강하의 정도에 영향을 받지만, 각각의 경우는 인접한 구역들 사이에서 상당한 압력 감소를 나타낸다. 보다 큰 L1, L2 L3 치수들 (분할기들 (D1 내지 D3) 의 두께) 은 보다 큰 압력 강하들을 발생시킨다. 유사하게, 분할기들 (D1 내지 D3) 의 하단과 기판 표면 사이의 보다 작은 거리들 g는 보다 큰 압력 강하들을 발생시킨다. 다양한 다른 수정들이 주입 헤드에서 겪는 압력 강하의 정도에 영향을 미치도록 행해질 수도 있다.
주입 헤드에 의해 제공된 또 다른 이점은 원자층 에칭을 수행하는 능력이다. 원자층 에칭은 프로세스들 중 일 프로세스가 완전히 또는 부분적으로 자기 제한되는 (self-limiting) 복수의 통과 프로세스에서 제어된 양의 재료가 각각의 통과에서 제거되는 프로세스를 나타낸다. 원자층 제거 프로세스들은 다음의 특허들 및 특허 출원들에서 더 논의되고, 특허들 및 특허 출원들 각각은 전체가 참조로서 본 명세서에 인용된다: 미국 특허 제 8,608,973 호, 미국 특허 제 8,617,411 호, 및 PCT 특허 출원 제 PCT/US2012/046137 호. 일 실시예에서, 스캐닝 주입 헤드는 일단 헤드가 국부화된 영역으로부터 떨어져서 이동되면 연속적으로 이온 빔에 의해 제거되는 기판 표면 상의 반응 화학 물질의 국부화된 흡착을 생성한다. 제 2 실시예에서, 이온 빔은 일단 주입 헤드가 반응 표면 위에서 스캐닝되면 헤드 내의 반응화학 물질들과 반응하는 반응 표면을 생성한다.
전술된 바와 같이, 주입 헤드는 반응물질 가스들을 전달하도록 웨이퍼의 표면 위에서 이동한다. 이온들은 특정한 실시예들에 따라, 주입 헤드가 반응물질 가스들을 전달하는 동안, 웨이퍼의 표면과 활발하게 충돌할 수도 있거나 또는 충돌하지 않을 수도 있다. 로봇 암 또는 다른 이동식 기계 지지부는 웨이퍼 표면에 걸쳐 주입 헤드를 홀딩하고 이동시키도록 사용될 수도 있다. 로봇 암은 단일 차원으로 또는 2차원으로 표면에 걸쳐 주입 헤드를 이동시킬 수도 있고, 관절형 로봇 암일 수도 있다. 기판 표면에 대한 주입 헤드의 이동은 주입 헤드를 이동시킴으로써, 웨이퍼를 이동시킴으로써 (예를 들어, 회전), 또는 이러한 이동들의 조합을 통해 성취될 수도 있다. 로봇 암은 또한 예를 들어, 웨이퍼가 로딩되거나 또는 언로딩될 때, 3차원으로 주입 헤드를 이동시킬 수도 있다 (주입 헤드를 기판 지지부로부터 떨어져서 들어올림). 일부 실시예들에서, 진공 연결부 및/또는 반응물질 전달 연결부들은 로봇 암 또는 다른 기계 지지부 내에 통합된다. 다른 경우들에서, 진공 연결부 및/또는 반응물질 가스 연결부들 및 로봇 암은 분리된다. 주입 헤드와 유사하게, 로봇 암은 스퍼터 저항성 코팅으로 코팅될 수도 있다. 일부 구현예들에서, 주입 헤드를 지지하도록 사용된 로봇 암 또는 다른 스캐닝 기구는 영구적으로 반응 챔버의 일부 상에 장착된다 (예를 들어, 반응 챔버 측벽에 부착). 다른 구현예들에서, 로봇 암 또는 다른 스캐닝 기구는 암으로 하여금 웨이퍼 원주 주위에서 쉽게 이동하도록 하는 트랙 상에 장착될 수도 있다. 어느 하나의 경우에서, 로봇 암 또는 스캐닝 기구는 주입 헤드로 하여금 목표한 대로 표면에 걸쳐 이동하도록 하는 이동의 연결부들/조인트들/포인트들을 포함할 수도 있다.
특정한 실시예들에서, 주입 헤드는 예를 들어, 도 6e에 도시된 바와 같이, 길고 좁다. 다양한 경우들에서, 긴 길이의 주입 헤드는 기판의 전체 길이/직경을 연장시키고, 좁은 폭의 주입 헤드는 도시된 바와 같이, 기판 폭의 부분을 연장시킨다. 이 실시예에서, 주입 헤드는 도시된 바와 같이 각각의 통과 동안 기판을 완전히 커버하도록 주입 헤드의 긴 축에 대해 수직인 방향으로 앞뒤로 스캐닝된다 (예를 들어, 도 6e에서 주입 헤드는 좌측 및 우측을 스캐닝함). 이들 또는 다른 경우들에서, 주입 헤드는 기판 물리적 길이 이상의 길이를 가질 수도 있다 (예를 들어, 많은 경우들에서, 약 200 mm, 약 300 mm, 또는 약 450 mm 이상). 다양한 실시예들에서, 주입 헤드는 기판 길이보다 긴 약 1 cm 내지 약 10 cm인 길이를 갖는다. 주입 헤드는 약 1 cm 내지 약 15 cm, 예를 들어, 약 2 cm 내지 약 5 cm인 폭을 가질 수도 있다. 헤드의 스캐닝은 도 6e에 도시된 이동과 같은, 선형 액추에이터를 사용하여 또는 도 6g 및 도 6h에 도시된 바와 같은, 하나 이상의 피벗 포인트를 사용하여 성취될 수도 있다. 기판은 도 6f 내지 도 6i에 도시된 바와 같이, 주입 헤드 아래에서 회전될 수도 있다. 특정한 실시예들에서, 주입 헤드 폭은 예를 들어, 단일 피벗 헤드 구성에서 발생할 수도 있는 스캔 속도 또는 다른 변수들을 보상하도록 변경될 수도 있다. 가변성 폭을 갖는 주입 헤드의 일 예는 도 6h에 도시된다. 대안적으로 추가의 피벗 포인트들이 또한 사용될 수도 있다. 도 6i에 도시된 바와 같이, 2개의 피벗 헤드들의 경우에, 주입 헤드는 기판을 걸쳐 선형으로 스캐닝될 수 있다.
2개의 상이하지만 관련있는 균일성 고려 사항들이 주입 헤드에 대해 중요하다. 첫째로, 주입 헤드를 통한 반응물질 가스 전달 플럭스 (질량/단위 면적) 는 에칭 프로세스 동안 시간에 걸쳐 평균될 때 웨이퍼의 면에 걸쳐 균일해야 한다. 둘째로, 이온 소스로부터의 이온 전달 플럭스는 시간에 걸쳐 평균될 때 웨이퍼의 면에 걸쳐 균일해야 한다. 주입 헤드/로봇 암/진공 연결부가 이온 소스와 웨이퍼의 국부적 부분 사이의 시선을 차단할 때, 웨이퍼의 이러한 국부적 부분은 이온들에 의해 충격을 받지 않는다. 이와 같이, 주입 헤드, 로봇 암, 및 진공 연결부는 시간에 걸쳐 평균될 때 공간적으로 균일한 방식으로 반응물질 가스들뿐만 아니라 이온들의 전달을 제공하도록 구성될 수도 있다.
다양한 스캐닝 패턴들은 이러한 공간적으로 균일한 반응물질 가스/이온 전달을 달성하도록 기판의 표면 위로 주입 헤드를 이동시키도록 사용될 수도 있다. 도 6a 내지 도 6i는 일부 실시예들에서 사용될 수도 있는 예시적인 스캐닝 패턴들을 나타낸다. 도 6a 내지 도 6d는 주입 헤드가 기판의 표면 위에서 취할 수도 있는 다양한 트랙들을 나타낸다. 이들 스캐닝 패턴들은 주입 헤드가 총 기판 표면을 커버하도록 2차원으로의 이동을 수반하는 기하학적 구조를 갖는 장소와 특히 관련될 수도 있다. 일부 경우들에서, X-Y 스테이지는 기판 위로 주입 헤드를 이동시키도록 사용될 수도 있다. 도 6e 및 도 6f는 다양한 실시예들에서 기판의 표면 위의 주입 헤드의 이동 및 길어진 스캐닝 주입 헤드들을 도시한다. 이들 경우들에서 주입 헤드들이 적어도 기판 직경만큼 길기 때문에, 기판 위의 이동은 상대적으로 단순하다.
도 6a는 나선형 스캐닝 패턴을 도시하고, 도 6b 및 도 6c는 선 단위 (line-by-line) 선형 패턴들을 도시하고, 도 6d는 방사상 패턴을 도시한다. 다른 패턴들도 또한 사용될 수도 있다. 일부 경우들에서, 패턴은 국부적으로 고압 구역이 웨이퍼에 모두 도달하거나 또는 실질적으로 모두 도달하도록 구성된다. 국부적으로 고압 구역의 일부 및/또는 압력 강하 구역들의 일부는 도 6b에 도시된 바와 같이, 특정한 패턴들로 웨이퍼의 에지에 걸쳐 교차될 수도 있다. 다른 경우들에서, 패턴은 도 6a에 도시된 바와 같이, 모든 시간들에서 웨이퍼의 에지 내에 완전히 국부적으로 고압 구역 및/또는 압력 강하 구역들을 배치하도록 설계될 수도 있다. 주입 헤드는 직선들, 커브들, 나선들 등으로 이동할 수도 있다. 주입 헤드는 도 6d에 도시된 바와 같이, 웨이퍼의 반경을 따라 이동할 수도 있다. 일부 실시예들에서, 궤도 이동들이 사용된다. 도 6e에서, 위에서 볼 때 직사각형/슬릿 형상의 단면을 가진 길어진 주입 헤드는 연장 길이에 대해 수직인 방향으로 앞뒤로 스캐닝된다. 도 6f에서, 길어진 주입 헤드는 연장 길이에 대해 수직인 방향으로 앞뒤로 스캐닝되고 기판은 회전된다. 도 6g 및 도 6h에서, 길어진 주입 헤드는 기판 회전이 있거나 없는 상태에서, 기판 위에서 앞뒤로 스캐닝하도록 고정된 피벗 포인트를 중심으로 피벗된다. 도 6i에서, 2개의 피벗 포인트들의 사용은 주입 헤드로 하여금 전용 선형 액추에이터를 사용하지 않고서 기판 표면에 걸쳐 선형으로 스캐닝하도록 한다.
일부 경우들에서, 시간에 걸쳐 평균될 때 공간적으로 불균일한 방식으로 반응물질들을 전달하는 것이 유익할 수도 있다. 공간적으로 불균일한 반응물질 전달은 프로세스에서 발생하는 다른 공간적 불균일성들을 방지하도록 사용될 수도 있다. 예를 들어, 공간적으로 균일한 반응물질 전달이 기판의 중앙을 오버에칭 (over-etching) 하고 기판의 에지들을 언더에칭 (under-etching) 하는 것을 발생시킨다면, 프로세스의 균형을 맞추고 공간적으로 균일한 결과들을 제공하도록 추가의 에천트 가스 또는 다른 프로세스 가스는 중앙과 비교할 때 기판의 에지들에 제공될 수도 있다. 이온 빔 또는 프로세싱 툴의 불균일성들과 같은 관련 문제들은 불균일한 반응물질 전달을 사용하여 유사하게 보상될 수도 있다 (예를 들어, 기판의 상이한 부분들에 걸쳐 및/또는 에칭 프로세스의 상이한 부분들 동안 에천트 레이트/플로우/압력/스캐닝 속도 등을 조절함으로써). 또한, 불균일한 반응물질 전달 및 불균일한 에칭 결과들은 다른 프로세스들로부터 발생하는 이전의 공간적 불균일성들을 보상하는데 있어서 유익할 수도 있다. 예를 들어, 이전의 프로세싱 단계는 마스크 폭의 변동 (라인 폭 에러) 또는 막 두께의 변동과 같은 시스템 에러 (또는 이러한 에러가 사전 측정되고 정량화된다면 비시스템 에러) 를 도입할 수도 있다. 이러한 에러/공간적 불균일성이 알려지는 경우에, 에칭 프로세스는 불균일성을 보상하도록 구성될 수 있다.
불균일한 반응물질 전달 및 불균일한 에칭이 유익할 수도 있는 또 다른 이유는 프로세스 개발 및 튜닝과 관련된다. 예를 들어, 불균일한 반응물질 전달은 단일 기판 상의 복수의 실험들을 수행하도록 사용될 수도 있다. 반응물질 전달 조건들은 기판의 상이한 부분들 상에서 독립적으로 조정될 수도 있고 (예를 들어, 반응물질들을 상이한 플로우 레이트들 및/또는 상이한 압력들 및/또는 상이한 스캐닝 속도들로 웨이퍼의 상이한 부분들에 전달하는 것), 그리고 결과들이 관찰되고 비교될 수도 있다. 이 기법은 다양한 반응 조건들을 테스트하는데 필요한 기판들의 수를 감소시킬 수도 있다.
불균일한 반응물질 전달 및 불균일한 에칭으로부터 발생할 수도 있는 추가의 이점은 에칭 동안 특정한 피처들/형상들을 형성하는 것과 관련된다. 예를 들어, 각각의 측면 상에서 상이한 프로파일 (예를 들어, 제 1 측면 상의 수직 프로파일 및 다른 측면 상의 경사진 프로파일) 을 갖는 라인을 에칭하는 것이 바람직할 수도 있다. 이 에칭 형상을 성취하기 위해서, 반응물질의 가변성 플로우 레이트가 사용될 수도 있다. 제 1 플로우 레이트는 기판이 제 1 방향으로 경사지는 동안 사용될 수도 있고 제 2 플로우 레이트는 기판이 제 2 방향으로 경사지는 동안 사용될 수도 있다 (예를 들어, 제 2 방향은 제 1 방향과 반대일 수도 있음). 이 비대칭적 에칭 기법은 불균일한 프로파일들을 갖는 피처들을 에칭하도록 사용될 수도 있다.
주입 헤드가 이동하는 선형 속도는 약 0 cm/s 내지 약 500 cm/s, 예를 들어, 약 1 cm/sec 내지 약 100 cm/sec, 또는 약 5 cm/sec 내지 약 100 cm/sec일 수도 있다. 주입 헤드의 상이한 부분들이 상이한 속도들로 이동하는 경우에 (예를 들어, 도 6g 내지 도 6h에 도시된 실시예들에서), 상기에 나열된 속도들은 주입 헤드의 가장 빠른 이동부에 대응할 수도 있다. 주입 헤드는 약 0.5 초 내지 약 10 초의 시간 기간 내에 적어도 1회 웨이퍼의 전체 표면에 걸쳐 스캔할 수도 있다. 기판 회전 레이트는 약 0 RPM 내지 약 500 RPM, 예를 들어, 약 0 RPM 내지 약 10 RPM일 수도 있다. 일부 경우들에서, 선형 속도 또는 각속도는 일정하지만, 다른 경우들에서 속도는 가변적이다. 가변 속도는 시간에 걸쳐 공간적 균일성을 제공하는 패턴들을 설계하는데 있어서 도움을 줄 수도 있다. 예를 들어, 주입 헤드가 웨이퍼의 특정 부분들을 보다 자주 커버하는 패턴들에서 (예를 들어, 도 6d에서, 기판의 중심은 웨이퍼의 각각의 외부 부분보다 보다 자주 주입 헤드에 의해 영향을 받음), 주입 헤드는 다른 부분들보다 큰 속도로 이러한 고주파수 부분들에 걸쳐 스캐닝할 수도 있다. 이 방식으로, 보다 적은 재료가 각각의 예에서 기판의 부분에 전달되고, 총 가스 전달이 전반적으로 보다 공간적으로 균일하다. 일부 실시예들에서, 반응물질 전달 레이트는 기판 표면 상의 위치의 함수로서 변한다. 예를 들어, 보다 높은 전달 레이트는 헤드가 가장 빠르게 움직이는 구역들에서 채용될 수도 있다. 또 다른 예에서, 반응물질 전달 균일성은 일정한 선형 속도 및/또는 각속도로 주입 헤드를 이동시킴으로써 그리고 주입 헤드가 주입 헤드에 의해 보다 덜 자주 커버되는 웨이퍼의 부분들 상에 작용할 때 반응물질 전달의 보다 높은 레이트를 사용함으로써 성취된다 (예를 들어, 주입 헤드가 기판이 회전하는 동안 기판의 반경을 따라 내측으로 그리고 외측으로 이동한다면, 제어기는 중심 영역이 임의의 소정 에지 영역보다 빈번하게 접촉되기 때문에, 기판의 에지를 향해 보다 높고 기판의 중심을 향해 보다 낮은 진동 전달 레이트를 사용할 수도 있다.
길어진 주입 헤드가 사용되는 특정한 경우들에서 (예를 들어, 도 6e 내지 도 6i), 헤드의 길이는 존들 (zone)/세그먼트들로 분할될 수도 있다. 각각의 존은 독립적인 반응물질 공급 압력 또는 흡입 컨덕턴스를 가질 수도 있고 이로써 기판 표면에 걸쳐 반응물질의 압력 및 반응물질의 양의 제어를 허용한다. 구역들 사이의 플로우 레이트, 압력 및 컨덕턴스 차이의 조정은 정적이거나 동적일 수도 있다. 동적 조절의 경우에, 존 각각은 예를 들어, 독립적인 질량 유량 제어기들, 가변성 오리피스들을 통해 독립적인 반응물질 공급 제어를 가질 수도 있다. 대안적으로 또는 추가로, 존 각각은 예를 들어, 버터플라이 밸브들의 세트를 통해 독립적인 진공 펌핑을 가질 수도 있다. 특정한 실시예들에서, 길어진 주입 헤드의 길이를 따른 존들은 독립적인 진공 펌핑 및 반응물질 주입 능력 둘 다를 갖는다. 도 4d는 복수의 독립적으로 제어 가능한 가스 주입기들 (477) 을 갖는 주입 헤드 (450) 의 평면도 및 측면도를 도시하고, 가스 주입기들 각각은 독립적으로 제어 가능한 가스 전달 라인 (478) 에 의해 공급된다. 예시의 용이성을 위해서, 가스 전달 라인들 (478) 은 평면도에 도시되지 않는다. 도시되지 않았지만, 가스 전달 라인들 (478) 은 주입 헤드 (450) 와 통합될 수도 있는 하우징에 의해 커버될 수도 있다. 도 4e는 독립적으로 제어 가능한 진공 구역들 (461) 을 갖는 주입 헤드 (460) 의 평면도 및 측면도를 도시한다. 진공 구역들 (461) 각각 내의 진공 압력은 독립적으로 제어될 수도 있다. 다양한 진공 구역들 (461) 은 일부 경우들에서, 도 4a 내지 도 4c에 대해 상기에 나열된 임의의 분할기 치수들을 가질 수도 있는, 분할기들 (462) 에 의해 분할된다.
따라서 주입 헤드는 고정된 기판의 표면에 걸쳐 이동하는 모바일 주입 헤드로서 더 기술되지만, 다른 설계들도 가능하다. 예를 들어, 일부 실시예들에서, 웨이퍼는 주입 헤드 아래에서 이동한다. 이러한 웨이퍼 이동은 주입 헤드의 이동 대신이거나 또는 주입 헤드의 이동에 추가될 수도 있다. 이러한 경우들에서, 기판 지지 기구는 웨이퍼를 회전 및/또는 이송하도록 구성될 수도 있다. 웨이퍼는 약 0 RPM 내지 약 200 RPM의 속도로 회전할 수도 있다. 궤도 프로세싱은 주입 헤드와 기판 둘 다의 공동의 움직임을 사용하여 성취될 수도 있다.
일부 프로세스들에서, 국부적으로 고압으로 하나 이상의 가스 반응물질을 전달하는 것이 유익할 수도 있다. 복수의 상이한 기법들이 하나 이상의 반응물질을 전달하도록 사용될 수도 있다. 일 예에서, 주입 헤드는 (예를 들어, 도 4a에 대해) 상기에 기술된 바와 같이 구성되고, 그리고 2개 이상의 반응물질들은 2개 이상의 반응물질들이 국부적으로 고압 구역에 전달되기 전 또는 전달될 때 혼합된다. 또 다른 예에서, 복수의 주입 헤드들은 개별 반응물질 각각을 전달하도록 제공된다. 반응물질 가스들이 서로 유해하게 반응하도록 예상되거나 또는 반응물질들이 연속 방식으로 전달되는 것이 목표되는, 복수의 주입 헤드 실시예가 특히 유용하다. 또 다른 예에서, 단일의 수정된 주입 헤드가 각각의 반응물질을 별도로 제공하도록 사용된다.
수정된 주입 헤드는 다양한 형태들을 취할 수도 있다. 도 7a는 복수의 반응물질들을 별도로 전달하도록 사용될 수도 있는 주입 헤드 (700) 의 일 예를 나타낸다. 도 7a의 주입 헤드 (700) 는 도 4a의 주입 헤드 (400) 와 유사하다. 그러나, 도 7a의 주입 헤드 (700) 는 웨이퍼 (701) 에 반응물질 A 및 반응물질 B를 별도로 전달하기 위해 2개의 유입부들 (702A 및 702B) 을 포함한다. 2개의 유입부들 (702A 및 702B) 은 국부적으로 고압 구역을 2개의 국부적으로 고압 구역들 (R0A R0B) 로 절단하는, 분할기 (D4) 에 의해 분리된다. 반응물질 A는 제 1 국부적으로 고압 구역 (R0A) 에 고압으로 전달되고, 반응물질 B는 제 2 국부적으로 고압 구역 (R0B) 에 고압으로 전달된다. 도시된 바와 같이, 각각의 국부적으로 고압 구역 (R0A R0B) 은 동일한 양의 영역 노출된 기판 표면을 가질 수도 있다. 그러나, 분할기 (D4) 는 기판에 걸쳐 상이한 반응물질 영역들을 허용하도록 중심을 벗어나 (off-center) 배치될 수도 있다 (즉, R0A R0B는 상이한 크기들을 가질 수도 있음). 일 실시예에서, 국부적으로 고압 구역들 (R0A R0B) 은 위에서 볼 때 실질적으로 반원형 단면들을 갖고, 압력 강하 구역들 (R1 내지 R2) 은 위에서 볼 때 실질적으로 환형 단면들을 갖는다. 또 다른 실시예에서, R0A, R0B, 및 R1 내지 R2 각각은 길어진 단면들, 예를 들어, 위에서 볼 때 실질적으로 직사각형 단면들을 갖는다. 이러한 경우에서, 다양한 구역들은 도 7a에서 페이지 내외로 연장될 수도 있다. 이것이 사실이라면, 반응물질 전달 라인들 및 진공 연결부가 주입 헤드의 다양한 길어진 단면들과 일렬로 있도록, 반응물질 전달 라인들 및 진공 연결부를 설계하는 것이 유익할 수도 있다. 이러한 설계에서, 진공 펌프로 향하는 화살표는 도시된 바와 같이 우측으로라기 보다는, 주입 헤드의 길이를 따라, 페이지의 내외로 연장될 수도 있다.
반응물질 A 및 반응물질 B는 동일한 국부적으로 고압으로 또는 상이한 압력들로 제공될 수도 있다. 과잉 반응물질들은 압력 강하 구역들 (R1 및 R2) 에서 제거된다. 또 다른 실시예에서, 반응물질 B는 반응물질 A의 반응물질 전달 구역을 실질적으로 둘러싸는 반응물질 전달 구역을 통해 제공된다. 예를 들어, 반응물질 B의 반응물질 전달 구역은 반응물질 A의 반응물질 전달 구역을 완전히 둘러쌀 수도 있다.
반응물질들 (A 및 B) 이 별도로 제공되는 동안, 반응물질들 (A 및 B) 은 압력 강하 구역들 (R1 및 R2) 에서 일정 정도로 서로 혼합될 수도 있다. 혼합은 압력 강하 구역들을 상이한 각이진 부분들로 분할함으로써 최소화될 수도 있다. 예를 들어, 압력 강하 구역들 각각은 2개의 서브-구역들, 제 1 국부적으로 고압 존 (R0A) 과 근접한 제 1 서브-구역 및 제 2 국부적으로 고압 존 (R0B) 과 근접한 제 2 서브-구역으로 분할될 수도 있다. 제 1 서브-구역은 주로 과잉 반응물질 A를 제거할 수도 있고 제 2 서브-구역은 주로 과잉 반응물질 B를 제거할 수도 있다. 물론, 추가의 각이진 구별된 서브-구역들이 반응물질들의 혼합을 더 최소화하도록 사용될 수도 있다. 진공 연결부 (703) 내의 반응물질들의 혼합이 문제가 있다면, 분리된 진공 연결부들은 장치의 각각의 구별된 부분에 연결되도록 제공될 수도 있다. 이 실시예는 단순하게 유입부들을 분리하는 분할기 및 유입부들의 형상을 변경함으로써, 상이한 압력들로 임의의 수의 반응물질들을 별도로 제공하도록 수정될 수도 있다. 일 예에서, 분할기 (D4) 는 위에서 볼 때 십자가 형상의 단면을 갖고, 십자가의 사분면 각각은 4개의 상이한 반응물질들 중 하나의 반응물질을 제공하기 위한 유입부로서 구성된다.
도 7b는 2개의 상이한 반응물질들 (A 및 B) 을 별도로 전달하도록 사용될 수도 있는 주입 헤드 (710) 의 추가의 실시예를 나타낸다. 도 7a의 실시예와 유사하게, 고압 구역은 반응 물질 A를 전달하기 위한 제 1 국부적으로 고압 구역 (R0A), 및 반응물질 B를 전달하기 위한 제 2 국부적으로 고압 구역 (R0B) 으로 나뉜다. 그러나, 도 7b는 2개의 국부적으로 고압 구역들 (R0A R0B) 을 분리하는 추가의 중앙 반응물질 제거 구역 (RV) 을 포함한다. 웨이퍼 근처에서, 중앙 반응물질 제거 구역 (RV) 은 일측에서 분할기 (D5) 그리고 다른 측에서 분할기 (D6) 에 인접한다 (따라서, 이 예에서, 기판 근처의 RV의 부분은 위에서 볼 때 직사각형 단면을 가짐). 중앙 반응물질 제거 구역 (RV) 은 반응물질들이 기판 (701) 의 표면 상에 활발하게 흡착되거나 또는 다른 방식으로 기판 (701) 의 표면과 접촉할 때 반응물질들의 혼합을 방지하는 것을 돕고 과잉 반응물질들을 제거하도록 연결부 (711) 를 통해 진공 펌프와 연결된다. 이 실시예는 임의의 수의 반응물질들을 제공하도록 수정될 수도 있다. 게다가, 중앙 반응물질 제거 구역 (RV) 은 반응물질들이 가스 (비흡착된) 상태로 혼합될 수 있는 가능성을 더 최소화하도록 추가의 구역들로 분할될 수도 있다. 도 7a의 실시예와 유사하게, 도 7b의 실시예의 주입 헤드는 위에서 볼 때 실질적으로 원형 단면 또는, 길어진, 실질적으로 직사각형 단면을 가질 수도 있다. 주입 헤드가 실질적으로 원형인 경우에, 압력 강하 구역들 (R1 및 R2) 은 위에서 볼 때 환형 형상일 수도 있다. 주입 헤드가 길어진 경우에, 압력 강하 구역들 (R1 및 R2) 은 또한 길어질 수도 있고, 전체 주입 헤드의 길이를 따라 연장하며, R1 구역들은 국부적으로 고압 구역들 (R0A R0B) 의 총 길이와 인접하고 R2 구역들은 R1 구역들의 총 길이와 인접하다.
상기에 언급한 바와 같이, 2개 이상의 반응물질들을 별도로 제공하는 또 다른 방법은 2개 이상의 주입 헤드들을 사용하는 것이다. 2개 이상의 주입 헤드들은 완전히 분리될 수도 있거나 또는 2개 이상의 주입 헤드들은 진공 연결부, 로봇 암 등과 같은 하나 이상의 컴포넌트들을 공유할 수도 있다. 또한, 2개 이상의 주입 헤드들은 그곳이 주입 헤드들 둘 다가 동일한 반응물질 가스를 전달하는 곳이라도, 웨이퍼의 상이한 부분들에 반응물질들을 별도로 제공하도록 사용될 수도 있다.
개시된 실시예들은 기판의 표면에 국부적으로 고압으로 임의의 가스 상 반응물질을 전달하기 위해 사용될 수도 있다. 일부 실시예들에서, 주입 헤드에 의해 전달된 가스 상 반응물질은 산화제들, 할로겐화제들, 환원제들, 착화제들, 산들, 염기들, 알콜들, 케톤들, 알데하이드들, 또는 에스테르들 또는 이들의 임의의 조합 중 하나 이상을 포함한다. 예들은 이로 제한되지 않지만: H2O, H2O2, NO2, NO, N2O, CF4, C2F6, CHF3, SF6, HF, HCl, HI, HNO3, Cl2, CClF3, CCl2F2, HBr, Br2, F2, H2, NH3, 메탄올, 에탄올, 이소프로판올, 아세트산, 포름산, 카르복시산, 아세톤, 메틸에틸 케톤, 아세틸 아세톤 (acac), 하이드로플루오로 아세톤 (hfac), 포름알데히드, 및 부틸 아세테이트 및 이들의 임의 조합을 포함한다. 또한, 임의의 이온들의 소스 및 유형이 사용될 수도 있다. 이온들은 비활성, 반응성, 비반응성 또는 비활성 반응성 및 비반응성 이온들의 조합일 수도 있다. 예시적인 비활성 이온들은 아르곤, 헬륨, 네온, 크립톤, 제논 등과 같은 희가스들을 포함한다. 예시적인 반응성 이온들은 질소, 수소, 산소, 불소, 브롬, 요오드, 황 등을 포함한다. 비반응성 이온들의 예는: 질소, 실리콘, 탄소, 게르마늄, 붕소, 및 알루미늄을 포함한다. 비활성 이온들은 예를 들어, MRAM 및 FeRAM 디바이스들을 제조하는데 수반된 프로세스들에서, 비휘발성 재료들을 에칭하는데 있어서 특히 적합할 수도 있다. 한편, 반응성 이온들은 로직 및 메모리 디바이스들을 제조하기 위한 프로세스들을 수반할 수도 있는, 반도체 재료들을 에칭하는데 있어서 특히 적합할 수도 있다.
일부 실시예들에서, 이온들을 생성하도록 사용된 가스의 플로우는 약 0.1 sccm 내지 약 1000 sccm이다. 이들 또는 다른 실시예들에서, 주입 헤드를 통한 반응물질 가스의 플로우는 약 0.1 sccm 내지 약 5000 sccm, 예를 들어, 약 10 sccm 내지 약 500 sccm이다. 반응물질들은 주입 헤드의 국부적으로 고압 구역에 약 0.1 Torr 내지 약 100 Torr, 예를 들어, 일부 경우들에서 약 1 Torr 내지 약 50 Torr의 압력으로 제공될 수도 있다. 도 2a 내지 도 2c에 대해 상기에 관련된 예에서, 산소 이온들이 금속 표면을 산화시키도록 작용하고 반응 가스 (예를 들어, 아세트산) 가 산화된 금속을 제거하도록 사용되고, 아세트산의 플로우 레이트가 약 10 sccm 내지 약 500 sccm이고, 그리고 산소 이온 전류 밀도가 약 0.1 mA/cm2 내지 20 mA/cm2일 수도 있다.
일부 실시예들에서, 주입 헤드는 가열 또는 냉각될 수도 있다. 가열된 주입기 헤드들은 기판 상의 표면 반응에 영향을 미치도록 일부 열 에너지를 제공하기 위해 또는 반응물질 증기들을 주입하기 위해 (반응물질의 응결을 방지하도록) 요구될 수도 있다. 다른 실시예들에서, 주입기 헤드는 기판 상의 반응물질의 표면 흡착을 촉진하도록 냉각될 수도 있다.
주입 헤드는 선택적으로 하나 이상의 진단 엘리먼트들 또는 헤드 내에 통합되거나 또는 헤드에 연결된 엔드 포인트 검출기들을 포함할 수도 있다. 검출기들 또는 진단 엘리먼트들은 국부적으로 고압 존에, 하나 이상의 중압 존들에, 진공 배기 구역에, 또는 헤드와 인접하지만 외부에 배치될 수도 있다. 진단 또는 엔드 포인트 검출기들은: 잔여 가스 분석, FTIR 분광계들, 타원 편광 반사법 (ellipsometry), 소광 계수 측정, 또는 다른 광학 막 두께 측정 디바이스, 원자 흡착 분광계, 광 방사 분광계, 이온 유도 발광 분광계들, 패러데이 컵들, 간섭계들, 수정 진동자 저울 (quartz crystal microbalances), AFM 프로브들, 자기장 센서들, 와상 전류 센서들, 유전체-공진기들 또는 다른 비접촉 시트 저항 센서들을 포함할 수 있다.
실시예들이 반응성 이온 빔 에칭 프로세스의 맥락에서 기술되었지만, 실시예들은 이렇게 제한되지 않는다. 개시된 주입 헤드가 총 압력 (주입 헤드 외부에서) 이 낮게 유지되는 것이 목표되는 국소적 방식으로 표면에 하나 이상의 고압 반응물질들을 전달하는 것을 수반하는 임의의 응용에 있어서 유리할 것임이 예상된다.
개시된 실시예들을 수행하기 위해 사용되는 장치는 자주 에칭 프로세스를 제어하도록 프로그래밍을 갖는 시스템 제어기를 포함한다. 제어기는 대용량 저장 디바이스에 저장될 수도 있고, 메모리 디바이스 내에 로딩될 수도 있고 그리고 프로세서 상에서 실행될 수도 있는, 시스템 제어 소프트웨어를 실행할 수도 있다. 소프트웨어는 일부 경우들에서 네트워크를 통해 이송될 수도 있다. 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 실행하는데 필수적인 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 소프트웨어는 본 명세서에서 논의된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기는 또한 제어기와 연관된 메모리 디바이스 또는 대용량 저장 디바이스 상에 저장될 수도 있는, 다른 컴퓨터 소프트웨어 및/또는 프로그램들과 연관될 수도 있다. 이 목적을 위한 프로그램들의 예들 또는 프로그램들의 섹션들은 기판 포지셔닝 프로그램, 플라즈마 가스 제어 프로그램, 반응물질 가스 제어 프로그램, 압력 제어 프로그램, 온도 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 기판 지지부 상에 기판을 로딩 및 언로딩하도록 그리고 주입 헤드와 같은 프로세싱 장치의 다른 부분들과 기판 사이의 공간을 제어하도록 사용되는 프로세스 툴 컴포넌트들을 위한 코드를 포함할 수도 있다. 플라즈마 가스 제어 프로그램은 이온들이 추출되는 플라즈마를 생성하도록 사용된 가스(들)의 플로우 레이트들 및 조성을 제어하기 위한 코드를 포함할 수도 있다. 반응물질 가스 제어 프로그램은 반응물질 가스들이 주입 헤드를 통해 (또는 장치의 다른 부분들로/장치의 다른 부분들을 통해) 전달되는 조성, 플로우 레이트, 및 압력을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 개별 반응물질들이 전달되는 압력, 반응물질들이 제거되는 압력, 및 기판 프로세싱 구역이 유지되는 압력을 제어하기 위한 코드를 포함할 수도 있다. 온도 제어 프로그램은 특정한 온도로 기판, 기판 지지부, 및/또는 기판 프로세싱 구역을 유지하도록 사용된 가열 및/또는 냉각 장비를 제어하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 특정한 전력들 및 주파수들로 플라즈마를 생성하기 위한 코드를 포함할 수도 있다.
시스템 제어 소프트웨어는 본 명세서에 개시된 플로우 레이트들 및/또는 압력들로 반응물질들을 전달하기 위한 인스트럭션들을 포함할 수도 있다. 이러한 인스트럭션들은 (이온들이 추출되는) 플라즈마를 생성하도록 사용된 가스의 전달과 관련될 수도 있거나 또는 이러한 인스트럭션들은 하나 이상의 주입 헤드들을 통한 하나 이상의 가스들의 전달과 관련될 수도 있다. 시스템 제어 소프트웨어는 또한 특정한 진공 압력에서 과잉 반응물질들을 제거하기 위한 인스트럭션들을 포함할 수도 있다. 또한, 시스템 제어 소프트웨어는 기판에 대한 주입 헤드의 이동과 관련된 인스트럭션들을 포함할 수도 있다. 예를 들어, 인스트럭션들은 주입 헤드를 이동시키는 것, 기판을 이동시키는 것, 또는 둘 다와 관련될 수도 있다. 다양한 경우들에서, 시스템 제어 소프트웨어는 시간에 걸쳐 평균될 때 공간적으로 균일한 방식으로 반응물질들을 전달하는 방식으로 기판에 대해 주입 헤드를 이동시키기 위한 인스트럭션들을 포함한다. 인스트럭션들은 또한 기판을 로딩하고 언로딩하는데 필요한 임의의 동작들과 관련될 수도 있다.
시스템 제어 소프트웨어는 특정한 압력, 예를 들어, 본 명세서에 나열된 임의의 낮은 압력들로 기판 프로세싱 구역을 유지하기 위한 인스트럭션들을 더 포함할 수도 있다. 시스템 제어 소프트웨어는 또한 통상적으로 에칭 프로세스의 타이밍을 제어하기 위한 인스트럭션들을 포함한다. 많은 경우들에서, 제어기는 또한 전극들 각각에 인가된 바이어스를 제어한다. 이와 같이, 시스템 제어 소프트웨어는 제 1 바이어스를 추출 전극에 인가하기 위한 인스트럭션들, 제 2 바이어스를 포커스 전극에 인가하기 위한 인스트럭션들, 및 제 3 바이어스 (또는 접지 상태들) 를 보다 낮은 전극 및 기판/기판 지지부에 인가하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 인스트럭션들은 가열 또는 냉각을 통해 특정한 온도로 기판 및/또는 기판 프로세싱 구역을 유지하는 것을 더 포함한다.
셔터가 이온 플럭스를 조절하도록 사용되는 경우에, 시스템 제어 소프트웨어는 목표된 시간들에 셔터를 개폐함으로써 이온들을 조절하기 위한 인스트럭션들을 포함할 수도 있다. 특정한 실시예에서, 소프트웨어는 주입 헤드가 표면 상에 활발하게 존재하지 않을 때에만 셔터들을 개방하기 위한 (이로써 이온들로 하여금 웨이퍼 표면 상에서 충돌하게 함) 인스트럭션들을 포함한다. 관련된 실시예에서, 소프트웨어는 특정한 셔터들을 개방 상태로 그리고 특정한 셔터들을 폐쇄된 상태로 유지하기 위한 인스트럭션들을 포함하고, 폐쇄된 셔터들은 다른 방식으로 이온들로 하여금 주입 헤드 상에서 충돌하게 하는 셔터들이고, 개방 셔터들은 이온들로 하여금 웨이퍼 표면 상에 직접 충돌하게 하는 셔터들이다 (즉, 이온들은 주입 헤드가 아닌 기판 표면과 부딪히도록 허용됨).
플라즈마 생성에 대해, 시스템 제어 소프트웨어는 특정한 플로우 레이트, 온도, 및/또는 압력으로 플라즈마 생성 가스를 제공하기 위한 인스트럭션들을 포함할 수도 있다. 인스트럭션들은 플라즈마를 생성하도록 사용된 전력 (예를 들어, RF 전력) 의 양, 및 이러한 전력이 전달되는 부분에서의 주파수와 더 관련될 수도 있다.
일부 실시예들에서, 사용자 인터페이스는 시스템 제어기와 연관될 수도 있고, 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
많은 실시예들에서, 시스템 제어기는 다른 프로세스 파라미터들을 조정하도록 사용된다. 이러한 파라미터들은 이로 제한되지 않지만, 반응물질 가스 조성, 플로우 레이트들, 및 압력, 플라즈마 생성 가스 조성, 플로우 레이트들, 및 압력, 기판 프로세싱 구역의 압력, 개별 전극들에 인가된 바이어스, 온도, 플라즈마 조건들 (예를 들어, 주파수 및 전력), 웨이퍼의 위치 및/또는 주입 헤드의 위치 등을 포함할 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 제어기의 아날로그 및 디지털 출력 연결부들 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적 예들은 질량 유량 제어기들, 압력 센서들, 써모커플들 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. 특정한 실시예들에서, 거리 센서는 기판과 주입 헤드 사이의 거리를 제어하기 위한 피드백을 제공하도록 사용될 수도 있다.
상기에 기술된 다양한 하드웨어 및 방법 실시예들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 필수적인 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 시설에서 함께 사용 또는 실시될 것이다.
막 리소그래피 패터닝은 통상적으로 다음의 단계들 중 일부 또는 전부를 포함하고, 각각의 단계는 복수의 가능한 툴들을 사용하여 가능하게 된다: (1) 스핀 온 또는 스프레이 온 툴을 사용하여, 워크피스, 예를 들어, 기판 상에 형성된 실리콘 나이트라이드 막을 갖는 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 노 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 또는 스프레이 디벨랍퍼 (developer) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 레지스트를 현상하는 단계, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스로 레지스트 패턴을 전사하는 단계, (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거하는 단계. 일부 실시예들에서, 애시가능 (ashable) (비정질 탄소층과 같은) 하드마스크층 및 (반사방지층과 같은) 또 다른 적합한 하드마스크가 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에 기술된 구성들 및/또는 방법들은 본질적으로 예시적이고, 수많은 변형들이 가능하기 때문에, 이들 특정한 실시예들 또는 예들은 제한적인 의미로 간주되지 않는다는 것이 이해된다. 본 명세서에 기술된 특정한 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들은 예시된 시퀀스로, 다른 시퀀스들로, 병렬로 수행될 수도 있고 또는 일부 경우들에선 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변경될 수도 있다.
본 개시물의 주제는 모든 신규하고 불분명한 조합들 및 다양한 프로세스들의 서브 조합들, 시스템들 및 구성들, 및 다른 특징들, 기능들, 동작들, 및/또는 본 명세서에 개시된 특성들, 뿐만 아니라 임의의 그리고 모든 이들의 등가물들을 포함한다.

Claims (45)

  1. 반도체 기판으로부터 재료를 제거하기 위한 장치에 있어서,
    반응 챔버;
    상기 반응 챔버 내에서 상기 기판을 지지하기 위한 기판 지지부;
    이온들을 상기 기판 지지부를 향해 전달하도록 구성된 이온 또는 플라즈마 소스;
    상기 기판이 상기 기판 지지부 상에 배치될 때 상기 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드로서, 상기 주입 헤드는 (i) 반응물질 전달 도관의 반응물질 유출 구역, 및 (ii) 진공 도관에 커플링된 흡입 구역을 포함하는 기판-대향 구역 (substrate-facing region) 을 포함하고, 상기 이온 또는 플라즈마 소스는 상기 이온 또는 플라즈마 소스로부터의 이온들이 상기 주입 헤드의 상부 표면 상에 하향으로 충돌하도록 상기 주입 헤드 위에 배치되는, 상기 주입 헤드; 및
    상기 주입 헤드 또는 상기 기판 지지부를 서로에 대해 이동시키기 위한 이동 기구 (mechanism) 를 포함하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 기판-대향 구역은 상기 반응물질 전달 도관의 말단 (terminus) 및 상기 진공 도관의 말단을 포함하고, 그리고 상기 말단들은 실질적으로 동일 평면 상에 있는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  3. 제 1 항에 있어서,
    상기 기판 지지부, 상기 주입 헤드, 및/또는 상기 이동 기구는 상기 기판이 상기 기판 지지부 상에 배치될 때 상기 기판의 표면과 상기 주입 헤드 사이의 이격 거리를 유지하도록 구성되고, 상기 이격 거리는 1 cm 이하인, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  4. 제 3 항에 있어서,
    상기 이격 거리는 2 mm 이하인, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  5. 제 3 항에 있어서,
    상기 이격 거리는 거리 센서로부터의 피드백을 통해 능동적으로 제어되는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  6. 제 1 항에 있어서,
    상기 흡입 구역은 실질적으로 상기 반응물질 유출 구역을 둘러싸는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    하나 이상의 진공 도관들에 커플링된 하나 이상의 추가의 흡입 구역들을 더 포함하고, 상기 추가의 흡입 구역들은 상기 흡입 구역을 실질적으로 둘러싸는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  8. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 반응물질 유출 구역의 길이는 적어도 거의 상기 장치 내에서 프로세싱될 기판의 직경 이상인, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  9. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 반응물질 유출 구역은 상기 기판 지지부와 평행한 방향으로 폭을 갖고, 상기 폭은 0.5 mm 내지 10 cm인, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  10. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 반응물질 유출 구역은 0.5 mm 내지 2 cm의 폭을 가진 분할기에 의해 상기 흡입 구역으로부터 분리되고, 상기 분할기의 상기 폭은 상기 흡입 구역으로부터 상기 반응물질 유출 구역을 분리하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  11. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 흡입 구역은 1 mm 내지 5 cm의 폭을 갖는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 주입 헤드는 상기 반응물질 전달 도관 및 상기 진공 도관을 커버하는 하우징을 더 포함하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  13. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 주입 헤드의 상부면은 스퍼터-저항성 재료 (sputter-resistant material) 로 코팅되는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  14. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 주입 헤드는 전달 전에 실질적으로 서로 혼합되지 않는 2개 이상의 별개의 반응물질들을 국부적으로 전달하도록 구성되는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  15. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    추가의 반응물질 가스들을 제공하기 위해 추가의 주입 헤드들을 더 포함하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  16. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    센서, 센서 헤드, 검출기, 또는 검출기 헤드 중 적어도 하나는 상기 주입 헤드 상에 장착되거나, 상기 주입 헤드와 인접하거나, 또는 상기 주입 헤드 내에 통합되는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  17. 제 16 항에 있어서,
    상기 센서들 및/또는 상기 검출기들 중 하나 이상은 (i) 상기 반응물질들, (ii) 하나 이상의 반응물질 부산물들, 및/또는 (iii) 상기 기판 중 적어도 하나를 모니터링하도록 구성되는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  18. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 주입 헤드 아래에서 상기 기판을 회전시키도록 구성된 회전 기구를 더 포함하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  19. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 주입 헤드는 세그먼트들로 분할되고, 상기 세그먼트들은 (i) 상이한 반응물질들, (ii) 상이한 반응물질 플로우 레이트들, 및/또는 (iii) 상이한 진공 컨덕턴스들을 수용 또는 경험하도록 (experience) 구성되는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  20. 제 19 항에 있어서,
    세그먼트 각각에 공급되거나 인가된 진공 컨덕턴스 또는 상기 반응물질의 플로우레이트를 독립적으로 제어하기 위한, 고정된 오리피스들, 가변성 오리피스들, 또는 질량 유량 제어기들 중 하나 이상을 더 포함하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  21. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 주입 헤드를 가열 및/또는 냉각하기 위한 가열 엘리먼트 (element) 및/또는 냉각 엘리먼트를 더 포함하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  22. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 주입 헤드는 포인트를 중심으로 피벗 (pivot) 함으로써 상기 기판 지지부에 평행한 평면 내에서 이동하도록 구성되고, 그리고 상기 주입 헤드의 폭은 상기 기판의 상기 표면 위로 균일한 가스 전달을 제공하기 위해 가변되는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  23. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 흡입 구역으로부터 상기 반응물질을 동시에 제거하는 동안 제 1 압력으로 상기 반응물질 유출 구역에서 상기 기판의 상기 표면으로 상기 반응물질을 전달하기 위한 인스트럭션들을 갖는 제어기를 더 포함하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  24. 제 23 항에 있어서,
    상기 제어기는 상기 기판에 대해 상기 주입 헤드를 이동시키고 또는 상기 주입 헤드에 대해 상기 기판을 이동시키기 위한 인스트럭션들을 더 갖는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  25. 반도체 기판으로부터 재료를 제거하기 위한 장치에 있어서,
    반응 챔버;
    상기 반응 챔버 내에서 상기 기판을 지지하기 위한 기판 지지부;
    이온들을 상기 기판 지지부를 향해 전달하도록 구성된 이온 또는 플라즈마 소스;
    상기 기판이 상기 기판 지지부 상에 배치될 때 상기 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드로서, 상기 주입 헤드는 (i) 반응물질 전달 도관의 반응물질 유출 구역 및 (ii) 상기 기판의 주변에서 과잉 반응물질들을 제거하도록 구성되는 진공 도관에 커플링된 흡입 구역을 포함하는, 기판-대향 구역 (substrate-facing region) 을 포함하고, 상기 이온 또는 플라즈마 소스는 상기 이온 또는 플라즈마 소스로부터의 이온들이 상기 주입 헤드의 상부 표면 상에 하향으로 충돌하도록 상기 주입 헤드 위에 배치되는, 상기 주입 헤드; 및
    상기 주입 헤드 또는 상기 기판 지지부를 서로에 대해 이동시키기 위한 이동 기구를 포함하는, 반도체 기판으로부터 재료를 제거하기 위한 장치.
  26. 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드에 있어서,
    기판-대향 구역으로서,
    (i) 제 1 반응물질 전달 도관의 제 1 반응물질 유출 구역, 및
    (ii) 제 1 진공 도관에 커플링되도록 구성된 제 1 흡입 구역을 포함하는 기판-대향 구역, 및
    상기 제 1 반응물질 전달 도관 및 상기 제 1 진공 도관을 커버하는 하우징을 포함하고, 상기 하우징은 상기 기판-대향 구역의 반대편에 배치된 상부 표면을 포함하고, 상기 상부 표면은 스퍼터-저항성 재료로 코팅되는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  27. 삭제
  28. 제 26 항에 있어서,
    상기 스퍼터-저항성 재료는 비정질 탄소를 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  29. 제 26 항에 있어서,
    상기 스퍼터-저항성 재료는 실리콘을 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  30. 제 26 항에 있어서,
    상기 스퍼터-저항성 재료는 실리콘 옥사이드를 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  31. 제 26 항에 있어서,
    상기 스퍼터-저항성 재료는 알루미늄을 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  32. 제 26 항에 있어서,
    상기 스퍼터-저항성 재료는 알루미늄 옥사이드를 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  33. 제 26 항에 있어서,
    상기 기판-대향 구역은 제 2 반응물질 전달 도관의 제 2 반응물질 유출 구역을 더 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  34. 제 33 항에 있어서,
    상기 제 1 반응물질 유출 구역은 상기 기판의 상기 표면에 제 1 반응물질을 전달하고 상기 제 2 반응물질 유출 구역은 상기 기판의 상기 표면에 제 2 반응물질을 전달하며, 상기 제 1 반응물질 및 상기 제 2 반응물질은 서로 상이한, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  35. 제 26 항 및 제 28 항 내지 제 34 항 중 어느 한 항에 있어서,
    상기 주입 헤드를 가열하는 가열기를 더 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  36. 제 26 항 및 제 28 항 내지 제 34 항 중 어느 한 항에 있어서,
    상기 주입 헤드를 냉각하는 냉각 엘리먼트를 더 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  37. 제 26 항 및 제 28 항 내지 제 34 항 중 어느 한 항에 있어서,
    상기 기판의 상기 표면에 평행한 평면 내에서 상기 주입 헤드의 상기 기판-대향 구역을 이동시키는 이동 기구를 더 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  38. 제 37 항에 있어서,
    상기 이동 기구는 상기 주입 헤드의 상기 기판-대향 구역으로 하여금 상기 평면 내에서 이동하게 하는 피벗 포인트를 포함하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  39. 제 38 항에 있어서,
    상기 주입 헤드는 길이 및 폭을 갖고, 각각은 상기 기판의 상기 표면에 평행한 평면에서 측정되고, 상기 주입 헤드의 상기 폭은 상기 주입 헤드의 상기 길이를 따라 가변하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  40. 제 26 항 및 제 28 항 내지 제 34 항 중 어느 한 항에 있어서,
    상기 주입 헤드는 길이 및 폭을 갖고, 각각은 상기 기판의 상기 표면에 평행한 평면에서 측정되고, 상기 주입 헤드의 상기 폭은 상기 주입 헤드의 상기 길이를 따라 가변하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  41. 제 26 항 및 제 28 항 내지 제 34 항 중 어느 한 항에 있어서,
    상기 제 1 흡입 구역은 실질적으로 직사각형 단면을 갖는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  42. 제 26 항 및 제 28 항 내지 제 32 항 중 어느 한 항에 있어서,
    상기 기판-대향 구역은 상기 제 1 반응물질 전달 도관 또는 제 2 반응물질 전달 도관의 제 2 반응물질 유출 구역을 더 포함하고, 상기 제 1 흡입 구역은 상기 제 1 반응물질 유출 구역 및 상기 제 2 반응물질 유출 구역 모두를 실질적으로 둘러싸는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  43. 제 26 항 및 제 28 항 내지 제 34 항 중 어느 한 항에 있어서,
    상기 기판-대향 구역은 상기 제 1 진공 도관 또는 제 2 진공 도관에 커플링되도록 구성된 제 2 흡입 구역을 더 포함하고, 상기 제 1 흡입 구역은 상기 제 1 반응물질 유출 구역을 실질적으로 둘러싸고, 그리고 상기 제 2 흡입 구역은 상기 제 1 흡입 구역을 실질적으로 둘러싸는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  44. 제 43 항에 있어서,
    상기 기판-대향 구역은 상기 제 1 진공 도관, 상기 제 2 진공 도관, 또는 제 3 진공 도관에 커플링되도록 구성된 제 3 흡입 구역을 더 포함하고, 상기 제 3 흡입 구역은 상기 제 2 흡입 구역을 실질적으로 둘러싸는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
  45. 제 26 항 및 제 28 항 내지 제 34 항 중 어느 한 항에 있어서,
    상기 주입 헤드는 좌측 부분 및 우측 부분을 포함하고 상기 좌측 부분 및 상기 우측 부분 각각은 거의 반원형인 형상을 포함하고, 상기 좌측 부분 및 상기 우측 부분 각각은 피벗 개방되고 피벗 폐쇄되도록 구성되고, 상기 좌측 부분 및 상기 우측 부분은 함께 폐쇄될 때 거의 원형인 형상을 함께 형성하는, 기판의 표면에 반응물질들을 제공하기 위한 주입 헤드.
KR1020150113464A 2014-08-12 2015-08-11 차동 펌핑된 반응 가스 주입기 KR102513666B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/458,161 2014-08-12
US14/458,161 US9837254B2 (en) 2014-08-12 2014-08-12 Differentially pumped reactive gas injector

Publications (2)

Publication Number Publication Date
KR20160019876A KR20160019876A (ko) 2016-02-22
KR102513666B1 true KR102513666B1 (ko) 2023-03-23

Family

ID=55302668

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150113464A KR102513666B1 (ko) 2014-08-12 2015-08-11 차동 펌핑된 반응 가스 주입기

Country Status (6)

Country Link
US (2) US9837254B2 (ko)
JP (1) JP6599166B2 (ko)
KR (1) KR102513666B1 (ko)
CN (2) CN109402637B (ko)
SG (1) SG10201506242SA (ko)
TW (2) TWI702307B (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6426489B2 (ja) * 2015-02-03 2018-11-21 東京エレクトロン株式会社 エッチング方法
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
WO2017209901A2 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Substrate distance monitoring
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
CN108232002B (zh) * 2016-12-14 2022-02-25 上海磁宇信息科技有限公司 一种制备磁性隧道结阵列的方法
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102383108B1 (ko) 2018-05-18 2022-04-04 삼성전자주식회사 웨이퍼 처리 장치 및 메모리 소자의 제조 방법
KR102208609B1 (ko) * 2018-12-28 2021-01-28 (주)에스테크 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN114695210B (zh) * 2022-06-02 2022-09-09 西安奕斯伟材料科技有限公司 一种用于硅片边缘刻蚀的装置和方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110214814A1 (en) * 2010-03-02 2011-09-08 Tokyo Electron Limited Plasma processing apparatus and shower head

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
JPS5432396A (en) 1977-08-17 1979-03-09 Toshiba Corp Gas sensitive element
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
JP3906686B2 (ja) * 2001-12-28 2007-04-18 三菱電機株式会社 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4233348B2 (ja) * 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
WO2005043115A2 (en) 2003-10-20 2005-05-12 Ionwerks, Inc. Ion mobility tof/maldi/ms using drift cell alternating high and low electrical field regions
US7495241B2 (en) 2004-02-26 2009-02-24 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
KR100706809B1 (ko) 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
EP1992007A4 (en) 2006-03-03 2010-05-05 Prasad Gadgil APPARATUS AND METHOD FOR THIN FILM CHEMICAL PROCESSING BY MULTIPLE ATOMIC LAYER OVER AN EXTENDED AREA
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
WO2010120805A2 (en) 2009-04-13 2010-10-21 Applied Materials, Inc. Modification of magnetic properties of films using ion and neutral beam implantation
WO2011011532A2 (en) 2009-07-22 2011-01-27 Applied Materials, Inc. Hollow cathode showerhead
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR20110097193A (ko) 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012057251A (ja) * 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
EP2608872B1 (en) 2010-08-23 2019-07-31 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
WO2012047914A2 (en) 2010-10-05 2012-04-12 Veeco Instruments, Inc. Grid providing beamlet steering
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5432396B1 (ja) * 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110214814A1 (en) * 2010-03-02 2011-09-08 Tokyo Electron Limited Plasma processing apparatus and shower head

Also Published As

Publication number Publication date
US20160049281A1 (en) 2016-02-18
SG10201506242SA (en) 2016-03-30
TW201619433A (zh) 2016-06-01
US9837254B2 (en) 2017-12-05
US20180047548A1 (en) 2018-02-15
CN109402637A (zh) 2019-03-01
US10580628B2 (en) 2020-03-03
JP6599166B2 (ja) 2019-10-30
CN109402637B (zh) 2021-03-09
TWI702307B (zh) 2020-08-21
CN105374713A (zh) 2016-03-02
KR20160019876A (ko) 2016-02-22
TWI671427B (zh) 2019-09-11
JP2016040825A (ja) 2016-03-24
TW201936981A (zh) 2019-09-16
CN105374713B (zh) 2018-10-09

Similar Documents

Publication Publication Date Title
KR102513666B1 (ko) 차동 펌핑된 반응 가스 주입기
TWI647757B (zh) 具有離子加速器之雙腔室電漿蝕刻器
KR101313426B1 (ko) 기판 상의 잔류물을 제거하기 위한 에칭후 처리 시스템
US11289306B2 (en) Ion beam etching utilizing cryogenic wafer temperatures
US20200381263A1 (en) Method of processing target object
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
US20110177694A1 (en) Switchable Neutral Beam Source
US20070235137A1 (en) Gas distribution system for a post-etch treatment system
US11145518B2 (en) Method and apparatus for etching target object
KR20150006390A (ko) 이온 빔 에칭 시스템
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
KR102469451B1 (ko) 마이크로전자 공작물의 제조를 위해 실리콘 질화물층을 영역 선택 에칭하는 방법
JP2019114778A (ja) 基板を処理する方法
JP2023523677A (ja) 高アスペクト比の3d nandエッチングのための側壁のノッチ低減
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
TW202135293A (zh) 對於高深寬比之3d nand 蝕刻的側壁缺口縮減

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant