CN109402637A - 差动泵送反应气体喷射器 - Google Patents

差动泵送反应气体喷射器 Download PDF

Info

Publication number
CN109402637A
CN109402637A CN201811027616.2A CN201811027616A CN109402637A CN 109402637 A CN109402637 A CN 109402637A CN 201811027616 A CN201811027616 A CN 201811027616A CN 109402637 A CN109402637 A CN 109402637A
Authority
CN
China
Prior art keywords
substrate
reactant
injector head
area
ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811027616.2A
Other languages
English (en)
Other versions
CN109402637B (zh
Inventor
伊凡·L·贝瑞三世
索斯藤·利尔
肯尼思·里斯·雷诺兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN109402637A publication Critical patent/CN109402637A/zh
Application granted granted Critical
Publication of CN109402637B publication Critical patent/CN109402637B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/36Gas-filled discharge tubes for cleaning surfaces while plating with ions of materials introduced into the discharge, e.g. introduced by evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Abstract

本文提供了一种差动泵送反应气体喷射器,具体提供了一种可用于从表面去除材料的工艺,该工艺为离子蚀刻。在某些情况下,离子蚀刻涉及输送离子和反应气体两者到衬底。所公开的实施方式允许以局部高压强的方式输送反应气体到衬底,而将在衬底的局部高压强输送区之外的部分保持低得多的压强。低压强是通过下列方式来实现的:将高压强反应物输送限制在小区域,并当过量的反应物和副产物离开该小区域时以及在过量的反应物和副产物进入较大的衬底处理区之前抽吸走它们。所公开的技术可以用于提高产量,同时使存在于衬底处理区中的离子和其他物质之间的有害的碰撞降低到最小程度。

Description

差动泵送反应气体喷射器
本申请是申请号为201510494523.0、申请日为2015年8月12日、发明名称为“差动泵送反应气体喷射器”的申请的分案申请。
技术领域
本发明涉及半导体制造领域,具体涉及差动泵送反应气体喷射器。
背景技术
半导体器件的制造典型地包括一系列操作,其中各种材料被沉积到半导体衬底上以及从半导体衬底上去除。用于材料去除的一种技术是离子束蚀刻,离子束蚀刻包括输送离子到衬底的表面从而以各向异性的方式从表面物理地和/或化学地去除原子和化合物。撞击离子撞击衬底表面并通过动量传递(和在反应性离子蚀刻的情况下通过反应)来去除材料。
发明内容
本文的某些实施方式涉及用于执行离子束蚀刻以从衬底的表面去除材料的方法和装置。在各种情况下,喷射头可以用于在局部高压强下输送反应物,而在喷射头的外部在较大的衬底处理区域中保持较低的压强。低压强可以通过在喷射头的局部高压强反应输送区域的周边或邻接区域施加真空压强来保持。该处理方案允许用高压强局部反应物输送进行低压强离子束处理,从而减少处理时间并提高产量。
在本文的实施方式的一个方面,提供了一种用于从半导体衬底去除材料的装置。所述装置可包括:反应室;衬底支撑件,其用于支撑在所述反应室中的所述衬底;离子源,其配置成将离子朝所述衬底支撑件输送;喷射头,其用于当所述衬底被定位在所述衬底支撑件上时提供反应物至所述衬底的表面,所述喷射头包括:朝向衬底的区,该朝向衬底的区包括(i)反应物输送管道的反应物出口区,和(ii)耦合到真空管道的抽吸区;以及移动机构,其用于使所述喷射头相对于所述衬底支撑件移动。
反应物输送管道可以被配置为与来自反应物源的管线耦合。同样地,所述真空管道可以被配置为将管线耦合至真空泵。所述喷射头的所述朝向衬底的区可以包括所述反应物输送管道的末端和所述真空管道的末端,并且在某些情况下,所述末端可以是基本上共面的。所述离子源通常包括用于产生等离子体的等离子体发生器。在各种不同的情况下,所述离子源还包括用于从所述等离子体提取离子并引导所述离子朝向所述衬底支撑件的电极。在一些情况下,使用两个电极。在其他情况下,使用三个电极。在某些情况下,使用四个或更多个电极。
在某些实施方式中,所述衬底支撑件、喷射头、和/或移动机构可以被配置为当所述衬底被定位在衬底支撑件上时保持所述喷射头和所述衬底的表面之间的间隔距离。所述间隔距离可以是约1cm或更小,例如约10mm或更小,或约5mm或更小,或约2mm或更小,或约1mm或更小。也可使用其它间隔距离。在一些情况下,所述间隔距离可以通过来自距离传感器的反馈来主动地控制。
所述抽吸区通常邻接所述反应物出口区。在一些实施方式中,所述抽吸区包围或基本包围所述反应物出口区。在某些情况下,第二抽吸区可耦合到所述真空管道。第二抽吸区通常邻接所述抽吸区。在某些情况下,第二抽吸区包围或基本上包围所述抽吸区。通过使用一个或多个邻接和/或包围所述反应物出口区的抽吸区,过量的反应物气体可以在这些反应物逸出到较大的衬底处理区之前从室(通过抽吸区)去除,其中所述反应物可不希望地碰撞离子束中的离子。
所述反应物出口区可以具有多种形状。在某些情况下,当从上方观察时,所述反应物出口区具有圆形的或椭圆形的横截面。在其他情况下,当从上方观察时,所述反应物出口区具有多边形的横截面。在某些实施方式中,所述反应物出口区是细长的,从上方观察时具有狭缝形的横截面。所述反应物出口区的长度可以小于、大约等于或大于要在所述装置中处理的标准衬底的直径。在特定情况下,所述反应物出口区的长度可以是至少大约等于或大于要在所述装置中处理的标准衬底的直径。例如,标准的半导体衬底可以具有约200mm、约300mm、或约450mm的直径。当喷射头是细长的情况下,该相对长的长度是特别适当的。所述反应物出口区可以具有在平行于所述衬底支撑件的方向上的宽度,宽度为约0.5mm至10cm。所述反应物出口区可以通过分离器与所述抽吸区分隔,所述分离器具有约0.5mm-2cm之间的宽度,其中所述分离器的所述宽度将所述反应物出口区与所述抽吸区分隔开。在某些情况下,所述抽吸区和/或第二抽吸区可具有介于约1mm和5cm之间的宽度。
在某些情况下,所述装置还可包括活门(shutter)。所述活门可被配置成调节离子的通量。所述活门可以设置在所述离子源和所述衬底支撑件之间。在特定情况下,所述活门可被配置成以允许某些离子能通过所述活门而同时防止其它离子穿过所述活门的方式调节所述离子的通量,其中被防止穿过所述活门的离子是那些否则将撞击喷射头的那些离子。在各种不同的情况下,所述喷射头还可以包括覆盖所述反应物输送管道和所述真空管道的外壳。所述外壳可以包括与所述喷射头的朝向衬底的区相对的朝向离子源的表面,所述朝向离子源的表面包括抗溅射材料。在某些情况下,所述喷射头可至少在上表面上用溅射性材料涂覆。在一些实施方式中,所述喷射头可以被配置成局部输送在输送之前基本上不彼此混合的两种或更多种单独的反应物。在一些情况下,第二喷射头可以被设置用于提供额外的反应物气体。
所述装置可进一步包括传感器、传感器头、检测器、或者检测器头中的至少一个,其可安装在所述喷射头上,毗邻于所述喷射头,或集成在所述喷射头内。所述传感器和/或检测器中的一个或多个可被配置为监测在所述反应物出口区内的(i)所述反应物,(ii)一种或多种反应物副产物,和/或(iii)所述衬底中的至少一个。在这些或其他情况下,所述传感器和/或检测器中的一个或多个可被配置为监测在所述抽吸区内的(i)所述反应物,(ii)反应物副产物,和/或(iii)所述衬底中的至少一个。而且,在这些或其它情况下,所述传感器和/或检测器中的一个或多个可以被配置成监测在所述真空管道的(i)所述反应物和/或(ii)反应物副产物中的至少一个。此外,在某些情况下,所述传感器和/或检测器中的一个或多个可以被配置成监测靠近所述喷射头的(i)所述反应物,(ii)反应物副产物,和/或(iii)所述衬底中的至少一个。
所述喷射头通常被配置成相对于所述衬底表面移动。在某些情况下,所述装置包括用于使所述喷射头沿轴在所述衬底上方移动的轨道。在某些情况下,与真空兼容的X-Y载物台可被用于使所述喷射头在所述衬底上方移动。此外,旋转机构可被配置成使在所述喷射头下方的所述衬底旋转。在一些实施方式中,与真空兼容的铰接机械臂被用来使所述喷射头相对于所述衬底移动。
在一些实施方式中,所述喷射头可被分成区段,这些区段被配置成接收或经历(i)不同的反应物,(ii)不同的反应物的流率,和/或(iii)不同的真空传导率(vacuumconductance)。固定孔、可变孔、和/或质量流量控制器中的一个或多个可用于独立地控制供给或施加到每个区段的反应物的所述流率或真空传导率。在不同的情况下,区段可以在所述反应物出口区内部和/或在所述抽吸区内。在某些实施方式中,加热和/或冷却元件可以被包括在所述喷射头内。在一些实施方案中,所述喷射头可被配置成绕点枢转(pivot)。所述喷射头的宽度可以是变化的,以提供在所述衬底的所述表面上均匀的气体覆盖。
在各种实施方式中,所述装置还包括控制器。所述控制器可以具有用以在第一压强下将所述反应物输送到在所述反应物出口区中的所述衬底表面同时从所述抽吸区去除所述反应物的指令。所述控制器可以具有用以在所述反应室中在所述反应物的出口区和所述抽吸区之外的区域中保持第二压强的指令,其中所述第二压强至多为所述第一压强的约1/25。在其他情况下,所述第二压强可以至多为所述第一压强的约1/100,或至多为所述第一压强的约1/500,或至多为所述第一压强的约1/1000倍,或比所述第一压强的约1/2000倍,或者至多为所述第一压强的约1/3000倍。所述控制器可以具有使所述喷射头相对于所述衬底移动的指令。使所述喷射头相对于所述衬底移动的所述指令在蚀刻操作过程中随着时间的推移平均而言可以实现跨越所述衬底表面的基本上均匀的离子通量。使所述喷射头相对于所述衬底移动的所述指令可以包括用以移动所述衬底的指令。替代地或额外地,使所述喷射头相对于所述衬底移动的所述指令可以包括用以移动所述喷射头的指令。所述控制器还可以具有用以产生等离子体的指令,将第一偏置施加到提取电极,以及将第二偏置施加到聚焦电极。
在所公开的实施方式的另一个方面,提供了一种用于从半导体衬底去除材料的装置,所述装置包括:反应室;衬底支撑件,其用于支撑在所述反应室中的所述衬底;离子源或等离子体源,其配置成将离子朝所述衬底支撑件输送;喷射头,其用于当所述衬底被定位在所述衬底支撑件上时提供反应物至所述衬底的表面,所述喷射头包括:朝向衬底的区,其包括(i)反应物输送管道的反应物出口区,和(ii)耦合到真空管道的抽吸区,所述真空管道被配置成去除在所述衬底的周边的过量的反应物;以及移动机构,其用于使所述喷射头或所述衬底支撑件彼此相对地移动。
在所公开的实施方式的另一个方面,提供了一种从半导体衬底去除材料的方法。该方法可包括将所述衬底提供至反应室;将所述衬底的表面暴露于从离子源散发的离子;将所述衬底暴露于反应物气体,从而使所述反应物气体能与在所述衬底表面的第一部分上的反应物出口区域中的所述衬底的表面接触,其中所述反应物气体在第一压强下被提供到所述反应物出口区域,而同时去除在所述衬底表面上且基本上围绕所述反应物出口区域的抽吸区域中的所述反应物气体,其中所述反应室在所述反应物出口区域和所述抽吸区域的外部保持在第二压强下,其中所述第二压强至多为所述第一压强的约1/25;以及暴露于所述离子和暴露于所述反应物气体的结果导致从所述衬底去除所述材料。
在一些情况下,第二压强可以至多为所述第一压强的约1/100,或至多为所述第一压强的约1/500,或至多为所述第一压强的约1/1000,或至多为所述第一压强的约1/2000,或至多为所述第一压强的约1/3000。在某些情况下,第二压强为约10毫乇或更低,例如约1毫乇或更低。
所述方法还可以包括在所述衬底表面的上方移动所述反应物出口区域。所述反应物出口区域可以以随着时间的推移平均而言以在空间上均匀的方式输送反应物的方式在衬底表面上方移动。在其他情况下,所述反应物出口区域以随着时间的推移平均而言以在空间上非均匀的方式输送反应物的方式在衬底上方移动。使所述反应物出口区域在所述衬底表面的上方移动可以包括旋转所述衬底。在这些或其它情况下,使所述反应物出口区域在所述衬底表面的上方移动可以包括在所述衬底表面上方扫描所述反应物出口区域。在各种实施方案中,将所述衬底暴露于所述反应物气体包括:输送所述反应物气体至喷射头,所述喷射头包括朝向衬底的区,所述朝向衬底的区包括:(i)反应物输送管道的反应物出口区,其中所述反应物出口区输送反应物至所述反应物出口区域,和(ii)耦合到所述真空管道的抽吸区,其中所述抽吸区去除抽吸区域中的反应物。在所述喷射头输送所述反应物气体时,所述喷射头的下表面和所述衬底的所述表面之间的距离可以被保持在约0.1-5mm之间。小的间隔距离有助于使逃逸到较大的衬底处理区的反应物减少到最低限度,其中这样的反应物分子能与离子束碰撞。
根据需要可以使用不同类型的离子。在一些情况下,离子是惰性或非反应性的。在其他情况下,离子是反应性的。例如,在一些情况下,离子氧化在衬底上的材料。反应物气体可以与衬底上的氧化的物质反应,从而去除氧化的材料。在某些实施方式中,反应物气体可以包括从由氧化剂、卤剂、还原剂、络合剂、酸、碱、醇、酮、醛、或酯或它们的任意组合组成的组中选择的一种或多种气体。实例包括但不限于:H2O、H2O2、NO2、NO、N2O、CF4、C2F6、CHF3、SF6、HF、HCl、HI、HNO3、Cl2、CClF3、CCl2F2、HBr、Br2、F2、H2、NH3、甲醇、乙醇、异丙醇、乙酸、甲酸、羧酸、丙酮、甲基乙基酮、乙酰丙酮(acac)、氢氟丙酮(hfac)、甲醛、和乙酸丁酯。
在各种实施方案中,将衬底暴露于离子可以包括产生等离子体,通过施加第一偏置到位于等离子体和衬底之间的提取电极而从等离子体提取离子,以及通过施加第二偏置到位于提取电极和衬底之间的聚焦电极来聚焦离子。从衬底去除材料典型地包括去除衬底上的材料层的至少一部分。材料层可以形成非易失性存储器器件的特征。非易失性存储器器件可以是MRAM器件。非易失性存储器器件可以是FeRAM器件。非易失性存储器件可以是PCM器件。非易失性存储器器件可以是三维堆叠存储器器件。
在某些实施方式中,反应物压强和/或反应物流被调节以当随着时间的推移平均而言在整个衬底的表面上产生均匀的材料去除速率。在其他实施方式中,反应物压强或反应物流被调节以当随着时间的推移平均而言在整个衬底的表面上产生非均匀的材料去除速率。在喷射头中的不同的区段内可以保持不同的反应物压强或不同的反应物流率以当随着时间的推移平均而言在整个衬底的表面上产生均匀的材料去除。在其他情况下,在喷射头中的不同的区段内可以保持不同的反应物压强或不同的反应物流率,以当随着时间的推移平均而言在整个衬底的表面上产生非均匀的材料去除。
具体而言,本发明的一些方面可以描述如下:
1.一种喷射头,其用于提供反应物至衬底的表面,所述喷射头包括:
朝向衬底的区,该朝向衬底的区包括:
(i)第一反应物输送管道的第一反应物出口区,和
(ii)配置为耦合到第一真空管道的第一抽吸区。
2.如条款1所述的喷射头,还包括上表面,所述上表面与所述朝向衬底的区相对设置,其中,所述上表面涂覆有抗溅射材料。
3.如条款2所述的喷射头,其中,所述抗溅射材料包括无定形碳。
4.如条款2所述的喷射头,其中,所述抗溅射材料包括硅。
5.如条款2所述的喷射头,其中,所述抗溅射材料包括氧化硅。
6.如条款2所述的喷射头,其中,所述抗溅射材料包括铝。
7.如条款2所述的喷射头,其中,所述抗溅射材料包括氧化铝。
8.如条款1所述的喷射头,所述朝向衬底的区还包括第二反应物输送管道的第二反应物出口区。
9.如条款8所述的喷射头,其中,所述第一反应物出口区将第一反应物输送到所述衬底的所述表面并且所述第二反应物出口区将第二反应物输送到所述衬底的所述表面,所述第一反应物和第二反应物彼此不同。
10.如条款1-9中任一项所述的喷射头,还包括加热所述喷射头的加热器。
11.如条款1-9中任一项所述的喷射头,还包括冷却所述喷射头的冷却元件。
12.如条款1-9中任一项所述的喷射头,还包括移动机构,所述移动机构在平行于所述衬底的所述表面的平面内移动所述喷射头的所述朝向衬底的区。
13.如条款12所述的喷射头,其中,所述移动机构包括允许所述喷射头的所述朝向衬底的区在所述平面内移动的枢转点。
14.如条款13所述的喷射头,其中,所述喷射头具有长度和宽度,每个都在平行于所述衬底的所述表面的平面中测量,其中所述喷射头的所述宽度沿着所述喷射头的所述长度变化。
15.如条款1-9中任一项所述的喷射头,其中,所述喷射头具有长度和宽度,每个都在平行于所述衬底的所述表面的平面中测量,其中所述喷射头的宽度沿着所述喷射头的所述长度变化。
16.如条款1-9中任一项所述的喷射头,其中,所述第一抽吸区具有基本上矩形的横截面。
17.如条款1-9中任一项所述的喷射头,所述朝向衬底的区还包括所述第一反应物输送管道的第二反应物出口区或第二反应物输送管道的第二反应物出口区,其中所述第一抽吸区基本上围绕所述第一反应物出口区和所述第二反应物出口区。
18.如条款1-9中任一项所述的喷射头,所述朝向衬底的区还包括第二抽吸区,所述第二抽吸区配置成耦合到所述第一真空管道或第二真空管道,其中所述第一抽吸区基本上围绕所述第一反应物出口区,并且其中所述第二抽吸区基本上围绕所述第一抽吸区。
19.如条款18所述的喷射头,所述朝向衬底的区还包括第三抽吸区,所述第三抽吸区配置成耦合到所述第一真空管道、所述第二真空管道或第三真空管道,其中所述第三抽吸区基本上围绕所述第二抽吸区。
20.如条款1-9中任一项所述的喷射头,其中所述喷射头包括左部分和右部分,所述左部分和右部分各自包括近似半圆形的形状,其中所述左部分和右部分中的每一个配置为枢轴打开和关闭,其中当所述左部分和右部分闭合在一起时,所述左部分和右部分一起形成近似圆形的形状。
将在下面参照相关附图说明这些和其它特征。
附图说明
图1呈现了可用于执行离子束蚀刻的反应室的简化视图。
图2A-2C描绘了根据一种实施方式经历离子束蚀刻工艺时的衬底。
图3呈现了具有用于在局部高压强下输送反应物的喷射头的反应室。
图4A-4C描绘了根据某些实施方式的喷射头的剖视图。
图4D示出了具有多个可独立控制的气体输送管道的喷射头的俯视图和侧剖视图。
图4E呈现了具有多个可独立控制的真空区段的喷射头的俯视图和侧剖视图。
图4F呈现了覆盖衬底的整个面积的喷射头的俯视图。
图4G呈现了以半打开状态显示的可分离成两个半部并通过枢转半部来打开的喷射头的俯视图。
图4H和4I呈现了在图4F和4G中所示的喷射头的剖视侧面图。
图5呈现了图4A-4C中所示的喷射头的不同区中的每个区中经历的压强相关的模拟数据。
图6A-6I示出了当喷射头相对于衬底表面移动时可以由喷射头追踪的某些示例性路径和运动。
图7A和7B描绘了根据某些实施方式的在局部高压强下单独输送多种反应物气体的喷射头。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。一个本领域的普通技术人员会理解,术语“部分制造的集成电路”可指在其上制造集成电路的许多阶段中的任何阶段的硅晶片。在半导体器件工业中使用的晶片或衬底典型地具有200mm、或300mm、或450mm的直径。下面的详细描述假设本发明是在晶片上实现的。然而,本发明并不局限于此。工件可以是各种形状、尺寸和材料。除了半导体晶片,可利用本发明的优点的其它工件包括各种物品,如印刷电路板、平板显示器、半导体封装、磁记录介质和器件、光学器件、镜子和其他反射介质,金属板或基本上平坦的其它材料,和类似物。
在下面的描述中,许多具体细节被阐述以便提供所对呈现的实施方式的彻底理解。公开的实施方式可以在没有这些具体细节中的一些或全部的情况下实施。在其他实例中,公知的处理操作未被详细描述,以避免不必要地使本公开的实施方式不清楚。虽然所公开的实施方式将结合具体的实施方式进行描述,但可以理解,它并不旨在限制本公开的实施方式。
离子束刻蚀通常用于半导体器件的制造中。如上所述,离子束蚀刻涉及通过提供高能离子到衬底表面而从衬底的表面去除材料。离子束蚀刻可以大致分为仅涉及惰性离子(例如,氩离子)的工艺,以及涉及反应性离子的工艺或通过离子引发的化学反应(例如,氧离子,某些离子化合物,如含氟离子化合物,反应性或惰性的离子引起与衬底上的表面上的化学吸附或物理吸附的反应物化学反应)。在这两种类型的工艺中,离子撞击衬底表面上,并通过直接物理动量转移(溅射)或通过从离子(反应离子束刻蚀)传递的能量引发的化学反应移除材料。反应性离子束蚀刻通常涉及利用除了物理撞击以外能与衬底发生化学反应的离子(如氧、氟等),或引起衬底和反应物之间的化学反应的惰性离子(例如,被吸附在表面上的施加气体),或在衬底的表面上产生反应位点的离子,所述衬底在产生反应位点的同时或随后与所施加的反应物反应,或其任何组合。
针对离子束蚀刻工艺的某些应用涉及非挥发性物质的蚀刻。在一些情况下,蚀刻的材料是导电材料。在某些实施方式中,在形成磁阻随机存取存储器(MRAM)器件、自旋力矩转移存储器器件(STT-RAM)、相变存储器器件(PSM)、非易失性导体(铜、铂、金等)的情况下,材料被蚀刻。在其它应用中,控制离子在衬底上的入射角的能力可以有用于产生3D器件,例如垂直堆叠的存储器、FinFET器件或围栅结构。
当执行离子束蚀刻工艺时,促进在衬底表面上高度均匀的离子通量是合乎期望的。在跨越衬底的整个表面上创建可靠的器件中,高度的均匀性是有利的。此外,在某些情况下,促进高离子通量和/或气相反应物的高通量是合乎期望的。高通量可以有助于最大限度地提高产量。影响蚀刻结果的质量的另一个因素是控制离子撞击表面的能量和角度的能力。在形成具有所需尺寸和轮廓的特征的情况下,这些因素非常重要。
图1呈现了根据某些方法进行离子束蚀刻的装置100的简化剖视图。在该实施例中,衬底101放置在衬底支撑件103上,衬底支撑件103可以配备有硬件(未示出)以提供电连接和流体连接件。在某些情况下,电连接可以被用于提供电力至衬底支撑件103,而流体连接件可以被用于提供用于控制衬底101和衬底支撑件103的温度的流体。衬底支撑件103可以通过加热器(未示出)加热或通过冷却机构(未示出)冷却。冷却机构可以包括使冷却流体流过衬底支撑件103中的或邻近衬底支撑件103的管道系统。在一些情况下,加热器可将衬底加热至升高的温度,为至少约100℃,例如至少约200℃,至少约300℃,或至少约400℃。在这些或其它情况下,加热器可以将衬底加热至约600℃或更低的温度。在使用冷却机构时,冷却机构也可将衬底冷却至约室温至-100℃之间的温度。如图1中双箭头所示,衬底支撑件103能够以可变的速率和可变的角度旋转和倾斜。
等离子体产生气体被输送到主等离子体产生区105。等离子体产生气体通过等离子体源107激励。在图1的上下文中,等离子体源107作为感应耦合等离子体源的线圈。在适当设计的反应器中可以采用其他源,例如电容耦合源、微波源或电弧放电源、液态金属离子源或场电离源。在主等离子体产生区105中形成等离子体。提取电极109含有一系列孔110,通过一系列孔110离子被提取。施加到提取电极109的偏置V1作用是提供给离子相对于衬底的动能。这种偏置通常是正的,可以是在约20至10000伏或以上的范围,并且在某些情况下,介于25至2000伏的范围。提取电极109上方的等离子体中的正离子通过电极109和113之间的电位差被吸引到下电极113。添加聚焦电极111以聚焦离子,并且如果需要的话,排斥电子。相对于提取电极109,聚焦电极111上的偏置V2可以是正的或负的,并且在多种情况下是负偏置的。聚焦电极111的偏置电位由聚焦电极111的透镜特性来确定。在此电极上电压的范围为在偏置V1以上的正电压(例如,约1.5×至10×偏置V1之间)至负电压(例如,约-0.001×到-0.9×偏置V1之间)。由于不同电位施加到不同电极,因此存在电位梯度。电位梯度可以是约1000V/cm。相邻的电极之间的示例性间隔距离在约0.1-10cm,或例如约1cm。在离子离开接地下电极113的底部之后,它们在准直和聚焦束中行进。
在许多(但不是全部)的情况下,下电极113接地。使用接地的下电极113与接地衬底101的组合产生基本上无场的衬底处理区115。让衬底位于无场区中防止由离子束与残留的气体或与反应室中的表面碰撞产生的电子或二次离子被朝向衬底加速,否则这可能会导致不希望的损害或副反应。此外,防止衬底101从离子束本身带电荷,或防止衬底101从在离子束与衬底的碰撞期间产生的射出的二次电子带电荷是重要的。中和通常通过加入在衬底101的附近的低能量的电子源(未示出)来实现。由于在离子上的正电荷,以及被射出的二次电子都使衬底带正电荷,在附近的低能量的电子可以被吸引到带正电的表面,并且可以中和该电荷。在无场区执行该中和要容易得多。
在一些应用中,下电极113和衬底101之间存在电位差是合乎期望的。例如,如果需要非常低能量的离子,那么由于带正电荷的离子的相互排斥(空间电荷效应),在长距离低能下很难保持良好准直的束。一个解决方案是将下电极113相对于衬底101配置负偏置(或相反地相对于下电极113将衬底101正偏置)。此偏置方案涉及在较高的能量下提取离子,然后当离子接近衬底时使离子减速。
在提取电极109、聚焦电极111和下电极113中的孔110可以精确地彼此对齐。否则,离子将不正确地瞄准,并且晶片上的蚀刻效果将会很差。例如,如果在聚焦电极111中的单个孔未对准,则可导致在衬底101的一个区域变得过度蚀刻(其中太多离子被导入),而衬底101的另一区域变得蚀刻不足(其中无离子或太少离子被导入)。因此,孔尽可能彼此对齐是合乎期望的。在各种不同的情况下,垂直方向上相邻电极之间的未对准被限制为孔直径的约1%或更少(通过孔的位置与相邻孔相比的线性移位的距离测定)。
离子束蚀刻工艺通常是在低的压强下运行的。在一些实施方式中,压强可为约100毫乇或更低,例如约10毫乇或更低,或约1毫乇或更低,并且在许多情况下为约0.1毫乇或更低。低压强有助于最大限度地减少离子与在衬底处理区中存在的任何气态物质之间的不希望的碰撞。
不幸的是,在许多反应性离子束蚀刻应用中所需的低压强限制了反应物可以被输送到衬底处理区的速率。如果反应物以过高的速率输送,则压强将升高,且离子气体碰撞成为问题。由于在足够以快速的方式有效地蚀刻表面的分压下不存在化学反应物/蚀刻剂,因此低的反应物流率造成相对低产量的工艺。
本文所公开的某些实施方式通过用喷射头以相对高的局部压强提供反应物气体到衬底的表面上,同时保持喷射头外部的相对低的压强来解决这种碰撞-产量之间的权衡问题。在操作中,喷射头可以在喷射头的反应物输送区和喷射头的边缘之间提供大的压强梯度。喷射头输送反应物,同时真空吸走多余的反应物质和副产品。这种设置防止了大多数的反应气体负荷进入到这样的反应物有可能与离子碰撞的区域中,并因此能够同时实现在整个衬底处理区有较低的压强,而局部反应物输送有较高压强。可以以随时间的推移均匀地输送反应物气体到衬底表面的方式让喷射头扫描通过晶片的不同部分。虽然在任何给定时间,扫描喷射头只在高压强下供给反应物到晶片的局部部分,但喷射头在蚀刻工艺期间扫描通过衬底的表面,使得平均而言,反应气体以均匀的方式输送。各种扫描模式可以用来提供均匀的反应物输送。替代地,喷射器头可以覆盖整个衬底,并且可交替地定位在衬底上方,然后从衬底上除去。
所公开的喷射头可以是有益的的一个示例性方法涉及蚀刻衬底,如图2A-2C所示。图2A示出了在蚀刻工艺的初始部分期间的衬底。该衬底具有一个或多个下伏层201,以及部分暴露的钴铂(CoPt)层202,在其上有图案化的硬掩模层204。在这个实施例中,硬掩模层是钽。虽然作为示例提供这些材料,但所公开的实施方式可以被用于蚀刻各种材料中的可通过离子束和所施加的反应物的顺序组合蚀刻的任何材料。拟被蚀刻的示例性材料包括但不限于:(1)半导体,如硅、硅-锗、锗,在某些情况下,其可通过Cl2、HCl、HBr、或Br2气体暴露以及随后的惰性离子暴露的序列被蚀刻(惰性离子可以是,例如,氦、氖、氩、氪、氙或它们的组合);(2)金属,如铜、铁、钴、镍、钯、或钨,在某些情况下,其会被氧离子束氧化,然后与酸或有机蒸气(如乙酰丙酮(acac)、氢氟丙酮(hfac)、或者乙酸或甲酸)反应以形成挥发性气体或高蒸气压化合物;(3)金属或半导体,例如Si、SiGe、Ge、III-V族元素、Pd和Fe,在某些情况下,可以通过惰性或反应性离子(如H、He、O、N、F、Cl、或Br离子)使其表面活化,然后通过施加反应物气体或蒸气,例如F2、HF、Cl2、HCl、Br2、HBr、NH3、乙酸、它们的组合等,在反应位点进行化学蚀刻。
等离子体是在电极组上方的主等离子体产生区产生的。在本实施例中用于产生等离子体的气体包括氧气,因此所产生的等离子体包含氧离子。氧离子穿过提取电极、聚焦电极、以及下电极前行,并且如图2A中所示撞击衬底的表面。在离子撞击衬底表面持续一段时间后,钴铂材料202的上表面成为氧化材料206,如图2B中所示。硬掩模层204可以抗氧化。暴露于反应物气体后,将氧化的材料206蚀刻掉,如图2C中所示。
在传统的方法中,该蚀刻可涉及熄灭等离子体,将衬底传送到另一处理室中,并使衬底与氧化物去除化学品接触,该化学品如乙酰丙酮(acac),氢氟丙酮(hfac)、或乙酸。其它衬底材料可以由其它化合物去除。在一些情况下,该去除通过湿式化学方法进行。
但是,在所公开的实施方式中,可避免额外的步骤,如可避免熄灭等离子体以及可避免将衬底输送到新的处理室中。在一个实施例中,喷射头扫描晶片的表面,以便以相对高的局部分压输送反应物气体或蒸气(例如,分压为至少约10倍,或至少约100倍,或至少约500倍,或至少约1000倍,或至少约2000倍,并且在一些情况下至少约3000倍的衬底处理区域中的压强),而等离子体存在于主要等离子体产生区,离子积极地撞击衬底表面。反应物气体从表面蚀刻已氧化的材料。在另一实例中,喷射头扫描晶片表面以在某些时候输送反应气体,而离子不积极撞击表面。在一个这样的实施例中,通过活门来调节离子流到衬底处理区域,如图3中所示。在这种方式中,等离子体可保持点燃,离子流可以根据需要开启和关闭。喷射头可以移动到不形成障碍的位置(即,不在晶片和电极之间),同时活门打开,而离子撞击在衬底表面上。
在一些情况下,活门可以被配置为阻挡离子通过电极的某个部分,同时让离子能通过所述电极的其它部分。不像百叶窗(blind)那样操作使得全部一起开启以及全部一起关闭,在这种情况下,活门可以独立地阻挡或不阻挡电极中的个别孔。以这种方式,通过每个孔的离子流可以被开启和关闭。这个实施方式的一个优点在于,只有当喷射头直接位于孔和衬底表面之间时,该特定孔才会关闭,而在喷射头不成为障碍时的期间,孔保持打开。
不管在离子撞击在衬底上的同时,喷射头是否主动输送反应物气体到衬底,使用喷射头都使得蚀刻的两个部分(离子输送和化学反应物输送)都能在相同的室中进行,而不用熄灭等离子体。
对于诸如原子层蚀刻(ALE)之类的应用,所公开的实施方式特别有用,因为它们使得在工艺的每一个部分压强能最优化。ALE涉及反应物的顺次输送和吸附、过量反应物的清扫、以及暴露于能量源以去除非常薄的材料层(例如,在某些情况下为单层)。通常情况下,以循环的方式进行这样的吸附、清扫和能量暴露操作以逐层地蚀刻材料。所公开的喷射头和使用方法基本上针对压强打开可用操作窗,在该压强下提供多种气体。此外,所公开的技术可能会导致使用不同的时序序列的ALE方法。例如,反应物输送、吹扫、以及暴露于能量全部可在晶片的不同部分同时进行。反应物输送和清扫在喷射头下局部发生,而暴露于能量(离子)在喷射头不会阻塞的各处全部发生。原子层的蚀刻方法在以下美国专利中进一步讨论,其中每一个通过引用将其全部内容并入本文中:美国专利No.7,416,989,名称为“ADSORPTION BASED MATERIAL REMOVAL PROCESS”;美国专利No.7,977,249,名称为“METHODS OF REMOVING SILICON NITRIDE AND OTHER MATERIALS DURING FABRICATIONOF CONTACTS”;美国专利No.8,187,486,名称为“MODULATING ETCH SELECTIVITY AND ETCHRATE OF SILICON NITRIDE THIN FILMS”;美国专利No.7,981,763,名称为“ATOMIC LAYERREMOVAL FOR HIGH ASPECT RATIO GAPFILL”;以及美国专利No.8,058,179,名称为“ATOMICLAYER REMOVAL PROCESS WITH HIGHER ETCH AMOUNT.”。
图3显示了在一些实施方案中用于反应性离子束蚀刻的反应室300的简化视图。晶片301被支撑在衬底处理区303中的例如静电卡盘302之类的基座上。离子在离子源304产生、被提取、并聚焦。离子源304可以包括等离子体产生区和如图1所示的一系列电极,但也可使用其他的离子源。从离子源304发出的离子流可以通过可选的离子活门305开启和关闭。喷射头306在衬底301的表面上方移动,以在喷射头306下在局部高压强输送区307输送处理气体。局部高压强区也可被称为反应物出口区或反应物输送区。局部高压强区可以形成反应物输送管道的一部分,并且可耦合到提供反应物到喷射头306的线路。
通过喷射头306将低压强保持在衬底处理区域303,从而局部高压强反应物被输送到晶片301后将其立即去除。特别是,当反应物输送到局部高压强输送区307内时,喷射头306同时施加真空到围绕或换句话说接近所述局部高压强输送区307的区域(这些周边区域有时被称为压降区或抽吸区),从而过量的反应物在其进入喷射头306以外的较大衬底处理区303之前被除去。过量的反应物通过真空连接件(未示出)被除去。真空连接件可以稍微薄且有柔性,以使喷射头306能在晶片301的表面上方移动,或者其可以形成喷射头本身的一部分。真空连接件可以与用于输送反应物的管线物理连接,使得反应物输送线和真空连接件一起移动(但它们保持功能上的分离)。
在某些情况下,喷射头可被拉长以延伸到衬底的整个宽度,并且真空连接件可以被配置成与头对准。图3可以以这种方式解释,喷射头306(以及反应物输送线和真空连接件,其可以形成喷射头306的一部分)延伸进入和离开页面。在本实施方式中,喷射头可通过沿垂直于喷射头的长度的单个轴线(即,图3中的左和右)或沿枢转点扫描来输送反应物到晶片的整个表面上。在这种结构中,真空连接件将不会遮挡喷射头本身之外的区域中的离子束。喷射头306的进一步的细节将在下面讨论。
图4A-4C根据某些实施方式显示了越过晶片401的喷射头400的简化的剖面图。图4A示出了喷射头400和在其中的组件。图4B被提供来说明在喷射头400中的某些尺寸。图4C被提供来说明穿过喷射头400的流动模式。从图4A开始,在反应物入口402将反应物气体引入到喷射头400。反应物气体在相对高的压强下被引入到局部高压强区R0(也被称为反应物出口区)。第一分离器D1将局部高压强区R0与第一压降区R1(也被称为抽吸区)分离,第二分离器D2将第一压降区R1与第二压降区R2(有时称为第二抽吸区)分离,以及第三分离器D3将第二压降区R2与周围的衬底处理区R3分离。分离器可以是片状物或其他薄的结构,并且可以由诸如聚合物、陶瓷、金属或玻璃之类的抗蚀刻性材料制成。示例性材料包括铝、铝合金、阳极化铝、不锈钢、氧化铝陶瓷、可切削玻璃陶瓷、熔凝硅石、因科镍合金、蒙乃尔合金、硼硅酸玻璃、聚酰亚胺、特氟纶或聚酰亚胺薄膜,其可针对正在使用的特定的蚀刻剂选择。
真空被施加到第一压降区R1和第二压降区R2,以便将输送到局部高压强区R0的过量反应物通过真空吸走。该真空通过真空连接件403施加。在类似的实施方式中,真空连接件403延伸进入和离开页面,而不是如图4A-4C中所示的延伸到右侧。在一个实施方式中,局部高压强区R0可以是由第一分离器D1界定的在侧面上的圆筒形区。第一压降区R1和第二压降区R2可以是环形形状的以包围局部高压强区R0。可替代地,从上方观察时,局部高压强区R0以及第一压降区R1和第二压降区R2中的每一个可以是长且薄的(例如,从上方观察,每一个都具有大致矩形的横截面),每个延伸进/出页面。
一种抗溅射涂层404可涂覆喷射头400。这样的抗溅射涂层404可以由碳(例如,无定形碳)制成,或者由如果溅射,则不会被认为是衬底材料的污染物的材料(如硅、二氧化硅、铝、或三氧化二铝等)制成。抗溅射涂层404可以帮助最小化被溅射离开喷射头400的材料的量。喷射头外壳(在抗溅射涂层下)可以由聚合物、陶瓷、金属或玻璃制成,其实施例包括铝、铝合金、阳极化铝、不锈钢、氧化铝陶瓷、可切削玻璃陶瓷、熔凝硅石、因科镍合金、蒙乃尔合金、硼硅酸玻璃、聚酰亚胺、特氟纶或聚酰亚胺薄膜。
另一种表征不同的相关区的方式是:观察在晶片本身上所发生的情况。晶片的位于局部高压强区R0下方的部分可以被称为局部高压强区域(也被称为反应物出口区域)。晶片的位于压降区R1和R2下方的部分可被称为压降区域,或两个压降子区域。这些区域也可以被称为抽吸区域。晶片的不是在喷射头下方的部分可被称为离子处理区域。随着喷射头在晶片的表面上方移动,局部高压强区域、压降区域和离子处理区域的位置发生变化。
本领域中的普通技术人员理解,多种形状中的任何形状可以在一个结构或多个结构中使用,以产生局部高压强区R0以及第一压降区R1和第二压降区R2,只要压降区被设计或配置为它们被输送到局部高压强区R0后和它们进入衬底处理区R3之前通过真空吸走过量的反应物和反应物副产物即可。这样,第一压降区R1可以围绕或基本围绕局部高压强区R0,而第二压降区R2可以围绕或基本上围绕第一压降区R1。这些区如图4A-4C所示可以是圆形/圆筒形的,或者它们可以是其它形状(椭圆形、正方形、矩形、三角形、其它多边形形状、狭缝等)。在R0下的暴露区域可以比衬底明显较小、约等于衬底、或者比衬底大。在一个具体的实施例中,局部高压强区的形状为相对长的且薄的狭缝,压降区邻接狭缝的两侧。在这种情况下,当压降区可以或可以不完全包围局部高压强区(例如,邻近薄的狭缝侧),这样的压降区可以被视为基本上包围局部高压强区,因为通过靠近狭缝形局部高压强区的长边的压降区,绝大多数过量反应物被真空吸走。在某些实施方式中,一个或两个压降区包围所述反应物输送区的周边的至少约70%(或至少约90%)。在特定情况下,一个或两个压降区包围所述反应物输送区的周边的100%。在某些实施方式中,第一压降/抽吸区是直接邻近局部高压强/反应物输送区。在某些实施方式中,第二压强降/抽吸区是直接邻近第一压降/抽吸区。
可以使用任何数量的独立的压降/抽吸区。尽管图4A-4C示出了两个压降区,但在一些实施方式中,只使用单一的压降区。在其他实施方式中,使用两个或更多个压降区,例如三个或更多个压降区。在一些实施方式中,使用多达约5个压降区。衬底处理区和局部高压强区不被认为是压降区。通常情况下,任何压降区将定位于靠近局部高压强区或另一压降区,并且将具有用于除去过量的反应物的真空连接件。这些压降区操作以按顺序减小相邻区之间的压强。
图4B显示了图4A中所示的喷射头400,着重标注了某些尺寸。W0表示局部高压强区R0的宽度。W1和W2分别表示第一压降区R1和第二压降区R2的厚度(外径减去内径,其中这些区是环形形状)。L1、L2和L3分别表示第一分离器D1、第二分离器D2和第三分离器D3的厚度。衬底401的表面和分离器D1-D3的底部之间的距离标记为g。衬底401的表面和真空连接件403之间的距离标记为h。分离器的高度标记为hD
该宽度W0可为介于约0.5毫米至10厘米之间。厚度W1可以为介于约1毫米至5厘米之间。同样地,厚度W2可为介于约1毫米至5厘米之间。厚度W1和W2可以相同或不同。在一些情况下,W1比W2大,而在另一些情况下,W2比W1大。厚度L1可以为介于约0.5毫米至2厘米之间。同样,厚度L2可以为介于约0.5毫米至2厘米之间,而厚度L3可以为介于约0.5毫米至2厘米之间。在某些情况下,L1、L2和L3是基本相同的(例如,它们的差异不超过约5%)。在其他情况下,这些厚度可以是不同的。分离器D1-D3的底部和衬底401的表面之间的高度g可以为约5毫米或更小,例如约2毫米或更小,或约1毫米或更小。在某些情况下,该距离g为介于约0.1毫米至5毫米之间。该距离应该相对小,以最小化过量的反应物从喷射头逸出并进入衬底处理区的量。高度h可以为介于约0至5厘米之间。
在某些情况下,分离器D1-D3具有不相等的长度,并且每个分离器的底部和衬底的表面之间的距离是不同的。虽然在图4B中未示出,但是在这样的实施方式中,在衬底表面和第一分离器D1之间的距离可以被称为g1,在衬底表面和第二分离器D2之间的距离可以被称为g2,而衬底表面和第三分离器D3之间的距离可以被称为g3。在某些实施方式中,g1是最大的和/或g3是最小的(当比较g1、g2和g3时),这样是合乎期望的。以这种方式,过量的反应物到衬底处理区R3的逃逸可以被最小化。通过调整分离器的长度D1-D3,分离器的宽度L1-L3,和分离器的间隙W0-W2,可以调整反应物气体停留时间。
图4C示出了穿过喷射头400的流动模式。在图4C中,还应注意,在每个区中经历的压强。压强P0存在于局部高压强区R0,压强P1存在于第一压降区R1,压强P2存在于第二压降区R2,而压强P3存在于衬底处理区R3。P0是最高压强,而P3是最低压强。反应物在压强P0下被输送到高压强区R0,在这里它们作用在衬底表面上以蚀刻掉材料。过量的反应物和反应产物然后在第一分离器D1下通过并进入第一压降区R1,在这里它们通过真空连接件403被真空吸走,在第一压降区R1内未被真空吸走的物质反而在第二分离器D2下通过并进入第二压降区R2,在这里它们通过真空连接件403被真空吸走。非常少量的物质可以在第三分离器D3下通过并进入衬底处理区R3。但是,逸出到衬底处理区R3的这种物质的量是相当小的,并且通常不会引起离子碰撞方面的问题。在某些实施方式中,P0可以比P3高至少约1000倍。在一个实例中,P0比P1高至少约10倍,P1比P2高至少约10倍,而P2比P3高至少约10倍。在这些和其它情况下,在相邻区之间,压强可以下降至少五分之四。
某些实施方式中,喷射头覆盖的衬底表面面积的部分为介于约0.1%和50%之间,或在约1%和10%之间。该部分可表示衬底的被阻止接触离子的部分和/或衬底的暴露于喷射头的反应物输送部和一个或多个抽吸部的部分。在其它实施方式中,喷射头覆盖衬底的100%或更多。
图4F显示了可以覆盖100%或更多的衬底面积的喷射头的一个实施方式。图4F所示的喷射头是圆形的,但也可以任何形状,前提是它覆盖所有的衬底表面。在某些情况下,从上方观察时,喷射头的整体形状与衬底的形状相匹配(例如,圆形的喷射头用于圆形的衬底,如图4F所示,方形喷射头用于方形衬底等)。喷射头然后可移动离开衬底,以使离子能暴露在衬底表面上,然后在衬底上回移以使衬底暴露于反应物。这种运动可以通过线性平移或通过转动(或通过两者的组合)进行。尽管喷射头被显示为包括两个半部,但是这些半部可以连接在一起以形成单一的整体喷射头。如果喷射头被分成两半(或其它的部分部件),则这些半部可分割/枢轴打开,如图4G所示。如图4G所示,喷射头被分成两个半部,每一半部是在单独的枢轴上。在此,喷射头被示为处于部分打开的位置。替代地,喷射器头可以被划分成任何数目的部分和枢转点,例如,分成三个,四个,等等。在本实施方式中,喷射头的各个部分在衬底上方旋转,以便以局部高压强提供反应物气体,然后转动远离衬底,以使离子能暴露在衬底表面上。在本实施例中,高压强区同时作用在整个衬底表面上。衬底表面与反应头的天花板之间的距离保持相对小,使得喷射头可以输送反应物至在衬底表面上方的小体积内。过量反应物在衬底的周边被除去,如图4G-4I所示。
图5显示了与在图4A-4C中所示的喷射头相关的计算机模拟数据。具体地,图5涉及针对约0-1000sccm之间的流动速率,在喷射头的每个区中的压强。将数据模型化,假设在高压强下输送的反应物是N2(较大的分子将导致较大的压降)。此外,将数据模型化,假设W0=5厘米,W1=W2=1厘米,L1=L2=L3=1厘米,h=1厘米,和g=1毫米。基于文献“‘A lowconductance optical slit for windowless vacuum ultraviolet light sources’byR.A.George et al.,Journal of Physics E:Scientific Instruments,Volume 4,Number5(1971)”中的描述,使用狭缝传导近似(slit conduction approximations)计算分子/瞬变流。
对于在图5中模拟的任何给定的流率,喷射头的相邻区之间的压强下降超过一个数量级。其结果是,压强从在P0的约2乇下降至在P3处的约0.00025乇,这代表约99.9875%的整体下降。另一种表征结果的方式是,在本实施例中,该压强下降至约1/8000。
针对具有不同尺寸的喷射头,运行类似的模拟仿真。尽管尺寸影响压降的程度,但每一种情况都表现出相邻区之间的压强减小显著。较大的尺寸L1、L2和L3(分离器D1-D3的厚度)导致较大的压降。同样地,分离器D1-D3的底部和衬底表面之间的较小的距离g导致较大的压降。可以进行各种其它修改,以影响在喷射头中经历的压降的程度。
由喷射头提供的另一优点是进行原子层蚀刻的能力。原子层蚀刻表示一种方法,凭借该方法,在多次式方法(multi-pass process)中的每次去除受控量的材料,其中方法中的一个是完全或部分地自限的。原子层去除工艺在以下专利和专利申请中进一步讨论:美国专利No.8,608,973,美国专利No.8,617,411和PCT专利申请No.PCT/US2012/046137,其每一个在此通过引用将其整体并入。在一实施方式中,扫描喷射头导致反应性化学物质在衬底表面上的局部吸附,随后当喷射头移动离开该局部区时,局部吸附的反应性化学物质通过离子束去除。在第二实施例中,当喷射头扫描通过反应性表面时,离子束产生与反应物化学物质发生反应的反应性表面。
如上所述,喷射头在晶片的表面上方移动以输送反应物气体。当喷射头输送反应物气体时,离子可以或可以不主动地撞击晶片的表面,具体取决于特定的实施方式。机械臂或其它可移动的机械支撑件可以用来保持并移动在晶片表面上方的喷射头。机械臂可在表面上以单一维度或两个维度移动喷射头,并且可以是铰接的机械臂。喷射头相对于衬底表面的移动可以通过移动喷射头、移动(例如,旋转)晶片、或通过这些运动的组合来完成。机械臂还可以第三维度移动喷射头(抬起远离衬底支撑件),例如当晶片被装载和卸载时。在一些实施方式中,真空连接件和/或反应物输送连接件集成到机械臂或其他机械支撑件。在其他情况下,该真空连接件和/或反应物气体连接件和机械臂是分开的。类似于喷射头,机械臂可涂覆有抗溅射涂层。在一些实施方案中,用来支持喷射头的机械臂或其他扫描机构被永久地安装在反应室的一部分(例如,附连到反应室的侧壁)。在其他实施方案中,机械臂或其它扫描机构可以安装在轨道上,使得该臂能容易地绕晶片的周边移动。在任意一种情况下,机械臂或扫描机构可以包括运动的连接件/接头/点,以便能根据需要使喷射头在表面上方移动。
在某些实施方式中,喷射头是长而窄的,例如,如图6E中所示。在多种情况下,喷射头的长的长度在衬底的整个长度/直径上延伸,而喷射头的窄的宽度在衬底宽度的一部分上延伸,如图所示。在本实施方式中,喷射头沿垂直于喷射头的长轴线的方向来回扫描,以便每次通过期间完全覆盖衬底,如图所示(例如,在图6E中,喷射头左右扫描)。在这些或其它情况下,喷射头可具有大于或等于所述衬底物理长度的长度(例如,在许多情况下,等于或大于约200mm、300mm、或450mm)。在多种实施方式中,喷射头具有的长度比衬底的长度长约1到10厘米。喷射头可具有介于约1至15cm之间的宽度,例如介于约2至5cm之间的宽度。头的扫描可以使用线性致动器来完成,这样的运动在图6E中示出,或使用一个或多个枢轴点,如图6G和6H所示。衬底也可以在喷射头下旋转,如图6F-6I所示。在某些实施方式中,喷射头宽度可以变化,以补偿例如在单一的枢轴头配置中可能发生的扫描速度或其它变化。图6H示出了具有可变宽度的喷射头的一个实施例。可替代地,也可以使用额外的枢轴点。在2个枢轴头的情况下,如图6I中所示,喷射器头部可以线性扫描整个衬底。
相对于喷射头,两个不同但相关的均匀性的考虑因素是重要的。首先,在蚀刻工艺期间,随着时间的推移平均而言,通过喷射头的反应物气体输送通量(质量/单位面积)在晶片的表面上应是均匀的。第二,随着时间的推移平均而言,来自离子源的离子输送通量在晶片的表面上应该是均匀的。当喷射头/机械臂/真空连接件阻挡离子源和晶片的局部部分之间的视线时,晶片的这种局部部分不受离子冲击。这样,喷射头、机械臂和真空连接件可以被配置成:以随着时间的推移平均而言在空间上均匀的方式提供反应物气体以及离子的输送。
各种扫描模式可用于在衬底的表面上移动喷射头以实现这种空间均匀的反应物气体/离子的输送。图6A-6I显示了可在一些实施方式中使用的示例性的扫描模式。图6A-6D显示了多种轨迹:喷射头可以占据衬底的表面。这些扫描模式可能是特别相关的,其中喷射头具有涉及在两个维度运动以覆盖整个衬底表面的几何形状。在某些情况下,X-Y载物台可以用于使喷射头在衬底上方移动。图6E-6F示出了在不同的实施方式中的细长的扫描喷射头和它们在衬底的表面上运动。因为在这些情况下,喷射头至少与衬底直径一样长,在衬底上的这种移动是相对简单的。
图6A示出了螺旋形扫描模式,图6B和6C示出了逐线的线性模式,图6D示出了径向模式。也可以使用其它模式。在一些情况下,模式被配置为使得局部高压强区到达所有或基本上所有的晶片。局部高压强区的一部分和/或压降区的一部分可以在某些模式中越过晶片的边缘,如图6B所示。在其它情况下,模式可被设计成在任何时候都将局部高压强区和/或压降区完全设置在晶片的边缘内,如图6A中所示。喷射头可以沿直线、曲线、螺旋线等移动。喷射头可以沿着晶片半径移动,如图6D中所示。在一些实施方式中,使用轨道运动。如图6E所示,当从上面观察时具有矩形/狭缝形横截面的细长的喷射头沿垂直于延伸长度的方向来回扫描。如图6F所示,细长喷射头沿垂直于延伸长度的方向来回扫描,并且衬底旋转。在图6G和6H中,细长的喷射头绕固定的枢转点旋转以来回扫描整个衬底,衬底旋转或不旋转。在图6I中,使用两个枢轴点,使得喷射头能线性扫描整个衬底表面,而无需使用专用的线性致动器。
在某些情况下,随着时间的推移平均而言以在空间上不均匀的方式输送反应物可能是有益的。空间上非均匀的反应物输送可以用于对抗在工艺中出现的其它空间不均匀性。例如,如果在空间上均匀的反应物输送导致衬底的中心过度蚀刻和衬底的边缘蚀刻不足,另外的蚀刻气体或其它工艺气体可以被提供到衬底的相对于中心的边缘,从而平衡工艺,并提供均匀的空间效果。在离子束或加工工具中的例如不均匀性之类的相关问题可类似地用非均匀反应物输送(例如,通过在衬底的不同部分上和/或在蚀刻工艺的不同部分期间调整蚀刻剂速率/流量/压强/扫描速度等)补偿。另外,非均匀反应物输送和非均匀的蚀刻结果在补偿从其它工艺所产生的先前的空间非均匀性可能是有利的。例如,以前的处理步骤可能引入系统误差(或如果这样的错误是预先测量的和量化的,则是非系统错误),例如掩模宽度的误差(线宽误差)或膜厚度的误差。如果这种误差/空间非均匀性是已知的,则蚀刻工艺可被构造成补偿非均匀性。
非均匀的反应物输送和非均匀的蚀刻可能是有益的的另一个原因涉及工艺的研发和调谐。例如,非均匀的反应物输送可用于在单个衬底上执行多个实验。反应物输送条件可以独立地在衬底的不同部分调整(例如,以不同的流速和/或不同的压强和/或不同的扫描速度向晶片的不同部分提供反应物),其结果可以观察和比较。这种技术可以减少测试各种反应条件所需要的衬底的数量。
非均匀的反应物输送和非均匀的蚀刻可能产生的进一步的好处涉及在蚀刻的同时形成特定的特征/形状。例如,可能期望的是,在每一侧蚀刻具有不同轮廓的线(例如,在第一侧是垂直轮廓,而在另一侧是倾斜的轮廓)。为了实现这样的蚀刻形状,可使用可变的反应物流速。当衬底沿第一方向倾斜时,可以使用第一流速,而当衬底沿第二方向倾斜时,可以使用第二流速(例如,第二方向可以与第一方向相反)。这种非对称的蚀刻技术可用于蚀刻具有不一致的轮廓的特征。
喷射头移动的线速度可介于约0-500厘米/秒之间,例如介于约1-100厘米/秒之间,或介于约5-100厘米/秒。如果喷射头的不同部分以不同的速度移动(例如,在图6G和6H所示的实施方式中),上面列出的速度可以对应于喷射头的移动最快的部分。喷射头可以在介于约0.5-10秒之间的时间段内扫描晶片的整个表面至少一次。衬底旋转速率可在约0和500RPM之间,例如介于约0和10RPM之间。在某些情况下,线速度或角速度是恒定的,而在其他情况下,速度是可变的。可变速度会有助于设计随着时间的推移提供空间均匀性的模式。例如,在喷射头更频繁地覆盖晶片的某些部分的模式中(例如,在图6D中,相比于晶片的各外部部分,衬底的中心受喷射头的影响更频繁),相比于其它部分,喷射头可以较大的速度扫描这样的高频率部分。以这种方式,在每种情况下,较少的材料被输送到衬底的该部分,以及整体上,总的气体输送在空间上是较均匀的。在一些实施方式中,反应物输送速率随着在衬底表面上的位置的变化而变化。例如,在头移动最快的区域,可以采用较高的输送速率。在另一实例中,反应物输送的均匀性是通过以下方式实现的:以恒定的线速度和/或角速度移动喷射头,并在喷射头作用于晶片的被喷射头较不频繁覆盖的部分时使用较高的反应物输送速率(例如,如果在衬底旋转的同时喷射头沿衬底的半径向内和向外移动,则控制器可使用朝向衬底的边缘较快并且朝向衬底的中心较慢的振荡输送速率,因为中心区相接触的频率高于任何给定的边缘区)。
在使用细长的喷射头的某些情况下(如,图6E-6I),头部的长度可以被划分成若干区/区段。每个区可以具有独立的反应物供应压强或抽吸传导率,从而使得能够控制跨越衬底表面的反应物的量和反应物的压强。区之间的流量、压强和传导率的差异的调整可以是静态的或动态的。在动态调整的情况下,每个区可以通过例如独立的质量流量控制器、可变孔而具有独立的反应物供给控制。可替代地或附加地,每个区可以具有通过例如成组的蝶阀的独立的真空抽排。在某些实施方式中,沿着细长的喷射头的长度的区具有独立的真空抽排和反应物喷射能力。图4D示出了具有多个可独立控制的气体喷射器477的喷射头450的俯视图和侧视图,每个气体喷射器477通过独立可控的气体输送线478馈送。为了易于说明,气体输送线478在俯视图中未示出。虽然未示出,但气体输送管线478可由外壳覆盖,该外壳与喷射头450可以是一体的。图4E示出了具有独立可控的真空区461的喷射头460的俯视图和侧视图。真空区461中的每个的真空压强可以独立地受控。各个真空区461由分离器462分开,在某些情况下,分离器462可具有上面参照图4A-4C列出的分离器维度中的任何维度。
虽然喷射头迄今被作为在静态衬底的表面上移动的移动喷射头描述,但其它设计也是可能的。例如,在一些实施方式中,晶片在喷射头下移动。这种晶片的移动可以代替喷射头的移动,或附加于喷射头的移动。在这种情况下,衬底支撑机构可经配置以旋转和/或平移晶片。该晶片可以以介于约0-200RPM之间的速度旋转。轨道的处理可使用衬底和喷射头两者的协调一致的运动来完成。
在一些工艺中,以局部高压强输送超过一种的气态反应物可能是有益的。可以使用许多不同的技术来输送超过一种的反应物。在一个实例中,喷射头按如上所述(例如,相对于图4A)构造,两种或更多种反应物在它们被输送到局部高压强区之前或之时混合。在另一实例中,多喷射头被设置以输送每种单独的反应物。多喷射头实施方式在以下情况下会是特别有用的:预期反应物气体彼此有害地反应,或者期望反应物以连续的方式被输送。在另一实例中,单一的经修正的喷射头被用来分别提供每种反应物。
经修正的喷射头可以采取多种形式。图7A显示了喷射头700的一个实例,其可用于单独输送一些反应物。图7A的喷射头700类似于图4A的喷射头400。然而,图7A的喷射头700包括分别输送反应物A和反应物B至晶片701的两个入口702A和702B。两个入口702A和702B由分离器D4分离,分离器D4将局部高压强区分为两个局部高压强区R0A和R0B。反应物A在高压强下被输送到第一局部高压强区R0A内,而反应物B在高压强下被输送到第二局部高压强区R0B内。如图所示,每一个局部高压强区R0A和R0B可以具有相同大小的衬底表面暴露区域。然而,分离器D4可以被定位成偏离中心,从而使得在衬底上可以有不同的反应物区域(换言之,R0A和R0B可具有不同的尺寸)。在一个实施方式中,从上方观察时,局部高压强区R0A和R0B具有基本上半圆形的横截面,并且从上方观察时,压降区R1-R2具有基本为环形的横截面。在另一个实施方式中,从上方观察时,R0A、R0B、以及R1-R2每个都具有细长的横截面,例如基本上矩形的横截面。在这样的情况下,在图7A中,各个区可以延伸进入和离开页面。如果是这种情况,则可能有益的是,设计反应物输送线和真空连接件,以使它们与喷射头的各个细长部分对准。在这样的设计中,指向真空泵的箭头可沿着喷射头的长度延伸进入或离开页面,而不是如图所示朝向右边远离。
反应物A与反应物B可以设置相同的局部高压强,或不同的压强。在压降区R1和R2内去除过量的反应物。在另一个实施方式中,反应物B经由基本上包围反应物A的反应物输送区的反应物输送区提供。例如,反应物B的反应物输送区可以完全环绕反应物A的反应物输送区。
虽然反应物A和B是分开提供的,但它们可以在压降区R1和R2彼此混合到一定程度。该混合可以通过将压降区分成不同的成角度的部分而减轻到最少的程度。例如,压降区中的每一个被划分成两个子区,即靠近第一局部高压强区R0A的第一子区和靠近第二局部高压强区R0B的第二子区。第一子区可以主要除去过量的反应物A,而第二子区可主要除去过量的反应物B。当然,附加的角度不同的子区可被用于进一步使反应物的混合最小化。如果真空连接件703内的反应物的混合有问题,那么,可以提供单独的真空连接件以连接到装置的每个不同的部分。这个实施方式可以被修改以简单地通过改变入口的形状和分隔入口的分离器以分别在不同压强下提供任何数量的反应物。在一个实施例中,从上面看时分离器D4具有十字形横截面,十字的每个象限被配置为入口,以提供四种不同反应物中的一种。
图7B提供了可被用于分别输送两种不同的反应物A和B的喷射头710的额外的实施方式。类似于图7A的实施方式,高压强区被分成用于输送反应物A的第一局部高压强区R0A和用于输送反应物B的第二局部高压强区R0B。但是,图7B包括将两个局部高压强区R0A和R0B分离开的额外的中央反应物去除区RV。在晶片附近,中央反应物去除区RV被分离器D5限定在一侧,且被分离器D6限定在另一侧(因此,在本实施例中,从上方看时,RV的在衬底附近的部分具有矩形的横截面)。中央反应物去除区RV通过连接件711与真空泵连接,以除去过量的反应物,并且在反应物被主动吸附到衬底701的表面上或接触衬底701的表面时有助于防止反应物的混合。这个实施方式可以被修改以提供任何数量的反应物。此外,中央反应物去除区RV可被分成另外的区,以进一步使反应物能在气体(非吸附的)状态混合的可能性最小化。类似于图7A的实施方式,从上方观察时,在图7B的实施方式中的喷射头可以具有基本上圆形的横截面或细长的、大致矩形的横截面。从上方观察时,如果喷射头基本上是圆形的,则压降区R1和R2可以是环形形状的。如果喷射头是细长的,则压降区R1、R2也可以是细长的,沿整个喷射头的长度延伸,R1区邻接局部高压强区R0A和R0B的整个长度,而R2区邻接R1区的整个长度。
如上面所提到的,分别提供两种或更多种反应物的另一种方式是使用两个或更多个喷射头。两个或更多个喷射头可以是完全独立的,或者它们可以共享如真空连接件、机械臂等一个或多个部件。此外,两个或更多个喷射头可用于分别提供反应物到晶片的不同部分,即使在两个喷射头都提供同样的反应气体也如此。
所公开的实施方式可用于在局部高压强下输送任何气相反应物到衬底的表面上。在一些实施方式中,通过喷射头输送的气相反应物包含一种或多种氧化剂、卤剂(halogenators)、还原剂、络合剂、酸、碱、醇、酮、醛(aldehides)、或酯或它们的任意组合。实例包括但不限于:H2O、H2O2、NO2、NO、N2O、CF4、C2F6、CHF3、SF6、HF、HCl、HI、HNO3、Cl2、CClF3、CCl2F2、HBr、Br2、F2、H2、NH3、甲醇、乙醇、异丙醇、乙酸、甲酸、羧酸、丙酮、甲基乙基酮、乙酰丙酮(acac)、氢氟丙酮(hfac)、甲醛、乙酸丁酯、和它们的任意组合。此外,离子的任何来源和类型都可以使用。离子可以是惰性的,反应性的,非反应性的,或惰性的、反应性的和非反应性的离子的组合。示例性的惰性离子包括稀有气体,如氩、氦、氖、氪、氙等。示例性的反应离子包括氮、氢、氧、氟、溴、碘、硫等。非反应性的离子的实例包括:氮、硅、碳、锗、硼和铝。惰性离子可以特别适合于例如在涉及在制造MRAM和FeRAM器件的工艺中蚀刻非挥发性材料。另一方面,反应性离子可以特别适于蚀刻半导体材料,这可能涉及用于制造逻辑和存储器件的过程。
在一些实施方式中,用于产生离子的气体的流率为介于约0.1-1000sccm之间。在这些或其它实施方式中,通过喷射头的反应物气体的流率介于约0.1-5000sccm之间,例如介于约10-500sccm之间。反应物可在介于约0.1至100乇之间(在某些情况下,例如在介于约1-50乇之间)的压强提供到喷射头的局部高压强区。在上述相关的参照图2A-2C的其中氧离子作用以氧化金属表面并且反应性气体(例如,乙酸)用来除去被氧化的金属的实施例中,乙酸的流率可介于约10sccm-500sccm之间,并且氧离子流密度可以介于约0.1-20mA/cm2之间。
在一些实施方式中,喷射头可被加热或冷却。可能需要加热喷射器头以注入反应物蒸气(以防止反应物的凝结),或以提供一些热能来实现在衬底上的表面反应。在其他实施方式中,喷射器头可以被冷却,以促进在衬底上的反应物的表面吸附。
喷射头可任选地包含一个或多个集成到头或连接至头的诊断元件或端点探测器。检测器或诊断元件可被放置在局部高压强区、在一个或多个中间压区、在真空排气区、或在头外面但靠近头处。诊断或端点检测器可包括:残余气体分析、FTIR光谱仪、椭圆偏振、消光系数测量、或其它光学膜厚度测量设备,原子吸收光谱仪、光谱仪、离子诱导发光光谱仪、法拉第杯、干涉仪、石英晶体微量天平、AFM探针、磁场传感器、涡流传感器、介电谐振器或其他非接触方块电阻(sheet resistance)传感器。
虽然在反应性离子束蚀刻工艺的背景中已对实施方式进行了描述,但它们并不限于此。预计,所公开的喷射头将在任何涉及以局部方式输送一种或多种高压强反应物到表面上的应用是有用的,其中,期望的总压强(喷射头外的)被保持低的。
用于执行所公开的实施方式的装置通常包括具有编程来控制蚀刻过程的系统控制器。控制器可以执行系统控制软件,该软件可以存储在大容量存储设备中,加载到存储器设备中,并且在处理器上执行。在某些情况下,该软件可以通过网络传送。各种处理工具组件子程序或控制对象可以被写入以控制进行各种处理工具工序所需要的处理工具组件的操作。系统控制软件可以以任何合适的计算机可读编程语言编码。在一些实施方式中,该系统控制软件可以包括用于控制在本文讨论的各种参数的输入/输出控制(IOC)测序指令。系统控制器也可以与其他的计算机软件和/或程序相关联,其他的计算机软件和/或程序可被存储在与控制器相关联的大容量存储设备或存储器装置上。用于此目的的程序或程序段的实例包括衬底定位程序、等离子体气体控制程序、反应物气体控制程序、压强控制程序、温度控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的代码,处理工具组件用来装载衬底到衬底支撑件上以及卸载衬底支撑件上的衬底并且控制衬底和处理装置的其它部件(如喷射头)之间的间隔。等离子体气体控制程序可包括用于控制气体的组成和流率的代码,该气体用于产生等离子体,离子从该等离子体提取。反应气体控制程序可包括用于控制组成、流率和压强的代码,在该组成、流率和压强下的反应物气体被输送通过喷射头(或到/通过该装置的其他部分)。压强控制程序可包括用于控制输送单个反应物的压强、去除反应物的压强、以及衬底处理区保持的压强的代码。温度控制程序可包括用于控制加热和/或冷却用于保持衬底、衬底支撑件、和/或衬底处理区在特定温度下的设备的代码。等离子体控制程序可以包括用于以一定的功率和频率产生等离子体的代码。
该系统控制软件可包括用于在本文公开的流率和/或压强下输送反应物的指令。这样的指令可涉及用于产生等离子体(从其中离子被提取)的气体的输送,或它们可以涉及一种或多种气体通过一个或多个喷射头的输送。系统控制软件还可以包括用于在一定真空压下除去过量的反应物的指令。此外,系统控制软件可以包括与喷射头相对于所述衬底的移动相关的指令。例如,这些指令可以涉及移动喷射头、移动衬底、或两者兼而有之。在多种情况下,系统控制软件包括以使得随着时间的推移在平均时以空间均匀的方式输送反应物的方式相对于衬底移动喷射头的指令。这些指令还可以涉及装载和卸载衬底所需的任何操作。
系统控制软件可进一步包括用于保持衬底处理区在一定的压强(例如本文所列的低压强中的任何低压强)下的指令。系统控制软件还通常包括用于控制蚀刻过程的时序的指令。在许多情况下,控制器还控制施加到各电极的偏置。因此,系统控制软件可包括用于施加第一偏置到提取电极,第二偏置到聚焦电极、以及第三偏置(或地面条件)到下电极和衬底/衬底支撑件的指令。在一些实施方式中,这些指令还包括通过加热或冷却将衬底和/或衬底处理区维持在特定温度下。
当使用活门来调节离子通量时,系统控制软件可以包括通过在期望的时间打开和关闭活门以调节离子的指令。在特定的实施方式中,软件包括仅当喷射头没有在表面上能动地存在时打开活门(由此允许离子撞击到晶片表面上)的指令。在相关的实施方式中,软件包括维持某些活门打开而某些活门关闭的指令,关闭的活门换句话说是使得离子能撞击在喷射头上的那些活门,而打开的活门是使得离子能直接撞击在晶片表面上(即,离子能打在衬底表面上而不是在喷射头上)的那些活门。
对于等离子体的产生,系统控制软件可以包括用于在特定的流率、温度和/或压强下提供等离子体产生气体的指令。这些指令还可以涉及用于产生等离子体的功率(例如,RF功率)的量,以及传输这样的功率的频率。
在一些实施方式中,用户界面可以与系统控制器相关联,用户界面可以包括显示屏幕,装置的图形软件显示器和/或工艺条件,以及用户输入设备,诸如定点设备、键盘、触摸屏、麦克风等。
在许多实施方式中,系统控制器用于调节其它工艺参数。这样的参数可以包括,但不限于:反应物气体组成、流率、和压强,等离子体产生气体组成、流率、和压强,在衬底处理区的压强,施加到单个的电极的偏置,温度,等离子体条件(例如,频率和功率),晶片和/或喷射头的位置等等。
用于监控处理的信号可以通过系统控制器的模拟和/或数字输入连接件从各种处理工具的传感器提供。用于控制处理的信号可以通过控制器的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器、压强传感器、热电偶等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用以维持工艺条件。在某些实施方式中,可以使用距离传感器以提供用于控制衬底和喷射头之间的距离的反馈。
上面所描述的各种硬件和方法的实施方式可以与光刻图案化工具和/或工艺结合使用,例如,以用于制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地,但不必然地,此类工具/工艺将在普通的制造设施中一起使用或执行。
光刻图案化膜通常包括以下步骤中的一些或全部,每个操作能够使用多种可行的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件上,例如涂覆在上面形成有氮化硅膜的衬底上;(2)使用热板或炉或其它合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便使用诸如湿式台或喷射显影器之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助式的蚀刻工具将抗蚀剂图案转移到下伏膜或工件;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
应当理解的是,本文描述的配置和/或方法在本质上是示范性的,且这些具体的实施方式或实施例不应视为有限制的意思,因为许多变化是可能的。本文中所描述的具体例程或方法可表示任何数量的处理策略中的一个或多个。这样,可以按所示的序列、按其它序列、并行地、或在某些情况下省略地执行所示的各种操作。同样地,上述的处理的顺序可以改变。
本公开的主题包括多种处理、系统和配置、以及其它特征、功能、操作、和/或本文公开的属性的所有新颖的和非显而易见的组合和子组合,以及任何和所有等同物。

Claims (10)

1.一种喷射头,其用于提供反应物至衬底的表面,所述喷射头包括:
朝向衬底的区,该朝向衬底的区包括:
(i)第一反应物输送管道的第一反应物出口区,和
(ii)配置为耦合到第一真空管道的第一抽吸区。
2.如权利要求1所述的喷射头,还包括上表面,所述上表面与所述朝向衬底的区相对设置,其中,所述上表面涂覆有抗溅射材料。
3.如权利要求2所述的喷射头,其中,所述抗溅射材料包括无定形碳。
4.如权利要求2所述的喷射头,其中,所述抗溅射材料包括硅。
5.如权利要求2所述的喷射头,其中,所述抗溅射材料包括氧化硅。
6.如权利要求2所述的喷射头,其中,所述抗溅射材料包括铝。
7.如权利要求2所述的喷射头,其中,所述抗溅射材料包括氧化铝。
8.如权利要求1所述的喷射头,所述朝向衬底的区还包括第二反应物输送管道的第二反应物出口区。
9.如权利要求8所述的喷射头,其中,所述第一反应物出口区将第一反应物输送到所述衬底的所述表面并且所述第二反应物出口区将第二反应物输送到所述衬底的所述表面,所述第一反应物和第二反应物彼此不同。
10.如权利要求1-9中任一项所述的喷射头,还包括加热所述喷射头的加热器。
CN201811027616.2A 2014-08-12 2015-08-12 差动泵送反应气体喷射器 Active CN109402637B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/458,161 2014-08-12
US14/458,161 US9837254B2 (en) 2014-08-12 2014-08-12 Differentially pumped reactive gas injector
CN201510494523.0A CN105374713B (zh) 2014-08-12 2015-08-12 差动泵送反应气体喷射器

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201510494523.0A Division CN105374713B (zh) 2014-08-12 2015-08-12 差动泵送反应气体喷射器

Publications (2)

Publication Number Publication Date
CN109402637A true CN109402637A (zh) 2019-03-01
CN109402637B CN109402637B (zh) 2021-03-09

Family

ID=55302668

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201811027616.2A Active CN109402637B (zh) 2014-08-12 2015-08-12 差动泵送反应气体喷射器
CN201510494523.0A Active CN105374713B (zh) 2014-08-12 2015-08-12 差动泵送反应气体喷射器

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201510494523.0A Active CN105374713B (zh) 2014-08-12 2015-08-12 差动泵送反应气体喷射器

Country Status (6)

Country Link
US (2) US9837254B2 (zh)
JP (1) JP6599166B2 (zh)
KR (1) KR102513666B1 (zh)
CN (2) CN109402637B (zh)
SG (1) SG10201506242SA (zh)
TW (2) TWI671427B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6426489B2 (ja) * 2015-02-03 2018-11-21 東京エレクトロン株式会社 エッチング方法
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
CN109219863B (zh) * 2016-06-03 2021-02-09 应用材料公司 基板距离监控
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
CN108232002B (zh) * 2016-12-14 2022-02-25 上海磁宇信息科技有限公司 一种制备磁性隧道结阵列的方法
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102383108B1 (ko) 2018-05-18 2022-04-04 삼성전자주식회사 웨이퍼 처리 장치 및 메모리 소자의 제조 방법
KR102208609B1 (ko) * 2018-12-28 2021-01-28 (주)에스테크 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN114695210B (zh) * 2022-06-02 2022-09-09 西安奕斯伟材料科技有限公司 一种用于硅片边缘刻蚀的装置和方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200794A (en) * 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
CN1661762A (zh) * 2004-02-26 2005-08-31 Tdk株式会社 离子束辐照装置和用于该装置的绝缘隔离物
US20110212625A1 (en) * 2010-02-26 2011-09-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
CN103154310A (zh) * 2010-10-05 2013-06-12 威科仪器有限公司 提供子束转向的栅格

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
JPS5432396A (en) 1977-08-17 1979-03-09 Toshiba Corp Gas sensitive element
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
JP3906686B2 (ja) * 2001-12-28 2007-04-18 三菱電機株式会社 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4233348B2 (ja) * 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
WO2005043115A2 (en) 2003-10-20 2005-05-12 Ionwerks, Inc. Ion mobility tof/maldi/ms using drift cell alternating high and low electrical field regions
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
KR100706809B1 (ko) 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
EP1992007A4 (en) * 2006-03-03 2010-05-05 Prasad Gadgil APPARATUS AND METHOD FOR THIN FILM CHEMICAL PROCESSING BY MULTIPLE ATOMIC LAYER OVER AN EXTENDED AREA
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
SG174927A1 (en) 2009-04-13 2011-12-29 Applied Materials Inc Modification of magnetic properties of films using ion and neutral beam implantation
WO2011011532A2 (en) 2009-07-22 2011-01-27 Applied Materials, Inc. Hollow cathode showerhead
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR20110097193A (ko) 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012057251A (ja) * 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
RU2579749C2 (ru) 2010-08-23 2016-04-10 Эксодженезис Корпорейшн Способ и устройство обработки нейтральным пучком, основанные на технологии пучка газовых кластерных ионов
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5432396B1 (ja) * 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200794A (en) * 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
CN1661762A (zh) * 2004-02-26 2005-08-31 Tdk株式会社 离子束辐照装置和用于该装置的绝缘隔离物
US20110212625A1 (en) * 2010-02-26 2011-09-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
CN103154310A (zh) * 2010-10-05 2013-06-12 威科仪器有限公司 提供子束转向的栅格

Also Published As

Publication number Publication date
TW201619433A (zh) 2016-06-01
CN105374713B (zh) 2018-10-09
US20160049281A1 (en) 2016-02-18
CN109402637B (zh) 2021-03-09
CN105374713A (zh) 2016-03-02
US20180047548A1 (en) 2018-02-15
SG10201506242SA (en) 2016-03-30
TWI702307B (zh) 2020-08-21
JP6599166B2 (ja) 2019-10-30
US9837254B2 (en) 2017-12-05
JP2016040825A (ja) 2016-03-24
TWI671427B (zh) 2019-09-11
KR20160019876A (ko) 2016-02-22
TW201936981A (zh) 2019-09-16
US10580628B2 (en) 2020-03-03
KR102513666B1 (ko) 2023-03-23

Similar Documents

Publication Publication Date Title
CN105374713B (zh) 差动泵送反应气体喷射器
TWI690241B (zh) 透過對於能量吸收劑氣體之碰撞共振能量轉移的電漿之真空紫外線放射調整
US9039910B2 (en) Methods and apparatus for controlling photoresist line width roughness
CN104465457B (zh) 双等离子体源反应器处理晶片中离子与中性物质比控制
CN105489485B (zh) 处理被处理体的方法
JP2017103454A (ja) エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正
KR20150008914A (ko) 오염 제거 장치 및 방법
CN107045969A (zh) 用于图案化非挥发性金属的室
CN108878285A (zh) 蚀刻方法
US7488689B2 (en) Plasma etching method
CN109923648A (zh) 处理被处理体的方法
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
JPH04268727A (ja) ドライエッチング方法およびドライエッチング装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant