TWI702307B - 噴射頭及使用該噴射頭的設備 - Google Patents

噴射頭及使用該噴射頭的設備 Download PDF

Info

Publication number
TWI702307B
TWI702307B TW108120628A TW108120628A TWI702307B TW I702307 B TWI702307 B TW I702307B TW 108120628 A TW108120628 A TW 108120628A TW 108120628 A TW108120628 A TW 108120628A TW I702307 B TWI702307 B TW I702307B
Authority
TW
Taiwan
Prior art keywords
substrate
reactant
spray head
area
patent application
Prior art date
Application number
TW108120628A
Other languages
English (en)
Other versions
TW201936981A (zh
Inventor
伊凡 L 貝里三世
托爾斯滕 立爾
肯尼斯 里斯 雷諾茲
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201936981A publication Critical patent/TW201936981A/zh
Application granted granted Critical
Publication of TWI702307B publication Critical patent/TWI702307B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/36Gas-filled discharge tubes for cleaning surfaces while plating with ions of materials introduced into the discharge, e.g. introduced by evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma Technology (AREA)

Abstract

用以將材料從表面上移除的一方法為離子蝕刻。在某些例子中,離子蝕刻涉及將離子與反應性氣體朝向基板輸送,同時在局部高壓輸送面積之外的基板部分上維持較低的壓力。低壓係透過下列方式達成:將高壓的反應物輸送限縮在小的面積中,並且將多餘的反應物與副產物透過真空而抽離(在該等反應物與副產物離開此小的面積並進入較大的基板處理區域之前)。所揭露之技術可用於提高產量,同時將離子與存在於基板處理區域中的其他物種之間的不利的碰撞可能性最小化。

Description

噴射頭及使用該噴射頭的設備
本發明係關於差分式抽取反應性氣體注射器。
半導體裝置的製造一般涉及將各種材料沉積到半導體基板上以及從其上移除的一系列的操作。一種用於移除材料的技術為離子束蝕刻,其涉及將離子輸送到基板的表面上,以將原子與化合物以異向性的方式從該表面上物理地及/或化學地移除。衝擊性的離子撞擊該基板的表面,並且透過動量傳遞(就反應性離子蝕刻而言又透過反應)來將材料移除。
本文中的某些實施例關於執行離子束蝕刻以將材料從基板的表面上移除的方法與設備。在許多例子中,噴射頭可用於以局部高壓來輸送反應物,同時在該噴射頭之外的較大的基板處理區域中維持較低壓。可透過在該噴射頭的局部高壓的反應物輸送區域周圍或鄰近的區域中,施加真空壓力來維持該低壓。此處理方案允許低壓的離子束處理,併用高壓的局部反應物輸送,藉此減少處理時間並提高產量。
在本文之實施例的一態樣中,提供用以將材料從半導體基板上移除的一設備。該設備包括一反應腔室;一基板支座,其用以將基板固持在該反應腔室中;一離子來源,其配置以將離子朝向該基板支座輸送;一噴射頭,其用以在當基板被固持在該基板支座上時,將反應物提供到該基板的表面;以及一移動機制,其用以將該噴射頭相對於該基板支座而移動。其中該噴射頭包括:一面向基板的區域,該面向基板的區域包括(i)反應物輸送導管的反應物輸出區域以及(ii)與真空導管結合的負壓區域;以及一移動機制,其用以使噴射頭相對於基板支座而移動。
該反應物輸送導管可配置成和來自反應物來源的管線結合。相似地,該真空導管可配置成與通往真空泵浦的管線結合。該噴射頭的面向基板的區域包括該反應物輸送導管的一終端以及該真空導管的一終端,且該等終端在一些例子中可實質上共面。該離子來源一般包括用以產生電漿的電漿產生器。在許多例子中,該離子來源亦包括電極,其用以從電漿中擷取出離子並將該等離子引導到該基板支座。在一些例子中,使用兩個電極。在其他例子中,使用三個電極。在某些例子中,使用四或更多的電極。
在某些實施例中,當基板被設置在該基板支座上時,該基板支座、該噴射頭、及/或該移動機制可配置以維持該噴射頭與該基板的表面之間的間隔距離。該間隔距離可約1cm或更短,例如約10mm或更短、或約5mm或更短、或約2mm或更短、或約1mm或更短。同樣地,可使用其他的間隔距離。在一些例子中,可透過來自距離感測器的回饋而主動地控制該間隔距離。
該負壓區域一般在該反應物輸出區域鄰近之處。在一些實施例中,該負壓區域在或實質上在該反應物輸出區域周圍之處。在一些例子中,可將第二負壓區域與該真空導管結合。該第二負壓區域一般在該負壓區域鄰近之處。在一些例子中,該第二負壓區域在或實質上在該負壓區域周圍之處。透過使用在該反應物輸出區域鄰近及/或周圍的一或更多的負壓區域,可在多餘的反應物氣體逸入較大的基板處理區域(不樂見地,反應物在此處與離子束的離子發生碰撞)之前,將此種反應物(透過該等負壓區域)從腔室中移除。
該反應物輸出區域可具有各種形狀。在一些例子中,當從上方觀看時,該反應物輸出區域具有圓形或橢圓形的剖面。在其他例子中,當從上方觀看時,該反應物輸出區域具有多邊形的剖面。在某些實施例中,當從上方觀看時,該反應物輸出區域既長且窄,並具有裂縫狀的剖面。該反應物輸出區域的長度可小於、幾乎等於、或大於在該設備中待處理的標準基板的直徑。在特定例子中,該反應物輸出區域的長度至少約等於、或大於在該設備中待處理的標準半導體基板的直徑。例如,該標準半導體基板具有約200mm、約300mm、或約450mm的直徑。此較長的長度尤其應用在當該噴射頭既長且窄時。在平行於該基板支座的方向上,該反應物輸出區域具有介於約0.5mm到10cm的寬度。透過寬度介於約0.5mm與2cm的一分隔件,可將該反應物輸出區域與該負壓區域隔開,其中該分隔件的寬度使該反應物輸出區域與該負壓區域分開。在一些例子,該負壓區域及/或該第二負壓區域具有介於約1mm與5cm的寬度。
在一些例子中,該設備更包括一斷續器(shutter)。該斷續器可配置以調節離子的通量。該斷續器可位於該離子來源與該基板支座之間。在特定的例子中,該斷續器可配置以透過下列方式來調節離子的通量:允許某些離子通過該斷續器,同時阻止其他離子通過該斷續器,其中被阻止通過該斷續器的離子係若不阻止則反而會撞擊該噴射頭者。在許多例子中,該噴射頭更包括包覆該反應物輸送導管以及該真空導管的外殼。該外殼包括面向離子來源的表面(與該噴射頭的面向基板的區域相對),該面向離子來源的表面包括防噴濺材料。在一些例子中,可使用防噴濺材料塗布在該噴射頭上(至少在其上表面上)。在一些實施例中,該噴射頭可配置以局部地輸送二或更多的個別的反應物,而該等反應物在輸送之前彼此實質上不混合。在一些例子中,可配置第二噴射頭以供應另外的反應物氣體。
該設備更包括感測器、感測頭、偵測器、或偵測頭中的至少一者,其可被架設該噴射頭之上、或與其相鄰、或併入其中。一或更多的感測器及/或偵測器可配置以監測下列各項中的至少一者:位於該反應物輸出區域之內的(i)反應物、(ii)一或更多的反應物副產物、及/或(iii)基板。在這些或其他例子中,一或更多的感測器及/或偵測器可配置以監測下列各項中的至少一者:位於該負壓區域之內的(i)反應物、(ii)反應物副產物、及/或(iii)基板。此外,在這些或其他例子中,一或更多的感測器及/或偵測器可配置以監測下列各項中的至少一者: 位於該真空導管中的(i)反應物、及/或(ii)反應物副產物。且在一些例子中,一或更多的該感測器及/或偵測器可配置以監測下列各項中的至少一者: 鄰近該噴射頭的(i)反應物、(ii)反應物副產物、及/或(iii)基板。
該噴射頭一般配置以相對於基板的表面而移動。在一些例子中,該設備包括用於在基板上方沿著一軸移動噴射頭的軌道。在一些例子中,一真空相容性X-Y工作臺可用於在該基板上方移動該噴射頭。再者,一旋轉機制可配置以在該噴射頭下方旋轉該基板。在一些實施例,一真空相容性環接式(articulating)機器手臂可用於相對於基板而移動噴射頭。
在一些實施例中,可將該噴射頭分成多個區段,該等區段被配置以接受或經受(i)不同的反應物、(ii)不同的反應物流速、及/或(iii)不同的真空流導。可使用一或更多的固定式孔洞、可變式孔洞、及/或質流控制器,以獨立地控制供應或施加到各個區段的反應物的流速或真空流導。在許多例子中,該等區段位在該反應物輸出區域之中及/或位在該負壓區域之中。在某些實施例中,加熱及/或冷卻元件可包括在該噴射頭中。在一些實施例中,該噴射頭可配置成以一接點為樞軸(pivot)。可改變該噴射頭的寬度以提供在基板的表面上的均勻的氣體覆蓋率。
在許多實施例中,該設備更包括一控制器。該控制器具有用於以第一壓力在反應物輸出區域中將反應物輸送到基板的表面上,並同時將該反應物從負壓區域中移除的指令。該控制器可包括下列動作的指令:在反應物輸出區域以及負壓區域之外的區域中,將反應腔室中維持在第二壓力下,其中該第二壓力比該第一壓力低至少約25倍。在其他例子中,該第二壓力比該第一壓力低至少約100倍、或比該第一壓力低至少約500倍、或比該第一壓力低至少約1000倍、或比該第一壓力低至少約2000倍、或比該第一壓力低至少約3000倍。該控制器包括相對於基板而移動噴射頭的指令。以蝕刻操作的總時間平均而言,相對於基板而移動該噴射頭的指令可達到橫跨基板表面的離子的實質上均勻的通量。相對於基板而移動該噴射頭的指令包括移動基板的指令。替代地或另外加上,相對於基板而移動該噴射頭的指令包括移動該噴射頭之指令。該控制器亦包括下列動作的指令:產生電漿、將第一偏壓施加到擷取電極、並且將第二偏壓施加到聚焦電極。
在所揭露之實施例的另一態樣中,提供將材料從半導體基板上移除的設備,該設備包括: 一反應腔室;一基板支座,其用以將基板固持在該反應腔室中;一離子或電漿來源,其配置以將離子朝該基板支座輸送;一噴射頭,其用以在當基板被固持在該基板支座上時,將反應物提供到該基板的表面,以及一移動機制,其用以使該基板支座或該噴射頭相對彼此而移動。其中該噴射頭包括: 一面向基板的區域,該面向基板的區域包括(i)反應物輸送導管的反應物輸出區域,以及(ii)與真空導管結合的負壓區域,而其配置以於基板周圍將多餘的反應物移除。
在所揭露之實施例的另一態樣中,提供將材料從半導體基板上移除的方法。該方法包括下列步驟:將基板提供到反應腔室中;將該基板的表面暴露到從離子來源發射出來的離子;將該基板暴露到反應物氣體,藉以允許該反應物氣體在位於基板表面的第一部份上之反應物輸出面積中接觸基板的表面 (其中該反應物氣體以第一壓力被提供到該反應物輸出面積),並同時在位於基板表面上並實質上圍繞該反應物輸出面積之抽吸面積中,將該反應物氣體移除,其中在該反應物輸出面積以及該抽吸面積之外,將該反應腔室維持在第二壓力下,其中該第二壓力比該第一壓力低至少約25倍;並且將材料從基板上移除,此係暴露到離子且暴露到反應物氣體之結果。
在一些例子中,該第二壓力比該第一壓力低至少約100倍、或比該第一壓力低至少約500倍、或比該第一壓力低至少約1000倍、或比該第一壓力低至少約2000倍、或比該第一壓力低至少約3000倍。在某些例子中,該第二壓力約10mTorr或更低,例如約1mTorr或更低。
該方法亦包括移動該基板表面上的反應物輸出面積之步驟。可以下列方式來移動該基板表面上的反應物輸出面積: 以總時間平均而言的空間上均勻的方式來輸送反應物。在其他例子中,可以下列方式來移動該基板表面上的反應物輸出面積: 以總時間平均而言的空間上非均勻的方式來輸送反應物。移動該基板表面上的反應物輸出面積之步驟包括旋轉該基板。在這些或其他例子中,移動該基板表面上的反應物輸出面積之步驟包括掃描該基板表面上的反應物輸出面積。在許多實施例中,將該基板暴露到反應物氣體之步驟包括:將反應物氣體輸送到一噴射頭中,該噴射頭包括一面向基板的區域,該面向基板的區域包括:(i)反應物輸送導管的反應物輸出區域,其中該反應物輸出區域將反應物輸送到該反應物輸出面積;以及(ii)與一真空導管結合的負壓區域,其中該負壓區域將該抽吸面積中的反應物移除。在該噴射頭輸送反應物氣體時,該噴射頭的下表面與該基板的表面之間的距離維持在約0.1-5mm之間。小的間隔距離有助於將反應物進入較大的基板處理區域(此種反應物分子可能在此處與離子束發生碰撞)之逸入可能性最小化。
可視所需使用各種類型的離子。在一些例子中,離子為惰性或非反應性。在其他例子中,離子為反應性。例如,在一些例子中,離子將基板上的材料氧化。反應物氣體可與基板上的經氧化的材料進行反應,藉以將該經氧化的材料移除。在某些實施例中,該反應物氣體包括選自由下列各項所組成之群組中的一或更多的氣體:氧化劑、 鹵化劑、還原劑、 錯合劑、酸類、鹼類、醇類 、酮類、醛類、或酯類、或其中之任何組合。範例包括(但不限於): H2 O、 H2 O2 、 NO2 、 NO、N2 O、 CF4 、 C2 F6 、 CHF3 、SF6 、 HF、 HCl、HI、 HNO3 、Cl2 、 CClF3 、 CCl2 F2 、 HBr、 Br2 、 F2 、 H2 、 NH3 、 甲醇、乙醇 、異丙醇、乙酸 、甲酸 、羧酸 、丙酮、甲基乙基酮、 乙醯丙酮(acac)、氫氟丙酮(hfac)、甲醛、以及乙酸丁酯。
在許多實施例中,將該基板暴露到離子之步驟包括:產生電漿;透過將第一偏壓施加到擷取電極(位在電漿與基板之間)以將離子從該電漿中擷取出來;並且透過將第二偏壓施加到聚焦電極(位在該擷取電極以及該基板之間)以將離子聚焦。將材料從基板上移除之步驟一般包括將基板上至少一部分的材料層移除。該材料層可形成非暫態記憶體裝置的特徵部。該非暫態記憶體裝置可為MRAM裝置。該非暫態記憶體裝置可為FeRAM裝置。該非暫態記憶體裝置可為PCM裝置。該非暫態記憶體裝置可為3D疊層記憶體裝置。
在某些實施例中,調節反應物的壓力及/或反應物的流量,以產生總時間平均而言在基板表面上的均勻的材料移除速率。在其他實施例中,調節反應物的壓力或反應物的流量,以產生總時間平均而言在基板表面上的非均勻的材料移除速率。在該噴射頭的不同區段中可維持不同的反應物壓力或不同的反應物流速,以產生總時間平均而言在基板表面上的均勻的材料移除。在其他例子中,在該噴射頭的不同區段中可維持不同的反應物壓力或不同的反應物流速,以產生總時間平均而言在基板表面上的非均勻的材料移除。
該等以及其他的特徵將參考相關的圖式於下方描述。
在本案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分已製成積體電路」等用語可互換地使用。本技術領域中具有一般技藝者應知悉的係,「部分已製成積體電路」這個用語係指涉在其上的許多積體電路製程階段中之任一期間的矽晶圓。使用於半導體裝置產業的晶圓或基板典型地具有200mm、或300mm、或450mm的直徑。接下來的實施方式假設本發明係在一晶圓上實施。然而,本發明並非這般限制性。工作件可具有各種形狀、尺寸、以及材料。除了半導體晶圓,其他可受益於本發明的工作件包括各種物件,例如印刷電路板、平面顯示器、半導體封裝件、磁性記錄媒介與裝置、光學裝置、鏡子與其他反射媒介、板狀金屬或實質上平面狀的其他材料、以及其他相似物。
為提供本文中呈現之實施例的全面性的認識,將於下列實施方式中闡述多個具體細節。毋須一些或全部之該等具體細節即可實施所揭露之實施例。在其他例子中,為了避免不必要地混淆所揭露之實施例,熟知的處理作業將不再贅述。雖然所揭露之實施例將聯合具體實施例一起描述,但應知悉其本意非限制所揭露之實施例。
離子束蝕刻普遍用於半導體裝置的製造中。如前文提及,離子束蝕刻涉及透過將高能量的離子輸送到基板的表面,而將材料從該基板的表面上移除。離子束蝕刻可概括地分類成兩種處理:僅涉及惰性離子(例如氬離子)的處理;以及涉及反應性離子、或涉及由離子所引發的化學反應的處理(例如與化學性吸附或物理性吸附於基板表面上的反應物一起引發化學反應的氧離子、某些離子化合物(如含氟離子化合物)、反應性或惰性離子)。在兩種處理中,離子撞擊基板表面並透過下列方式將材料移除:直接的物理動量傳遞(濺射);或因從離子傳遞能量所引發的化學反應(反應性離子束蝕刻)。反應性離子束蝕刻一般涉及下列各種離子的運用:除了物理碰撞之外又可與基板進行化學反應的離子 (例如氧、氟、以及其他相似物);或引發基板與反應物(例如吸附於表面上的所施加的氣體)之間的化學反應的惰性離子;或在基板的表面上產生反應性位置的離子(在該反應性位置產生之後或同時地,該反應性位置與所施加的反應物進行反應);或其中的任何組合。
離子束蝕刻處理的某些應用係關於非揮發性材料的蝕刻。在一些例子中,被蝕刻的材料為傳導性材料。在某些實施例中,材料在下列裝置的形成背景下被蝕刻:磁電阻式隨機存取記憶體 (MRAM)裝置、 自旋力矩轉移記憶體裝置(STT-RAM)、相變化記憶體裝置(PSM)、非揮發性導體(銅、鉑、金、以及其他相似物)。在其他應用中,控制離子到達基板的入射角的能力對於產生3D裝置(例如垂直疊層記憶體、FinFET 裝置、或環繞式閘極結構)係有用的。
當執行離子束蝕刻處理時,較佳的係促進離子在基板表面上的高度均勻的通量。高度的均勻性有利於產生橫跨整個基板表面的可靠的裝置。再者,在某些例子中,較佳的係促進離子的大通量及/或氣相反應物的大通量。大通量有助於最大化產量。影響蝕刻結果之品質的另一因素為控制離子碰撞表面時的能量與角度的能力。這些因素對於形成具有所需尺寸與輪廓的特徵部而言係重要的。
圖1呈現用於根據某些方法來執行離子束蝕刻的設備100的簡易剖面圖。在此範例中,基板101被放置在基板支座103上,而基板支座103可配備有用以提供電氣或流體連接件之硬體(未顯示)。在一些例子中,該電氣連接件可用於將電力供應到基板支座103,而該流體連接件可用於提供流體,該流體可用於控制基板101與基板支座103的溫度。基板支座103可透過加熱器(未顯示)來加熱,或可透過冷卻機制(未顯示)來冷卻。該冷卻機制涉及使冷卻的流體流經位於基板支座103之中或與基板支座103相鄰的配管系統。在一些例子中,該加熱器可將基板加熱到至少約100°C的昇高溫度,例如至少約200°C、至少約300°C、或至少約400°C。在這些或其他例子中,該加熱器可將基板加熱到約600°C或較低的溫度。在使用冷卻機制的例子中,該冷卻機制可將基板冷卻到介於約室溫到-100°C的溫度。該基板支座103能夠以可變的速度與角度被旋轉或傾斜,如圖1中的雙頭箭號所標示的。
電漿產生氣體被輸送到主要電漿產生區域105。該電漿產生氣體被電漿來源107施加能量。在圖1的內容中,電漿來源107為做為電感耦合式電漿來源的線圈。在經適當設計的反應器中可應用其他的來源,例如電容耦合式來源、微波來源、或電弧放電來源、液態金屬離子來源、或場致電離來源。電漿在主要電漿產生區域105中形成。擷取電極109包含一系列的孔洞110,而離子通過該等孔洞110被擷取出來。施加到擷取電極109的偏壓 V1 可提供離子相對於基板的動能。該偏壓通常為正的,且範圍介於約20 – 10000 V或更多,且在一些例子中範圍介於 25 – 2000 V。因為擷取電極109與下電極113之間的電位差,位於擷取電極109上方的電漿中的陽離子被吸引到下電極113。加設聚焦電極 111以將該等離子聚焦,且若有需要,其用以排斥電子。聚焦電極 111上的偏壓V2 可相對於擷取電極109為正或負, 且在許多例子中被施加負偏壓。聚焦電極 111的偏壓電位由聚焦電極 111的透鏡特性決定。此電極上的電壓範圍從偏壓V1 以上的正電壓(例如偏壓V1 的約1.5倍到10倍之間)到負電壓 (例如偏壓V1 的約-0.001倍到 -0.9倍之間)。因為對於不同的電極施加不同的電位,所以存在電位梯度。該電位梯度約為1000 V/cm。相鄰的電極之間的例示性間隔距離介於約 0.1 – 10cm,或例如約1 cm。離子在離開接地的下電極113的底部之後,在準直且聚焦的波束中前進。
在許多(但非全部)的例子中,下電極113被接地。接地的下電極113與接地的基板101結合地使用,形成實質上無電場(field-free)的基板處理區域115。使基板坐落在無電場的區域中可避免電子或二次電子(因離子束與剩餘的氣體或與反應腔室之表面的撞擊而產生)朝向基板加速,而導致不樂見的損害或二次反應。此外,重要的係,應避免基板101因離子束本身、或因逐出二次電子(在離子束與基板的碰撞期間產生)而充電。中和作用一般係透過在基板101的周圍加設低能量的電子來源而達成。因為離子上的正電荷與逐出二次電子均對基板充正電,所以在周圍的低能量的電子被吸引到充正電的表面,並且將此電荷中和。該中和作用在無電場的區域中較容易執行。
在一些應用中,較佳的係在下電極113與基板101之間具有電位差。例如,若需要非常低能量的離子,因為帶正電荷的離子之相互排斥作用(空間電荷效應),所以難以長距離地將良好準直的波束維持在低能量。針對此問題的解決方法為相對於基板101將下電極113施加負偏壓(或相反地,相對於下電極113將基板101施加正偏壓)。此偏壓方案涉及將較高能量的離子擷取出來,然後當該等離子到達基板時將該等離子降速。
擷取電極109、聚焦電極 111、以及下電極113中的孔洞110精確地互相對準。否則,若離子將被不正確地引導,且晶圓上的蝕刻結果將不良。例如,若聚焦電極 111中的單一個孔洞未被對準,這可能造成基板101的一面積被過蝕刻(太多離子被導向該處),而基板101的另一面積則蝕刻不足(沒有或太少離子被導向該處)。因此,較佳的係盡可能地將該等孔洞相互對準。在許多例子中,垂直地相鄰的電極之間的對準偏移量(由孔洞之位置相較於相鄰的孔洞的線性偏移距離量測而得)被限縮到約1%或更低的孔洞的直徑。
離子束蝕刻處理一般係在低壓下進行。在一些實施例中,壓力約100 mTorr 或更低,例如約 10 mTorr或更低、或約1 mTorr或更低,且在一些例子中為約 0.1 mTorr 或更低。低壓有助於將離子與任何氣態物種(存在於基板處理區域中)之間不樂見的碰撞可能性最小化。
可惜的係,許多反應性離子束蝕刻應用中所需要的低壓,限制了將反應物輸送到基板處理區域的速度。若反應物在過高的速度下輸送,則壓力將提高,而離子-氣體的碰撞會造成問題。低的反應物流速之結果則為低產量的處理,因為化學反應物/蝕刻劑未存在於足夠的分壓下,故無法以快速的方式來有效地蝕刻表面。
本文中揭露的某些實施例透過下列方式解決此碰撞-產量的權衡問題:以較高的局部壓力,使用噴射頭將反應物氣體提供到基板的表面上,同時在噴射頭以外的地方維持較低壓力。在操作時,在該噴射頭的反應物輸送區域與該噴射頭的邊緣區域之間,該噴射頭可提供巨大的壓力梯度。該噴射頭輸送反應物,並同時地將多餘的反應物物種以及副產物透過真空而抽離。此配置可避免大部分的反應物氣體負載進入反應物可能與離子發生碰撞的區域,而因此實現下列兩者:整體基板處理區域中的較低壓;以及局部反應物輸送的較高壓。該噴射頭以將反應物氣體均勻地輸送到基板表面的方式(以總時間而言)來掃描晶圓的不同區域。雖然在任一給定的時間點上,掃描式噴射頭僅將高壓的反應物供應到局部的晶圓,但該噴射頭在蝕刻處理期間掃描基板的整個表面,使得以平均而言,係以均勻的方式輸送該反應物。可使用多種掃描方式以提供均勻的反應物輸送。替代地,該噴射頭可覆蓋整體的基板,且可交替地位在基板上方然後從基板上移開。
在可受益於所揭露的噴射頭的一例示性處理中,涉及蝕刻基板,如圖2A-2C所示。圖2A呈現處於蝕刻處理的起始部分的基板。該基板具有一底層(或複數的底層)201、還有局部暴露的鉑化鈷(CoPt)層202、以及位於上方的圖案化硬遮罩層204。在此範例中,該硬遮罩層為鉭。雖然提供這些材料作為範例,但所揭露之實施例可用於蝕刻任何透過離子束與所施加的反應物的順序組合,而可被蝕刻之各種材料。被蝕刻的例示性材料包括(但不限於): (1) 半導體(例如矽、矽-鍺、鍺),其在一些例子中係透過Cl2 、 HCl、 HBr、 或 Br2 氣體暴露之後接續惰性離子暴露 (例如,該惰性離子為 He、 Ne、 Ar、 Kr、 Xe、或該者之組合)的順序而蝕刻; (2)金屬(例如Cu、 Fe、 Co、 Ni、 Pd、 或 W),其在一些例子中使用氧離子束來氧化,然後與酸或有機蒸汽(例如乙醯丙酮(acac)、氫氟丙酮(hfac)、或乙酸、或甲酸)反應,以形成揮發性蒸汽或高蒸汽壓力的化合物; (3) 金屬或半導體(例如Si、 SiGe、 Ge、 III-V 族元素、 Pd、以及 Fe),其 在一些例子中係透過惰性或反應性離子 (H、 He、 O、 N、 F、 Cl、 或 Br 離子)進行表面活化,然後透過施加反應物氣體或蒸汽(例如 F2 、 HF、 Cl2 、 HCl、 Br2 、 HBr、 NH3 、乙酸、前項之組合等) 在反應性位置上進行化學蝕刻。
電漿係在位於電極組上方的主要電漿產生區域中產生。在此範例中,用於產生電漿的氣體包括氧,因此所產生的電漿包括氧離子。該氧離子前進通過該擷取電極、聚焦電極、以及下電極,並與基板的表面發生碰撞,如圖2A所示。在離子碰撞基板表面一段時間之後,鉑化鈷材料202的上表面變成經氧化的材料206,如圖2B所示。硬遮罩層204可抵抗氧化作用。在暴露到反應物氣體之後,將經氧化的材料206蝕刻掉,如圖2C所示。
在習知的方法中,此蝕刻之步驟涉及熄滅(extinguish)電漿、將基板輸送到另一處理腔室中、以及使用氧化物移除化學品(例如乙醯丙酮(acac)、氫氟丙酮(hfac)、或乙酸)來接觸基板。可透過其他化合物來移除其他的基板材料。在一些例子中,此移除係通過濕化學方法而發生。
然而,在所揭露的實施例中,可免去額外的步驟(例如使電漿熄滅、以及將基板輸送到新的處理腔室中)。在一範例中,該噴射頭掃描晶圓的表面,以用較高的局部分壓(例如基板處理區域中的壓力的至少約10倍、或至少約 100倍、或至少約 500倍、或至少約 1000倍、或至少約 2000倍、或在一些例子中至少約 3000倍的一分壓)來輸送反應物氣體或蒸汽,同時電漿存在於該主要電漿產生區域中、並且離子與該基板的表面發生活性碰撞。該反應物氣體將該經氧化的材料從表面上蝕刻掉。在另一範例中,該噴射頭掃描該基板的表面,以在某些時間點(離子未與該表面發生活性碰撞時)輸送反應物氣體。在此種範例中,使用斷續器以對離子進入該基板處理區域中的流量進行調整,如圖3所示。依此方式,可將電漿維持在引燃狀態,並且可視所需而斷續地開啟與關閉離子的流量。在該斷續器被開啟,且離子碰撞在基板的表面上時,可將該噴射頭移到路徑以外的位置(即非介於該基板與該等電極之間的位置)。
在一些例子中,該斷續器可配置以阻擋離子通過該等電極的某些區域,但允許離子通過該等電極的其他區域。在此例子中,該斷續器可獨立地擋住或不擋住該等電極中個別的孔洞(而非如百葉窗般全部一起開啟與關閉)。依此方式,可開啟或關閉離子通過每個孔洞的流量。此實施例的優點為,可在當噴射頭直接地位在孔洞與基板的表面之間時,才將該特定的孔洞以斷續器關閉,但當噴淋頭不在這路徑上的期間中,該孔洞可保持開啟。
不論該噴射頭是否在離子碰撞於基板上之時將反應物氣體主動地輸送到該基板上,使用該噴射頭允許蝕刻的兩個步驟 (離子輸送與化學反應物輸送)在相同的腔室進行,而毋須熄滅電漿。
所揭露的實施例對於如原子層蝕刻(ALE)的應用而言特別有用,因為所揭露的實施例允許處理的各個操作具有最佳化的壓力。ALE涉及下列依序操作以將極薄的材料層(例如在一些例子中的單分子層)移除:反應物的輸送與吸附作用、多餘的反應物之沖洗、以及對於高能量來源之暴露。通常,此種吸附作用、沖洗、高能量暴露等操作,係以循環的方式來實行,以一層接著一層地蝕刻材料。所揭露的噴射頭與使用方法實質上擴大了提供各種氣體時的壓力之有效操作窗(operating window)。此外,所揭露之技術使ALE方法得以使用不同的時序。例如,反應物的輸送、沖洗、以及暴露到高能量等操作,可在晶圓的不同部分全部同時地進行。反應物的輸送與沖洗等操作,在該噴射頭之下局部地進行,而暴露到高能量(離子)之操作在未被噴射頭阻擋的所有地方全局地進行。原子層蝕刻方法被進一步揭露於下列美國專利案,各個該等案以全文加入本案中之參考資料:美國專利案第 7416989號,案名為 「ADSORPTION BASED MATERIAL REMOVAL PROCESS」; 美國專利案第7977249號,案名為「METHODS OF REMOVING SILICON NITRIDE AND OTHER MATERIALS DURING FABRICATION OF CONTACTS」; 美國專利案第8187486號,案名為「MODULATING ETCH SELECTIVITY AND ETCH RATE OF SILICON NITRIDE THIN FILMS」; 美國專利案第7981763號,案名為「ATOMIC LAYER REMOVAL FOR HIGH ASPECT RATIO GAPFILL」; 以及美國專利案第 8058179號,案名為「ATOMIC LAYER REMOVAL PROCESS WITH HIGHER ETCH AMOUNT」。
圖3呈現在一些實施例中用於反應性離子束蝕刻的反應腔室300的簡圖。將晶圓301固持在例如位於基板處理區域303中的靜電吸盤302的一支座上。離子在離子來源304中產生、擷取、並且聚焦。離子來源304包括一電漿產生區域以及一系列的電極,如圖1所示,但亦可使用其他的離子來源。使用選擇性離子斷續器305,從離子來源304放射出來的離子的流量可被斷續地開啟與關閉。噴射頭306在基板301的表面上方移動,而將處理氣體輸送到噴射頭306的下方的局部高壓輸送區域307中。此局部高壓區域亦可稱為反應物輸出區域或反應物輸送區域。此局部高壓區域可形成一部分的反應物輸送導管,且可與提供反應物到噴射頭306中的管線結合。
透過在局部高壓的反應物被輸送到晶圓301上之後立即使用噴射頭306將該等反應物移除,以在基板處理區域303中維持低壓。具體而言,當在局部高壓輸送區域307中輸送該等反應物時,噴射頭306同時地將真空施加到在局部高壓輸送區域307之周圍或與其鄰近的區域 (這些周圍的區域有時被稱為降壓區域或負壓(suction)區域),藉此在多餘的反應物進入噴射頭306之外的較大的基板處理區域303之前,將該等反應物移除。該等多餘的反應物係透過真空連接件(未顯示)來移除。該真空連接件稍微薄且具有彈性,以允許噴射頭306在整個晶圓301的表面上方移動,或該真空連接件可形成該噴射頭本身的一部分。該真空連接件可和用於輸送反應物的管路物理地連接,使得反應物輸送管路與真空連接件一起移動(但該兩者功能上維持分離)。
在一些例子中,該噴射頭可延長而擴張到整個基板的寬度,且該真空連接件可配置成和該噴射頭一致。圖3可詮釋為噴射頭306(以及形成噴射頭306的一部份的反應物輸送管路與真空連接件)延伸進出頁面。在此實施例中,該噴射頭可透過下列方式將反應物輸送到晶圓的整個表面:順著垂直於該噴射頭的長度的一單軸(即圖3中的左與右)掃描;或順著一樞軸接點掃描。在此配置中,該真空連接件不會將該噴射頭本身之外的區域中的離子束擋住。下文描述噴射頭306的更深入的細節。
圖4A-4C呈現噴射頭400的簡易剖面圖,其根據某些實施例在晶圓401上方移動。圖4A顯示噴射頭400以及其中的元件。圖4B係提供以說明噴射頭400的某些尺寸。圖4C係提供以說明通過噴射頭400的流動形式。從圖4A開始,在反應物入口402之處,將反應物氣體引入噴射頭400中。以較高的壓力將該等反應物氣體引入局部高壓區域R0 (亦稱為反應物輸出區域)中 。第一分隔件 D1 將局部高壓區域R0 與第一降壓區域R1 (亦稱為負壓區域)隔開;第二分隔件 D2 將第一降壓區域R1 與第二降壓區域 R2 (有時稱為第二負壓區域)隔開;且第三分隔件 D3 將第二降壓區域 R2 與周圍的基板處理區域 R3 隔開。該等分隔件可為片狀或薄的其他結構,且可由抗蝕刻劑的材料製成,例如聚合物、陶瓷、金屬、或玻璃。例示性材料包括鋁、鋁合金、陽極處理鋁、不鏽鋼、氧化鋁陶瓷、加工性玻璃陶瓷、熔融二氧化矽、英高鎳合金(inconel)、莫內爾合金(monel)、 硼矽酸鹽玻璃、聚醯亞胺(vespel)、鐵氟龍(Teflon)、或聚亞醯胺膜(kapton), 可針對所使用的蝕刻劑來選擇該等材料。
為了將輸送到局部高壓區域R0 的多餘的反應物透過真空而抽離,將真空施加到第一與第二降壓區域R1 與 R2 。真空係透過真空連接件403而施加。在相似的實施例中,真空連接件403延伸進出頁面,而非如圖4A-4C所示般延伸到右邊。在一實施例中,局部高壓區域R0 為以第一分隔件D1 的側邊為邊界的一圓柱狀區域。第一與第二降壓區域R1 與 R2 為環狀而圍繞局部高壓區域R0 。替代地,從上方觀看時,局部高壓區域R0 以及第一與第二降壓區域R1 與 R2 均既長且窄(例如從上方觀看時,均具有實質上矩形的剖面),並且均延伸進/出頁面。
防噴濺塗層404塗佈在噴射頭400上。此種防噴濺塗層404可由碳(例如非晶碳)、或若被噴濺不會被視為基板材料的汙染物的材料(例如矽、SiO2 、鋁、或Al2 O3 等)所製成。防噴濺塗層404有助於將噴射頭400的材料被噴濺的量最小化。噴射頭的外殼(位於防噴濺塗層下方)可由聚合物、陶瓷、金屬、或玻璃製成,而範例包括鋁、鋁合金、陽極處理鋁、不鏽鋼、氧化鋁陶瓷、加工性玻璃陶瓷、熔融二氧化矽、英高鎳合金、莫內爾合金、 硼矽酸鹽玻璃、聚醯亞胺(vespel)、鐵氟龍、或聚亞醯胺膜(kapton)。
描述不同的相關區域的特性的另一方式為,著眼於發生在晶圓本身上方的事物。位於局部高壓區域R0 下方的晶圓部分可稱為局部高壓面積(亦稱為反應物輸出面積)。位於第一與第二降壓區域R1 與 R2 下方的晶圓部分可稱為降壓面積、或兩個降壓子面積。該等面積亦稱為負壓面積。非位於噴射頭下方的晶圓部分可稱為離子處理面積。隨著噴射頭在晶圓的表面上方移動,局部高壓面積、降壓面積、以及離子處理面積的位置亦隨之改變。
本技術領域中具有通常知識者應知悉,用以建立局部高壓區域R0 以及第一與第二降壓區域R1 與 R2 的一結構(或複數的結構)可使用任何各種的形狀,只要將降壓區域設計或配置成可將多餘的反應物與反應副產物透過真空而抽離(在該者被輸送到局部高壓區域R0 之後、以及該者進入基板處理區域R3 之前)。如此,第一降壓區域R1 圍繞或實質上圍繞局部高壓區域R0 ,並且第二降壓區域R2 圍繞或實質上圍繞第一降壓區域R1 。該等區域可如圖4A-4C般為圓形/圓柱狀,或該等區域可為其他形狀(橢圓形、方形、矩形、三角形、其他的多邊形、狹縫等)。局部高壓區域R0 下方的暴露的面積可實質上小於基板、幾乎等於基板、或大於基板。在具體的一範例中,將局部高壓區域塑形為相當地長且窄的狹縫,且降壓區域緊鄰該狹縫的兩側。在此例子中,雖然該降壓區域完全地或不完全地圍繞該局部高壓區域(例如靠近該狹縫的窄邊),但可將此種降壓區域稱為實質上圍繞該局部高壓區域,因為絕大部分的多餘的反應物被降壓區域(緊鄰於狹縫形狀的局部高壓區域的長邊)透過真空而抽離。在某些實施例中,一或兩個降壓區域圍繞反應物輸送區域的至少約70%(或至少約90%)的周長。在特定例子中,一或兩個降壓區域圍繞反應物輸送區域的100%的周長。在某些實施例,第一降壓/負壓區域直接地相鄰於局部高壓/反應物輸送區域。在某些實施例,第二降壓/負壓區域直接地相鄰於第一降壓/負壓區域。
可使用任何數量的個別的降壓/負壓區域。雖然圖4A-4C中顯示兩個降壓區域,但在一些實施例中僅使用單一個降壓區域。在其他實施例中,可使用二或更多的降壓區域,例如三或更多的降壓區域。在一些實施例中,可使用高達5個降壓區域。基板處理區域以及局部高壓區域並不被視為降壓區域。一般而言,任一降壓區域位於緊鄰局部高壓區域之處、或緊鄰另一降壓區域之處,且任一降壓區域具有用以移除多餘的反應物的真空連接件。降壓區域作用以將相鄰的區域之間的壓力依序地減少。
圖4B呈現描繪於圖4A中的噴射頭400,並強調某些尺寸。W0 代表局部高壓區域R0 的寬度。 W1 與W2 分別地代表第一降壓區域R1 與第二降壓區域R2 的厚度 (外直徑減內直徑,其中該等區域為環狀)。 L1 、 L2 、以及 L3 分別地代表第一分隔件D、第二分隔件 D2 、以及第三分隔件 D3 的厚度。基板 401的表面以及 分隔件D1 -D3 的底部之間的距離標記為g。 基板 401的表面以及真空連接件 403 之間的距離標記為h。該等分隔件的高度標記為 hD
寬度 W0 介於約 0.5 mm 到 10 cm之間。 厚度 W1 介於約1 mm 到 5 cm之間。相似地,厚度W2 介於約 1 mm 到 5 cm之間。 厚度 W1 與 W2 可相同或相異。在一些例子中, W1 大於W2 ,但在其他的例子中,W2 大於 W1 。厚度L1 介於約 0.5 mm 到2 cm。相似地,厚度L2 介於約 0.5 mm到 2 cm之間,且厚度 L3 介於約 0.5 mm到2 cm之間。在一些例子中,L1 、L2 、以及 L3 實質上相同(例如,其差異不超過約5%)。 在其他的例子中,這些厚度可相異。分隔件D1 -D3 之底部以及 基板 401 之表面之間的高度g約為5 mm 或更低,例如約 2 mm 或更低、或約1 mm或更低。在一些例子中,此距離g介於約 0.1 mm 到5 mm。此距離應相當地短,以將從噴射頭溢出並進入基板處理區域的多餘反應物的量降到最低。高度 h介於約 0 到 5 cm 。
在一些例子中,分隔件 D1 -D3 具有不同的長度,且各個分隔件的底部與基板的表面之間的距離相異。雖然未顯示於圖4B中,在此種實施例中,基板表面與第一分隔件D1 之間的距離可稱為g1 ;基板表面與第二分隔件D2 之間的距離可稱為 g2 ;且基板表面與第三分隔件D3 之間的距離可稱為g3 。在某些實施例中,較佳的係g1 最大及/或g3 最小 (當比較g1 、 g2 、及g3 時)。如此,可將多餘的反應物到基板處理區域R3 的逸出可能性降至最低。透過調整分隔件D1 -D3 的長度,分隔件的寬度 L1 -L3 、以及分隔件的間距 W0 -W2 ,可調整反應物氣體的停留時間。
圖4C描繪通過噴射頭 400的流動形式。各區域所經受的壓力亦標記在圖4C中。局部高壓區域R0 中存在壓力 P0 ;第一降壓區域 R1 存在壓力P1 ;第二降壓區域 R2 存在壓力 P2 ;且基板處理區域R3 存在壓力 P3 。 P0 係最高的壓力且 P3 係最低的壓力。以壓力 P0 將反應物輸送到局部高壓區域R0 (反應物作用於基板上以將材料蝕刻掉的地方)中。然後多餘的反應物與反應副產物從第一分隔件D1 下方通過並進入第一降壓區域R1 (多餘的反應物與反應副產物透過真空連接件 403抽真空而移除的地方)。未在第一降壓區域R1 被抽除的物種從第二分隔件D2 下方通過並進入第二降壓區域 R2 (此等物種透過真空連接件 403抽真空而移除的地方)。非常少量的物種從第三分隔件D3 下方通過並進入基板處理區域R3 。然而,此種物種(逸入基板處理區域 R3 )的量相當少,且就離子碰撞而言通常不造成問題。在某些實施例中, P0 大於P3 至少約1000 倍。在一範例中,P0 大於P1 至少約10 倍,而P1 大於P2 至少約10 倍,又P2 大於P3 至少約 10倍。 在這些與其他例子中,在相鄰的區域之間,壓力至少以5的倍數下降。
在某些實施例中,噴射頭覆蓋基板表面面積的比例介於約0.1% 與 50%之間、或介於約 1% 與 10%之間。此比例代表抵擋離子接觸的基板部分,及/或暴露到該噴射頭的反應物輸送部分與負壓部分的基板部分。在其他實施例中,該噴射頭覆蓋100%或更多的基板。
圖4F代表能夠覆蓋100%或更多的基板面積的噴射頭的一實施例。呈現於圖4F中之噴射頭為圓形,但其可為任何形狀,只要覆蓋所有基板表面。在一些例子中,當從上方觀看時,該噴射頭的整體形狀與基板的形狀匹配(例如,針對圓形的基板使用圓形的噴射頭 (如圖4F所示)、針對方形的基板使用方形的噴射頭等)。然後可將該噴射頭移開基板,以允許離子暴露到基板的表面上,接下來將該噴射頭移回到基板,以將基板暴露到反應物。此移動操作可透過線性平移或樞軸旋轉(或透過兩者結合)來進行。雖然該噴射頭顯示為包括兩等分,但該兩等分可鄰接在一起而形成單一個噴射頭。若噴射頭被分成兩等分(或其他部分元件),該等半邊可被分開/以樞軸轉開,如圖4G所示。呈現於圖4G中,噴射頭被分成兩等分,兩等分均位於個別的樞軸上。此處,噴射頭呈現為處於部分開啟的型態。替代地,該噴射頭可分為任何數量的區段以及樞軸接點,例如三等分、四等分等。在此實施例中,噴射頭的許多部份旋轉至基板的上方以用局部高壓來提供反應物氣體,然後旋轉離開基板的上方以允許離子暴露到基板的表面上。在此範例中,局部高壓區域同時地在整個基板表面上作用。將基板表面與噴射頭的底板之間的距離維持相當地短,使得噴射頭將反應物輸送到基板表面上方的小容積中。多餘的反應物在該基板的周邊區域被移除,如圖4G-4I所示。
圖5呈現與圖4A-4C中所示之噴射頭相關的電腦模型資料。具體而言,圖5係關於針對流速介於約0-1000 sccm而言,在噴射頭的各個區域中的壓力。作為模型的該資料假設以高壓輸送的反應物為N2 (更大的分子將造成更多的壓力下降)。此外,作為模型的該資料假設 W0 = 5 cm、W1 = W2 = 1 cm、 L1 = L2 = L3 = 1 cm、 h = 1 cm、且 g = 1 mm。 分子/過渡流係根據 R. A. George等人的「A low conductance optical slit for windowless vacuum ultraviolet light sources」, Journal of Physics E: Scientific Instruments,第 4卷, 第 5期 (1971))中的敘述,使用狹縫傳導近似值計算而得。
針對在圖5中作為模型的任何所給定的流速而言,在噴射頭的相鄰區域之間,壓力以大於一數量級的程度下降。因此,壓力從在P0 約2 Torr下降到在P3 約0.00025 Torr ,這代表整體下降約99.9875%。在此範例中,描述此結果之特徵的另一方式為壓力以約8000的倍數下降。
針對具有不同尺寸的噴射頭進行相似的模型模擬。由於尺寸對壓力下降的程度造成影響,故每一例子均顯示在相鄰區域之間有相當大的壓力下降量。較大的L1 、 L2 及L3 尺寸 (分隔件 D1 -D3 的厚度) 造成較大的壓力下降量。相似地,較小的 g( 分隔件D1 -D3 的底部與基板表面之間的距離)造成較大的壓力下降量。可做出許多其他的修改,以對在噴射頭中所經受的壓力下降的程度造成影響。
噴射頭所提供的另一益處為執行原子層蝕刻的能力。原子層蝕刻代表在多次操作的製程中的每一次操作中,將材料的受控制的量移除的一種處理,而該處理的其中之一者為完全地或部分地自身限制性。原子層移除處理於下方的專利案與專利申請案中進一步討論,而各該案以全文加入本案中之參考資料:美國專利案第 8608973號、美國專利案第8617411號、以及PCT 專利申請案第PCT/US2012/046137號。在一實施例中,掃描式噴射頭在基板表面上產生反應性化學品的局部吸附物,而一旦噴射頭從這局部的面積上移開時,該局部吸附物將接續地被離子束移除。在第二實施例中,離子束產生反應性表面,而一旦噴射頭掃描該反應性表面,該反應性表面與噴射頭中之反應性化學品發生反應。
如前文提及,噴射頭在晶圓的表面上方移動,以輸送反應物氣體。在該噴射頭輸送反應物氣體時,離子可活性地碰撞或不活性地碰撞晶圓的表面,視特定的實施例而定。機器手臂或其他可移動的機械性支座可用於在晶圓的表面上方固持並移動該噴射頭。該機器手臂可以一維或二維的方式在該表面上方移動該噴射頭,且可為環接式機器手臂。該噴射頭相對於基板表面的移動可透過移動該噴射頭、移動(例如旋轉)該晶圓、或透過這些運動的組合來達成。該機器手臂亦可以三維的方式移動該噴射頭(將該噴射頭抬離基板支座),例如當裝載或卸載晶圓時。在一些實施例中,真空連接件及/或反應物輸送連接件與該機器手臂或其他機械性支座合併。在其他例子中,真空連接件及/或反應物氣體連接件與該機器手臂分開。與該噴射頭相同,該機器手臂可用防噴濺塗層來塗佈。在一些實施例中,用於固持該噴射頭的機器手臂或其他掃描的機制係固定地架設在反應腔室的一部分上(例如附接到該反應腔室的側壁上)。在其他實施例中,該機器手臂或其他掃描的機制係架設在一軌道上,而允許該機器手臂輕易地在晶圓的周圍移動。在任一例子中,機器手臂或其他掃描的機制可包括移動的連接件/接頭/接點,以允許該噴射頭如所需般在表面上移動。
在某些實施例,該噴射頭既長且窄,例如圖6E所示。在許多例子中,該噴射頭的長的長度擴張到基板的整個長度/直徑,而該噴射頭的窄的寬度擴張到基板的部分寬度,如圖所示。在此實施例,該噴射頭可在垂直於噴射頭的長軸的方向上前後掃描,以致於在每次操作期間可充分地遮蓋基板,如圖6E所示,該噴射頭左右掃描。在這些與其他例子中,該噴射頭具有大於或等於該基板之實體長度的一長度(例如在許多例子中等於或大於約200mm、300mm、或450mm)。在許多實施例中,該噴射頭具有較該基板長度長約1到10cm之間的一長度。該噴射頭具有介於約1到15cm的一寬度,例如介於約2到5cm。該噴射頭的掃描可使用線性引動器(例如圖6E中呈現的移動方式)或使用一或更多的樞軸接點(如圖6G與6H所示)來達成。該基板亦可在該噴射頭下方旋轉,如圖6F-6I所示。在某些實施例中,可改變該噴射頭的寬度,以抵銷掃描速度或在例如單一樞軸的噴射頭配置中可能發生的其他變化。具有可變的寬度的噴射頭的一範例顯示於圖6H中。替代地,亦可使用額外的樞軸接點。在2個樞軸的噴射頭的例子中(如圖6I所示),該噴射頭可在整個基板上線性地掃描。
就噴射頭而言,有兩個不同但相關的均勻度考量點係重要的。第一,在一蝕刻處理期間,以總時間平均而言,通過噴射頭的反應物氣體輸送通量(質量/單位面積) 在晶圓的面上應均勻。第二,以總時間平均而言,來自離子來源的離子輸送通量在晶圓的面上應均勻。當噴射頭/機器手臂/真空連接件擋住離子來源與局部晶圓之間的視線時,此局部晶圓不會被離子碰撞。因此,可配置噴射頭、機器手臂、以及真空連接件,以總時間平均而言係空間上均勻的方式來提供反應物氣體以及離子的輸送。
可使用各種掃描形式在基板的表面上移動該噴射頭,以達到此種空間上均勻的反應物氣體/離子輸送。圖6A-6I呈現可用在一些實施例中的例示性掃描形式。圖6A-6D呈現噴射頭在基板的表面上可採取的各種軌跡。這些掃描形式尤其應用在當該噴射頭具有涉及兩個維度的移動以覆蓋整個基板表面的幾何形狀時。在一些例子中,X-Y工作臺可用於在基板上方移動該噴射頭。圖6E-6F描繪在許多實施例中的細長的掃描式噴射頭以及其在基板表面上方的移動方式。因為這些例子中的噴射頭至少和基板的直徑一樣長,所以這些在基板上方的移動方式相當地簡單。
圖6A顯示漩渦狀的掃描形式;圖6B與6C顯示逐行的線性形式;且圖6D顯示放射狀的形式。亦可使用其他的形式。在一些例子中,該等形式係配置以使得局部高壓區域可到達全部或實質上全部的晶圓。在某些形式中,一部分的局部高壓區域及/或一部分的降壓區域可橫跨晶圓的邊緣,如圖6B所示。在其他例子中,該等形式係配置以使局部高壓區域及/或降壓區域在所有時間中完全地位於晶圓的邊緣之內,如圖6A所示。該噴射頭可以直線、曲線、螺旋線等形式來移動。該噴射頭可沿著晶圓的半徑移動,如圖6D所示。在一些實施例中,使用軌道的移動方式。在圖6E中,細長的噴射頭(當從上方觀看時具有矩形/狹縫形剖面)以垂直於延長長度的方向前後掃描。在圖6F中,細長的噴射頭以垂直於延長長度的方向前後掃描,且基板被旋轉。在圖6G與6H中,細長的噴射頭以固定的樞軸接點為支點旋轉而前後掃描基板,同時帶有或不帶有基板的旋轉運動。在圖6I 中,使用兩個樞軸接點可允許噴射頭線性地掃描基板的表面,而毋須使用專屬的線性引動器。
在一些例子中,以總時間平均而言係空間上非均勻的方式來輸送反應物係有利的。空間上非均勻的反應物輸送可用於抗衡在處理中出現的其他空間上非均勻性。例如,若空間上均勻的反應物輸送造成對基板的中央過蝕刻,並且對基板的邊緣蝕刻不足,則可將另外的蝕刻劑氣體或其他的處理氣體相對於該中央而提供到該基板的邊緣,以藉此平衡該處理並提供空間上均勻的結果。離子束或處理工具中相關的問題(例如非均勻性)可相似地透過非均勻的反應物輸送(例如透過在基板的不同部分上及/或在蝕刻處理的不同操作期間,調整蝕刻劑的速率/流量/壓力/掃描速度等)來抵銷。此外,非均勻的反應物輸送以及非均勻的蝕刻結果有利於抵消先前在其他處理中產生的空間上非均勻性。例如,先前的處理步驟引入系統性誤差(或非系統性誤差,若此誤差係預先測得且經量化的),例如遮罩寬度的變化(線性寬度誤差)、或薄膜厚度的變化。當知悉此種誤差/空間上非均勻性時,蝕刻處理可配置以抵銷非均勻性。
非均勻的反應物輸送以及非均勻的蝕刻係有利的另一原因係關於製程發展與調諧。例如,非均勻的反應物輸送可用於在單一個基板上執行複數個實驗。可在該基板的不同部分上獨立地調整反應物的輸送條件 (例如以不同的流速及/或不同的壓力及/或不同的掃描速度將反應物輸送到晶圓的不同部分),並可對實驗結果進行觀察與比較。此技術可將測試各種反應條件所需的基板的數量減少。
由非均勻的反應物輸送以及非均勻的蝕刻所產生的更另一益處係關於在蝕刻的同時形成特定的特徵部/形狀。例如,較佳的係將在各個邊上具有不同輪廓的線(例如在一側為垂直的輪廓,而在另一側為有斜率的輪廓)蝕刻出來。為了完成此蝕刻形狀,可使用反應物之可變的流速。當基板向第一方向傾斜時可使用第一流速,而當基板向第二方向(例如該第二方向與該第一方向相反)傾斜時可使用第二流速。此非對稱的蝕刻技術可用於將具有不一致的輪廓的特徵部蝕刻出來。
該噴射頭移動時的線性速度介於約0-500 cm/s,例如介於約 1-100 cm/s、或介於約5-100 cm/s。當噴射頭的不同部分以不同的速度移動時(例如圖6G與6H中顯示的實施例),上列之速度可對應到該噴射頭的移動最快的部分。該噴射頭可在介於約0.5-10 秒的期間中對晶圓的整個表面進行掃描至少一次。基板旋轉速度介於約0 與 500 RPM之間,例如介於約 0 與 10 RPM之間。在一些例子中,線性或角速度係固定的,但在其他例子中,速度係可變的。可變的速度有助於設計可提供總時間而言係空間上均勻的形式。例如,在噴射頭較常遮蓋晶圓的某些部分的形式中(例如在圖6D中,基板的中央位置較晶圓的各個外側部分更常受到噴射頭影響),該噴射頭可使用比其他部分更高的速度來對此種高頻率的部分進行掃描。依此方式,較少材料被輸送到基板的該部分(在每一個例子中),且整體而言,總體的氣體輸送更為空間上均勻。在一些實施例,反應物的輸送速率隨基板表面上的位置而變化。例如,在噴射頭移動最快的部分上應用較高的輸送速率。在另一範例中,透過下列個動作來達成反應物的輸送均勻性:以固定的線性及/或角速度來移動該噴射頭,並在當噴射頭在較不常被噴射頭罩住的晶圓的部份上作用時,使用較高的反應物輸送速率(例如,在基板旋轉的同時,若噴射頭沿著基板的半徑前後移動,因為中央區域比任何所給定的邊緣區域更頻繁地被接觸,故控制器可使用振盪式的輸送速率,該振盪式的輸送速率在基板的邊緣較高且在晶圓的中央較低)。
在使用細長的噴射頭的某些例子中(例如圖6E-6I),該噴射頭的長度可被分成若干分區/片段。各分區具有獨立的反應物供給壓力或負壓流導,藉此允許控制整個基板表面上的反應物的量與反應物的壓力。對於分區之間流速、壓力、以及流導差異值的調節可為靜態或動態。在動態調節的例子中,各分區可透過(例如)獨立的質流控制器、可變孔洞而具有獨立的反應物供給控制。替代地或另外加上,各分區可透過(例如)一組蝶形閥而具有獨立的真空泵抽。在某些實施例中,在沿著細長的噴射頭的長度上,該等分區具有獨立的真空泵抽以及反應物噴射功能兩者。圖4D顯示噴射頭450的頂視圖與側視圖,噴射頭450具有複數個可獨立控制的氣體注射器477,而各個氣體注射器477由可獨立控制的氣體輸送管線478來饋送。為了簡化圖式,氣體輸送管線478未顯示在該頂視圖中。雖未顯示,但氣體輸送管路478可由與噴射頭450整合的一外殼來包覆。圖4E顯示顯示噴射頭460的頂視圖與側視圖,噴射頭460具有可獨立控制的真空區域461。在各個真空區域461中的真空壓力可被獨立地控制。許多真空區域461被分隔件462分隔開來,且在一些例子中具有參考圖4A與圖4C而列於前文中的任何分隔件尺寸。
雖然到目前為止將噴射頭描述為在靜態的基板表面上方移動的可移動的噴射頭,但可允許其他的設計。例如,在一些實施例中,晶圓在噴射頭下方移動。此種晶圓的移動方式可取代、或另外加上噴射頭的移動方式。在此種例子中,基板支座機制可配置以旋轉及/或平移晶圓。該晶圓可在介於約0-200RPM的速度下旋轉。軌道的處理可使用基板與噴射頭兩者的協同運動來達成。
在一些處理中,以局部高壓來輸送多於一的氣態反應物係有利的。可使用若干不同的技術來輸送多於一的反應物。在一範例中,該噴射頭按照前述來配置(例如參考圖4A),且二或更多的反應物在被輸送到局部高壓區域之前已被混合。在另一範例中,設置複數個噴射頭以輸送每一個別的反應物。複數個噴射頭的實施例尤其有用於當預期到反應物氣體可能彼此進行不利的反應時;或有用於需要以依序的方式來輸送反應物時。在另一範例中,使用單一個改良的噴射頭來個別地提供各個反應物。
改良的噴射頭可具有各種形式。圖7A呈現用於個別地輸送若干個反應物的噴射頭700的一範例。圖7A的噴射頭700相似於圖4A的噴射頭400。然而,圖7A的噴射頭700包括用於將反應物A與反應物B個別地輸送到晶圓701的兩個入口702A與702B。兩個入口702A與702B被分隔件D4 隔開,分隔件D4 將局部高壓區域分成兩個局部高壓區域R0A 與R0B 。反應物 A以高壓在第一局部高壓區域 R0A 中輸送,而反應物 B 以高壓在第二局部高壓區域 R0B 中輸送。如圖所示,各局部高壓區域 R0A 與 R0B 具有暴露到基板表面的相同的面積總量。然而,可將分隔件 D4 定位在偏離中央的位置,藉此允許在基板上方的不同的反應物面積(換句話說, R0A 與 R0B 可具有不同的尺寸)。在一實施例中,局部高壓區域 R0A 與 R0B 從上方觀看時具有實質上半圓形的剖面, 且降壓區域R1 -R2 從上方觀看時具有實質上環狀的剖面。在另一實施例中,R0A 、R0B 、以及R1 -R2 各具有細長的剖面,例如從上方觀看時具有實質上矩形的剖面。在此種例子中, 圖 7A中的許多區域可延伸進出頁面。在此例子中,將反應物輸送管線與真空連接件設計成與噴射頭的許多細長的片段一致係有利的。在此種設計中,指向真空泵浦的箭頭可沿著噴射頭的長度而延伸進出頁面(而非如圖所示般延伸到右邊)。
可在相同的局部高壓力下、或在不同的壓力下提供反應物A與反應物B。多餘的反應物在降壓區域R1 與R2 中被移除。在另一實施例中,透過實質上圍繞反應物A的反應物輸送區域的一反應物輸送區域來提供反應物B。例如,反應物B的反應物輸送區域可完全地環繞反應物A的反應物輸送區域。
雖然反應物A與反應物B被個別地提供,但該等反應物可在降壓區域R1 與R2 中彼此混合到某種程度。可透過將該等降壓區域分成不同的角度的部分來將此混合最小化。例如,該等降壓區域可各被分成兩個子區域:緊鄰於第一局部高壓區R0A 的第一子區域,以及緊鄰於第二局部高壓區R0B 的第二子區域。該第一子區域主要地將多餘的反應物 A移除 ,且第二子區域主要地將多餘的反應物 B移除。 當然,可使用另外的角度上區別的子區域,以將反應物之混合進一步最小化。若真空連接件703中的反應物的混合造成問題,可設置個別的真空連接件,以連接到該設備的各個分開的區域。簡單地透過改變入口以及分隔件(用以將該等入口隔開)的形狀,可改良此實施例,藉以使用不同的壓力來個別地提供任何數量的反應物。 在一範例中,分隔件 D4 從上方觀看時具有十字形剖面,而十字的各個象限被配置成一入口,以提供四種不同的反應物其中一者。
圖7B呈現可用於個別地輸送兩個不同的反應物A與B的噴射頭710的另一實施例。如同圖7A的實施例,局部高壓區域被分成用以輸送反應物A的第一局部高壓區域R0A ,以及用以輸送反應物B的第二局部高壓區域 R0B 。然而,圖 7B包括另外的中央反應物移除區域 RV ,其將兩個局部高壓區域 R0A 與 R0B 隔開。在靠近晶圓之處, 中央反應物移除區域 RV 在一邊以分隔件 D5 為界,且在另一邊以分隔件 D6 為界(因此,在此範例中,當從上觀看時, RV 靠近基板的部分具有矩形的剖面)。中央反應物移除區域RV 通過連接件 711而連接到真空泵浦,以將多餘的反應物移除,並且幫助避免反應物混合(當反應物活性地吸附上或是接觸基板710的表面時)。可改良此實施例以提供任何數量的反應物。另外,可將中央反應物移除區域RV 分成另外的區域,以將反應物在氣態(未吸附)下能夠混合的可能性進一步最小化。如同圖7A的實施例,當從上方觀看時,圖7B的實施例中的噴射頭可具有實質上圓形的剖面、或細長的、實質上矩形的剖面。若噴射頭為實質上圓形,則降壓區域 R1 與 R2 當從上方觀看時為環形。若噴射頭為細長的,則降壓區域 R1 與 R2 亦為細長的,並沿著整個噴射頭的長度延伸, 其中R1 區域與局部高壓區域 R0A 與 R0B 的總長度靠合,且 R2 區域與 R1 區域的總長度靠合。
如前文所述,個別地提供二或更多的反應物的另一方法為使用二或更多的噴射頭。該等二或更多的噴射頭可完全地分開,或可共用一或更多的元件,例如真空連接件、機器手臂等。此外,該等二或更多的噴射頭可用於將反應物個別地提供到晶圓的不同部分上,即使兩個噴射頭輸送相同的反應物氣體。
所揭露之實施例可用於以局部高壓將任何氣態反應物輸送到基板的表面。在一些實施例中,由噴射頭輸送的氣態反應物包含下列氣體中之一或更多者:氧化劑、 鹵化劑、還原劑、 錯合劑、酸類、鹼類、醇類 、酮類、醛類、或酯類、或其中之任何組合。範例包括(但不限於): H2 O、 H2 O2 、 NO2 、 NO、N2 O、 CF4 、 C2 F6 、 CHF3 、SF6 、 HF、 HCl、HI、 HNO3 、Cl2 、 CClF3 、 CCl2 F2 、 HBr、 Br2 、 F2 、 H2 、 NH3 、 甲醇、乙醇 、異丙醇、乙酸 、甲酸 、羧酸 、丙酮、甲基乙基酮、 乙醯丙酮(acac)、氫氟丙酮(hfac)、甲醛、以及乙酸丁酯、以及其中之任何組合。此外,可使用任何來源與類型的離子。離子可為惰性、反應性、非反應性、或惰性與非反應性離子的組合。例示性惰性離子包括稀有氣體,例如氬、氦、氖、氪、氙等。例示性反應性離子包括氮、氫、 氧、 氟、 溴、碘、 硫等。例示性非反應性離子包括氮、矽、碳、 鍺、 硼、以及鋁。惰性離子尤其合適於蝕刻非揮發性材料,例如在涉及製造MRAM與FeRAM裝置的製程中。另一方面,反應性離子尤其合適於蝕刻半導體材料,包括用於製造邏輯與記憶體裝置的製程。
在一些實施例中,用於產生離子的氣體流速介於約 0.1-1000 sccm。在這些與其他實施例中,通過噴射頭的反應物氣體流速介於約 0.1-5000 sccm,例如介於約10-500 sccm。可以介於約0.1-100 Torr的壓力將反應物提供到噴射頭的局部高壓區域,例如在一些例子中介於約 1-50 Torr 。在前文參考圖 2A-2C所述之實施例中(其中氧離子作用以將金屬表面氧化,而反應性氣體(例如乙酸)用於將經氧化的金屬移除),乙酸的流速介於約 10 sccm-500 sccm,且氧離子的電流密度介於約 0.1-20 mA/cm2
在一些實施例中,可將該噴射頭加熱或冷卻。吾人需要加熱的噴射頭,用以噴射反應物氣體(藉以避免反應物的凝結作用);或用以提供若干熱能以達成基板上的表面反應。在其他實施例,可將該噴射頭冷卻,以促進反應物在基板上的表面吸附作用。
該噴射頭可取捨性地包含與該噴射頭整合或與該噴射頭連接的一或更多的偵錯元件或端點偵測器。可將偵測器或偵錯元件設置在局部高壓分區中、在一或更多的居間的壓力分區中、在真空排氣分區中、或在噴射頭之外側但與其相鄰之處。偵錯或端點偵測器可包括: 殘留氣體分析儀、FTIR 光譜儀、橢圓偏光儀 、消光係數測量裝置、或其他光學薄膜厚度量測裝置、原子吸收光譜儀、光放射光譜儀、離子誘導冷光光譜儀、法拉利杯、干涉儀、石英晶體微量天平、AFM 探針、 磁場感測器、渦電流感測器、介電質諧振器、或其他非接觸式片電阻感測器。
雖然以反應性離子束蝕刻處理作為背景來描述該等實施例,但該等實施例並非如此限制性。吾人預期的係,所揭露的噴射頭可用於涉及下列動作之任何應用:以局部的方式將一或更多的高壓反應物輸送到表面,其中整體的壓力(噴射頭之外)需要維持在低壓。
用於執行所揭露之實施例的設備通常包括具有可控制蝕刻製程的程式的一系統控制器。該控制器可執行系統控制軟體,而該系統控制軟體可儲存在大量儲存裝置中、載入記憶體裝置中、並在處理器上執行。在一些例子中,該軟體可通過網路而輸送。可寫入多樣的處理工具元件的副程式或控制物件,以控制對於實現各種處理工具的製程所需之處理工具元件之操作。該系統控制軟體可透過任何適當的電腦可讀程式語言而編碼。在一些實施例中,該系統控制軟體包括輸入/輸出控制(IOC)連續指令,用以控制本文中討論的各種參數。該系統控制器亦可結合其他的電腦軟體及/或程式,而該電腦軟體及/或程式儲存在與該控制器連接的大量儲存裝置或記憶體裝置中。為此目的的程式或部分程式的範例,包括基板定位程式、電漿氣體控制程式、反應物氣體控制程式、壓力控制程式、溫度控制程式、以及電漿控制程式。
基板定位程式包括用於下列動作之處理工具元件的程式碼:相對於基板支座而裝載與卸載基板、並且控制基板與處理設備的其他部件(例如噴射頭)之間的間隔距離。電漿氣體控制程式包括可控制氣體的成分與流速之程式碼,而該氣體用於產生可擷取出離子的電漿。反應物氣體控制程式包括控制下列各項之程式碼:反應物氣體被輸送經過噴射頭(或到達/經過設備的其他部件)時的成分、流速、以及壓力。壓力控制程式包括用於控制下列各項的程式碼:輸送個別的反應物時的壓力、移除反應物時的壓力、以及基板處理區域所維持的壓力。溫度控制程式包括控制加熱及/或冷卻設備的程式碼,而該加熱及/或冷卻設備用於將基板、基板支座、及/或基板處理區域維持在特定溫度下。電漿控制程式包括在某功率與頻率之下產生電漿的程式碼。
該系統控制軟體包括以本文所揭露的流速及/或壓力來輸送反應物的指令。此種指令與用於產生電漿(離子從電漿中擷取出來)之氣體的輸送有關,或此種指令與一或更多的氣體透過一或更多的噴射頭的輸送有關。該系統控制軟體亦包括以某真空壓力將多餘的反應物移除的指令。此外,該系統控制軟體包括與噴射頭相對於基板的移動方式有關的指令。例如,該等指令有關於移動噴射頭、移動基板、或兩者。在許多例子中,該系統控制軟體包括以下列方式相對於基板而移動噴射頭的指令:總平均時間而言,以空間上均勻的方式輸送反應物。該等指令亦與裝載與卸載基板所需的任何操作有關。
該系統控制軟體更包括將基板處理區域維持在某一壓力下的指令,例如本文中所列出的任何低壓。該系統控制軟體一般亦包括控制蝕刻製程之時程的指令。在許多例子中,該控制器亦控制施加到各個電極的偏壓。因此,該系統控制軟體可包括用於下列動作之指令:將第一偏壓施加到擷取電極;將第二偏壓施加到聚焦電極;以及將第三偏壓施加到下電極以及基板/基板支座(或接地狀態)。在一些實施例中,該等指令更包括透過加熱或冷卻將基板及/或基板支座維持在特定溫度下的指令。
當使用斷續器來調節離子通量時,該系統控制軟體包括透過以所需的次數開啟並關閉該斷續器,來調節離子的指令。在特定的實施例中,該軟體包括僅在當噴射頭未主動地存在於表面上時,才開啟該斷續器(藉此允許離子碰撞在晶圓的表面上)的指令。在相關的實施例中,該軟體包括將某些斷續器保持開啟並且將某些斷續器保持關閉的指令,而關閉的斷續器為若開啟則反而允許離子碰撞到噴射頭上者,且開啟的斷續器為允許離子直接地碰撞到晶圓表面上者(即允許離子撞擊基板表面,而非噴射頭)。
關於電漿的生成,該系統控制軟體包括在特定的流速、溫度、及/或壓力下提供電漿產生氣體的指令。該等指令更涉及用於產生電漿的功率量額(例如RF功率)、以及輸送此種功率時的頻率。
在一些實施例中,有與系統控制器連接的一使用者介面,該使用者介面包括顯示器螢幕、設備及/或製程條件的圖形化軟體顯示器、以及使用者輸入裝置(例如指標裝置、鍵盤、觸控螢幕、麥克風等)。
在許多實施例中,該系統控制器可用於調節其他製程參數。此種參數包括(但不限於):反應物氣體之成分、流速、與壓力;電漿產生氣體之成分、流速、與壓力;基板處理區域中的壓力;施加到個別的電極的偏壓;溫度;電漿狀態(例如頻率與功率);晶圓及/或噴射頭的位置等。
用於偵測製程的訊號可透過系統控制器的類比及/或數位輸入連接件,從許多處理工具感測器來提供。用於控制製程的訊號可在控制器的類比與數位輸出連接件上輸出。可被偵測的處理工具感測器的非限制性範例包括質流控制器、壓力感測器、溫差電偶等。連同來自該等感測器的資料,可使用經適當程式化的回饋與控制演算法一起維持製程條件。在某些實施例中,可使用距離感測器來提供回饋,以控制基板與噴射頭之間的距離。
前文描述的各種硬體與方法實施例可連同微影圖案化的工具或製程一起使用,例如用於半導體裝置、顯示器、LEDs、太陽能平板以及類似物的加工或製造。典型地但非必要地,此類工具/製程在共同的製造場所中被一起使用或操作。
薄膜的微影圖案化典型上包括一些或全部下述之操作,各個操作藉由若干合理的工具而促成:(1) 使用旋塗或噴塗工具塗佈光阻劑於工件(例如具有矽氮化物膜形成於其上的基板)上;(2) 使用熱板或熔爐或其他適當的硬化工具使光阻劑硬化;(3) 使用如晶圓步進器的工具將該光阻劑暴露到可見光或UV光或X光;(4) 使用如濕式清潔台或噴霧顯影器的工具使該光阻劑顯影,以選擇性地移除光阻劑並藉此使之圖案化;(5) 使用乾式或電漿輔助蝕刻工具將該光阻劑圖案轉移到下層的薄膜或工件;以及(6) 使用如RF或微波電漿光阻剝離器的工具將該光阻劑移除。在一些實施例中,在施加光阻劑之前,先沉積可灰化硬遮罩層(例如非晶碳層)以及另一適當的硬遮罩(例如抗反射層)。
應知悉本文所述之配置及/或方法本質上為例示性,且這些具體實施例或範例不應被視為限制的意思,因為可允許許多變化。本文所述之具體的程序或方法可代表任何數量的製程策略中之一或更多者。因此,所描述的許多動作可依所述之順序、可依其他順序、可平行地來執行,或在一些例子中可捨棄。同樣地,可改變前述之製程的順序。
本發明的標的包括各種製程、系統、與配置的所有新穎的與非顯而易見的組合與次組合,以及本文所述之其他特徵、功能、動作、及/或特性、還有任何和所有的其中的等價態樣。
100‧‧‧設備 101‧‧‧基板 103‧‧‧基板支座 105‧‧‧主要電漿產生區域 107‧‧‧電漿來源 109‧‧‧擷取電極 111‧‧‧聚焦電極 113‧‧‧下電極 115‧‧‧基板處理區域 201‧‧‧底層 202‧‧‧鉑化鈷層 204‧‧‧圖案化硬遮罩層 300‧‧‧反應腔室 301‧‧‧晶圓/基板 302‧‧‧靜電吸盤 303‧‧‧基板處理區域 304‧‧‧離子來源 305‧‧‧斷續器 306‧‧‧噴射頭 307‧‧‧局部高壓輸送區域 400‧‧‧噴射頭 401‧‧‧晶圓/基板 402‧‧‧反應物入口 403‧‧‧真空連接件 404‧‧‧防噴濺塗層 450‧‧‧噴射頭 460‧‧‧噴射頭 461‧‧‧真空區域 462‧‧‧分隔件 477‧‧‧氣體注射器 478‧‧‧氣體輸送管線 700‧‧‧噴射頭 701‧‧‧晶圓/基板 702A‧‧‧入口 702B‧‧‧入口 703‧‧‧真空連接件 710‧‧‧噴射頭 711‧‧‧連接件
圖1呈現用於執行離子束蝕刻的反應腔室的簡圖。
圖2A-2C為基板根據一實施例進行離子束蝕刻處理的圖解說明。
圖3呈現具有一噴射頭的一反應腔室,該噴射頭用於以局部高壓輸送反應物。
圖4A-4C描繪根據某些實施例的噴射頭的剖面圖。
圖4D描繪一噴射頭的頂視圖與側剖面圖,其具有許多可獨立控制的氣體輸送導管。
圖4E描繪一噴射頭的頂視圖與側剖面圖,其具有許多可獨立控制的真空區段。
圖4F描繪一噴射頭的頂視圖,其覆蓋基板的全部面積。
圖4G呈現一噴射頭的頂視圖,其可分成兩等分並透過將兩等分以樞軸為支點做轉動而打開,呈現出半開的狀態。
圖4H與圖4I呈現圖4F與圖4G中所示之噴射頭的剖面側視圖。
圖5呈現關於圖4A-4C所示之噴射頭的各個不同區域中所經受的壓力的模型資料。
圖6A-圖6I顯示當噴射頭相對於基板表面而移動時可能走的某些例示性路徑與移動。
圖7A與7B描繪根據某些實施例將複數個反應物氣體以局部高壓個別地輸送的噴射頭。

Claims (23)

  1. 一種用以將材料從半導體基板上移除的設備,該設備包括:一反應腔室;一基板支座,其用以將基板固持在該反應腔室中;一離子或電漿來源,其配置以將離子朝向該基板支座輸送;一噴射頭,其用以在當基板被固持在該基板支座上時,將反應物提供到該基板的一表面,該噴射頭包括:一面向基板的區域,該面向基板的區域包括(i)反應物輸送導管的反應物輸出區域,以及(ii)與真空導管結合的負壓區域,其中該離子或電漿來源係位於該噴射頭上方,使得來自該離子或電漿來源的離子向下衝撞該噴射頭的一上表面;以及一移動機制,其用以將該噴射頭或該基板支座相對於彼此而移動。
  2. 如申請專利範圍第1項之用以將材料從半導體基板上移除的設備,其中該面向基板的區域包括該反應物輸送導管的一終端以及該真空導管的一終端,且該等終端實質上共面。
  3. 如申請專利範圍第1項之用以將材料從半導體基板上移除的設備,其中該基板支座、該噴射頭、及/或該移動機制配置以在當基板被固持在該基板支座上時,維持該噴射頭與該基板的該表面之間的間隔距離,其中該間隔距離約1cm或更短。
  4. 如申請專利範圍第3項之用以將材料從半導體基板上移除的設備,其中該間隔距離約2mm或更短。
  5. 如申請專利範圍第3項之用以將材料從半導體基板上移除的設備,其中該間隔距離係透過來自一距離感測器的回饋而被主動地控制。
  6. 如申請專利範圍第1項之用以將材料從半導體基板上移除的設備,其中該負壓區域實質上圍繞該反應物輸出區域。
  7. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,更包括與一或更多個真空導管結合的一或更多個另外的負壓區域,其中該等另外的負壓區域實質上圍繞該負壓區域。
  8. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中該反應物輸出區域的長度至少約等於或大於在該設備中待處理的基板的直徑。
  9. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中該反應物輸出區域在平行於該基板支座的方向上具有一寬度,該寬度介於約0.5mm到10cm。
  10. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中透過寬度介於約0.5mm與2cm的一分隔件,將該反應物輸出區域與該負壓區域隔開,其中該分隔件的寬度使該反應物輸出區域與該負壓區域分開。
  11. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中該負壓區域具有介於約1mm與5cm的寬度。
  12. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中該噴射頭更包括包覆該反應物輸送導管以及該真空導管的外殼。
  13. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中該噴射頭係配置以局部地輸送二或更多的個別的反應物,而該等反應物在輸送之前彼此實質上不混合。
  14. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,更包括用以提供另外的反應物氣體的另外的噴射頭。
  15. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中感測器被架設於該噴射頭之上、或與其相鄰、或併入其中。
  16. 如申請專利範圍第15項之用以將材料從半導體基板上移除的設備,其中該感測器配置以監測下列各項中的至少一者:(i)反應物、(ii)一或更多的反應物副產物、及/或(iii)基板。
  17. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,更包括一旋轉機制,其配置以在該噴射頭下方旋轉該基板。
  18. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中該噴射頭被分成多個區段,該等區段配置以接受或經受(i)不同的反應物、(ii)不同的反應物流速、及/或(iii)不同的真空流導。
  19. 如申請專利範圍第18項之用以將材料從半導體基板上移除的設備,更包括一或更多的固定式孔洞、可變式孔洞、或質流控制器,以獨立地控制供應或施加到各個區段的反應物的流速或真空流導。
  20. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,更包括加熱元件及/或冷卻元件,用以加熱及/或冷卻該噴射頭。
  21. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,其中該噴射頭配置成藉由繞著一接點樞轉來在平行於該基板支座的一平面之內移動,且改變該噴射頭的寬度以提供在該基板的該表面上的均勻的氣體輸送。
  22. 如申請專利範圍第1-6項中之任一者之用以將材料從半導體基板上移除的設備,更包括一控制器,該控制器具有用於以第一壓力在該反應物輸出區域中將反應物輸送到該基板的該表面上,並同時將該反應物從該負壓區域中移除的指令。
  23. 如申請專利範圍第22項之用以將材料從半導體基板上移除的設備,其中該控制器更包括用以相對於該基板而移動該噴射頭;或相對於該噴射頭而移動該基板的指令。
TW108120628A 2014-08-12 2015-08-11 噴射頭及使用該噴射頭的設備 TWI702307B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/458,161 2014-08-12
US14/458,161 US9837254B2 (en) 2014-08-12 2014-08-12 Differentially pumped reactive gas injector

Publications (2)

Publication Number Publication Date
TW201936981A TW201936981A (zh) 2019-09-16
TWI702307B true TWI702307B (zh) 2020-08-21

Family

ID=55302668

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108120628A TWI702307B (zh) 2014-08-12 2015-08-11 噴射頭及使用該噴射頭的設備
TW104126021A TWI671427B (zh) 2014-08-12 2015-08-11 噴射頭及使用該噴射頭的設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104126021A TWI671427B (zh) 2014-08-12 2015-08-11 噴射頭及使用該噴射頭的設備

Country Status (6)

Country Link
US (2) US9837254B2 (zh)
JP (1) JP6599166B2 (zh)
KR (1) KR102513666B1 (zh)
CN (2) CN109402637B (zh)
SG (1) SG10201506242SA (zh)
TW (2) TWI702307B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6426489B2 (ja) * 2015-02-03 2018-11-21 東京エレクトロン株式会社 エッチング方法
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
WO2017209901A2 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Substrate distance monitoring
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
CN108232002B (zh) * 2016-12-14 2022-02-25 上海磁宇信息科技有限公司 一种制备磁性隧道结阵列的方法
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102383108B1 (ko) 2018-05-18 2022-04-04 삼성전자주식회사 웨이퍼 처리 장치 및 메모리 소자의 제조 방법
KR102208609B1 (ko) * 2018-12-28 2021-01-28 (주)에스테크 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN114695210B (zh) * 2022-06-02 2022-09-09 西安奕斯伟材料科技有限公司 一种用于硅片边缘刻蚀的装置和方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20110212625A1 (en) * 2010-02-26 2011-09-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
TWI671427B (zh) * 2014-08-12 2019-09-11 美商蘭姆研究公司 噴射頭及使用該噴射頭的設備

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
JPS5432396A (en) 1977-08-17 1979-03-09 Toshiba Corp Gas sensitive element
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
JP3906686B2 (ja) * 2001-12-28 2007-04-18 三菱電機株式会社 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4233348B2 (ja) * 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
WO2005043115A2 (en) 2003-10-20 2005-05-12 Ionwerks, Inc. Ion mobility tof/maldi/ms using drift cell alternating high and low electrical field regions
US7495241B2 (en) 2004-02-26 2009-02-24 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
KR100706809B1 (ko) 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
EP1992007A4 (en) 2006-03-03 2010-05-05 Prasad Gadgil APPARATUS AND METHOD FOR THIN FILM CHEMICAL PROCESSING BY MULTIPLE ATOMIC LAYER OVER AN EXTENDED AREA
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
WO2010120805A2 (en) 2009-04-13 2010-10-21 Applied Materials, Inc. Modification of magnetic properties of films using ion and neutral beam implantation
WO2011011532A2 (en) 2009-07-22 2011-01-27 Applied Materials, Inc. Hollow cathode showerhead
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR20110097193A (ko) 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012057251A (ja) * 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
EP2608872B1 (en) 2010-08-23 2019-07-31 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
WO2012047914A2 (en) 2010-10-05 2012-04-12 Veeco Instruments, Inc. Grid providing beamlet steering
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5432396B1 (ja) * 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20110212625A1 (en) * 2010-02-26 2011-09-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
TWI671427B (zh) * 2014-08-12 2019-09-11 美商蘭姆研究公司 噴射頭及使用該噴射頭的設備

Also Published As

Publication number Publication date
US20160049281A1 (en) 2016-02-18
SG10201506242SA (en) 2016-03-30
TW201619433A (zh) 2016-06-01
US9837254B2 (en) 2017-12-05
US20180047548A1 (en) 2018-02-15
CN109402637A (zh) 2019-03-01
US10580628B2 (en) 2020-03-03
JP6599166B2 (ja) 2019-10-30
CN109402637B (zh) 2021-03-09
CN105374713A (zh) 2016-03-02
KR20160019876A (ko) 2016-02-22
TWI671427B (zh) 2019-09-11
KR102513666B1 (ko) 2023-03-23
JP2016040825A (ja) 2016-03-24
TW201936981A (zh) 2019-09-16
CN105374713B (zh) 2018-10-09

Similar Documents

Publication Publication Date Title
TWI702307B (zh) 噴射頭及使用該噴射頭的設備
TWI647757B (zh) 具有離子加速器之雙腔室電漿蝕刻器
TWI690241B (zh) 透過對於能量吸收劑氣體之碰撞共振能量轉移的電漿之真空紫外線放射調整
JP6469374B2 (ja) イオンビームエッチングシステム
TWI687962B (zh) 使用離子束蝕刻以產生環繞式閘極結構
JP6509495B2 (ja) 半導体製造用の内部プラズマグリッドの適用
JP5148592B2 (ja) 基板上の残留物を除去するための後エッチング処理システム
JP2014204127A (ja) 半導体製造用の内部プラズマグリッド
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩
TW202135293A (zh) 對於高深寬比之3d nand 蝕刻的側壁缺口縮減