CN107045969A - 用于图案化非挥发性金属的室 - Google Patents

用于图案化非挥发性金属的室 Download PDF

Info

Publication number
CN107045969A
CN107045969A CN201710061612.5A CN201710061612A CN107045969A CN 107045969 A CN107045969 A CN 107045969A CN 201710061612 A CN201710061612 A CN 201710061612A CN 107045969 A CN107045969 A CN 107045969A
Authority
CN
China
Prior art keywords
region
pedestal
mobile foundation
shower nozzle
raised position
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710061612.5A
Other languages
English (en)
Other versions
CN107045969B (zh
Inventor
沈美华
黄硕刚
索斯藤·利尔
西奥·帕纳戈波罗斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201910092850.1A priority Critical patent/CN110112048A/zh
Publication of CN107045969A publication Critical patent/CN107045969A/zh
Application granted granted Critical
Publication of CN107045969B publication Critical patent/CN107045969B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本文描述了用于图案化非挥发性金属的室,具体描述了适于在多种压强状态下蚀刻衬底的装置。装置包括处理室,处理室包括能够定位在升高位置或降低位置的能移动基座,喷头和任选的等离子体发生器。装置可适于在能移动基座处于降低位置时使用处理来蚀刻非挥发性金属,以及在能移动基座处于升高位置时使有机蒸气暴露于高压。

Description

用于图案化非挥发性金属的室
技术领域
本发明涉及半导体处理领域,具体涉及用于图案化非挥发性金属的室。
背景技术
半导体处理通常涉及蚀刻非挥发性金属。然而,因为非挥发性金属难 以形成挥发性金属副产物,因此其通常难以使用常规干式等离子体蚀刻反应 器来被图案化。结果,常规蚀刻处理常常导致金属在衬底的表面上再沉积, 从而导致可靠性问题和性能问题。
发明内容
本文提供了用于处理半导体衬底的装置。一个方面涉及一种用于处理 半导体衬底的装置,所述装置包括:处理室,该处理室包括:喷头,其用于 将处理气体分配到所述装置,能移动基座,其用于保持所述半导体衬底,所 述能移动基座能够定位在升高位置或降低位置,使得处于所述升高位置的所 述能移动基座形成所述能移动基座与所述喷头之间的上部室区域以及在所述 能移动基座下方的下部室区域,当所述基座移动到所述升高位置时,所述喷 头附近的区域能够与所述能移动基座的边缘对准;入口,其耦合到所述喷头,以用于将处理气体朝向所述喷头输送;等离子体发生器,其用于点燃所 述处理室中的等离子体;以及控制器,其用于控制所述装置的操作,所述控 制器包括用于将所述基座移动到所述升高位置或降低位置的机器可读指令, 使得当所述能移动基座处于所述升高位置时,在所述上部室区域和所述下部 室区域之间形成压强差。
在一些实施方式中,当所述能移动基座处于所述升高位置时,所述能 移动基座的所述边缘与所述喷头附近的所述区域之间的距离介于约0.3mm和 约3mm之间。所述喷头附近的所述区域相对于与所述喷头垂直的轴线可以 倾斜约45°。在一些实施方式中,所述喷头附近的倾斜区域的长度介于约50 mm和约200mm之间。
在一些实施方式中,所述喷头附近的所述区域是所述装置的侧壁的一 部分。当所述基座处于所述升高位置时,所述喷头和所述上部室区域中的所 述能移动基座之间的距离可以介于约1mm和约2mm之间。
在一些实施方式中,所述能移动基座包括所述基座的边缘附近的凸起 区域。在多种实施方式中,所述喷头平面的表面与所述凸起区域的上表面之 间的距离介于约0mm和约1mm之间。所述凸起区域可包括内拐角和外拐 角,使得所述喷头的边缘和所述内拐角之间的横向距离为约10mm。
在多种实施方式中,所述能移动基座的所述边缘是倾斜的。所述能移 动基座的所述边缘可以垂直于所述能移动基座的所述表面。所述能移动基座 可以能够在所述降低位置和所述升高位置之间移动介于约4英寸和约6英寸 之间的距离。在一些实施方式中,所述能移动基座的厚度可以介于约50mm 和约100mm之间。
当所述基座处于所述升高位置时,所述上部室区域和所述下部室区域 之间的压强差可以介于约50毫托和约5托之间。在一些实施方式中,当所述 基座处于所述升高位置时所述上部室区域的压强可以能够比当所述基座处于 所述降低位置时所述室的压强大至少约2倍至约10,000倍。
在一些实施方式中,所述入口包括用于以大于约1000sccm的流速将 有机蒸气输送到所述处理室的入口。所述入口可以包括用于将含氯或含氧处 理气体输送到所述喷头以产生等离子体的入口。
在一些实施方式中,所述控制器还包括机器可读指令,其用于:当所 述基座处于所述降低位置时,将所述等离子体引导至所述衬底上的非挥发性 金属上,以在所述衬底上形成改性的非挥发性金属;以及当所述基座处于所 述升高位置时,将有机蒸气引入所述室,以蚀刻所述改性的非挥发性金属。
另一方面涉及一种在处理室中蚀刻衬底上的非挥发性金属的方法, 所述处理室包括用于保持所述衬底的能移动基座,所述能移动基座能够定位 在升高位置或降低位置,使得处于所述升高位置的所述能移动基座形成在所 述能移动基座和所述喷头之间的上部室区域以及在所述能移动基座下方的下 部室区域,所述方法包括:当所述能移动基座处于所述降低位置时,将所述 基座上的所述衬底上的所述非挥发性金属暴露于等离子体,以使所述非挥发 性金属改性;以及当所述基座处于所述升高位置时,将所述改性的非挥发性金属暴露于有机蒸气,以去除所述改性的非挥发性金属,由此当所述能移动 基座处于所述升高位置时,在所述处理室中在所述上部室区域和所述下部室 区域之间形成压强差。
在多种实施方式中,当所述基座处于所述升高位置时所述上部室区 域的压强比当所述基座处于降低位置时所述室的压强大至少约2倍至约 10,000倍。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于处理半导体衬底的装置,所述装置包括:
处理室,其包括:
喷头,其用于将处理气体分配到所述装置,
能移动基座,其用于保持所述半导体衬底,所述能移动基座能够 定位在升高位置或降低位置,使得处于所述升高位置的所述能移动基座形成 所述能移动基座与所述喷头之间的上部室区域以及在所述能移动基座下方的 下部室区域,以及
当所述基座移动到所述升高位置时,所述喷头附近的能够与所述 能移动基座的边缘对准的区域;
入口,其耦合到所述喷头,以用于将处理气体朝向所述喷头输送;
等离子体发生器,其用于点燃所述处理室中的等离子体;以及
控制器,其用于控制所述装置的操作,所述控制器包括用于将所述基座 移动到所述升高位置或降低位置的机器可读指令,
其中当所述能移动基座处于所述升高位置时,在所述上部室区域和所述 下部室区域之间形成压强差。
2.根据条款1所述的装置,其中,当所述能移动基座处于所述升高位置 时,所述能移动基座的所述边缘与所述喷头附近的所述区域之间的距离介于 约0.3mm和约3mm之间。
3.根据条款1所述的装置,其中,所述喷头附近的所述区域相对于与所 述喷头垂直的轴线倾斜约45°。
4.根据条款1所述的装置,其中,所述喷头附近的所述区域是所述装置 的侧壁的一部分。
5.根据条款1所述的装置,其中,当所述基座处于所述升高位置时,所 述喷头和所述上部室区域中的所述能移动基座之间的距离介于约1mm和约2 mm之间。
6.根据条款1所述的装置,其中所述能移动基座包括所述基座的边缘附 近的凸起区域。
7.根据条款1所述的装置,其中,所述能移动基座的所述边缘是倾斜 的。
8.根据条款1所述的装置,其中,所述能移动基座的所述边缘垂直于所 述能移动基座的所述表面。
9.根据条款1-8中任一项所述的装置,其中当所述基座处于所述升高位 置时,所述上部室区域和所述下部室区域之间的压强差介于约50毫托和约5 托之间。
10.根据条款1-8中任一项所述的装置,其中当所述基座处于所述升高位 置时所述上部室区域的压强能够比当所述基座处于所述降低位置时所述室的 压强大至少约2倍至约10,000倍。
11.根据条款1-8中任一项所述的装置,其中,所述能移动基座能够在所 述降低位置和所述升高位置之间移动介于约4英寸和约6英寸之间的距离。
12.根据条款1-8中任一项所述的装置,其中所述入口包括用于以大于约 1000sccm的流速将有机蒸气输送到所述处理室的入口。
13.根据条款1-8中任一项所述的装置,其中所述入口包括用于将含氯或 含氧处理气体输送到所述喷头以产生等离子体的入口。
14.根据条款1-8中任一项所述的装置,其中所述能移动基座的厚度介于 约50mm和约100mm之间。
15.根据条款1-8中任一项所述的装置,其中,所述控制器还包括机器可 读指令,所述机器可读指令用于:
当所述基座处于所述降低位置时,将所述等离子体引导至所述衬底上的 非挥发性金属上,以在所述衬底上形成改性的非挥发性金属;以及
当所述基座处于所述升高位置时,将有机蒸气引入所述室,以蚀刻所述 改性的非挥发性金属。
16.根据条款6所述的装置,其中,所述喷头平面的表面与所述凸起区 域的上表面之间的距离介于约0mm和约1mm之间。
17.根据条款6所述的装置,其中,所述凸起区域包括内拐角和外拐 角,并且其中所述喷头的边缘和所述内拐角之间的横向距离为约10mm。
18.根据条款3所述的装置,其中,所述喷头附近的所述倾斜区域的长 度介于约50mm和约200mm之间。
19.一种在处理室中蚀刻衬底上的非挥发性金属的方法,所述处理室包 括用于保持所述衬底的能移动基座,所述能移动基座能够定位在升高位置或 降低位置,使得处于所述升高位置的所述能移动基座形成在所述能移动基座 和所述喷头之间的上部室区域以及在所述能移动基座下方的下部室区域,所 述方法包括:
当所述基座处于所述降低位置时,将所述衬底上的所述非挥发性金属暴 露于等离子体,以使所述非挥发性金属改性;以及
当所述基座处于所述升高位置时,将所述改性的非挥发性金属暴露于有 机蒸气,以去除经改性的所述非挥发性金属,
其中当所述能移动基座处于所述升高位置时,在所述处理室中在所述上 部室区域和所述下部室区域之间形成压强差。
20.根据条款19所述的方法,其中当所述基座处于所述升高位置时所述
上部室区域的压强比当所述基座处于降低位置时所述室的压强大至少约
2倍至约10,000倍。
下面参照附图进一步描述这些和其他方面。
附图说明
图1提供了根据所公开的实施方式的示例性装置的示意图。
图2A提供了图1的示例性装置的一部分的特写描绘的示意图。
图2B提供了图2A的一部分的特写描绘的示意图。
图3A提供了具有处于降低位置的基座的示例性装置的示意图。
图3B提供了具有处于升高位置的基座的示例性装置的示意图。
图3C提供了图3B的一部分的特写描绘的示意图。
图4A是用于执行可根据所公开的实施方式执行的方法的操作的工艺 流程图。
图4B是用于执行可在根据所公开的实施方式所述的装置中执行的方 法的操作的工艺流程图。
图4C是示出可在根据所公开的实施方式所述的装置中执行的方法中 的循环的示例的时序图。
图4D-4G是可以进行可以在根据所公开的实施方式所述的装置中执 行的方法的示例性衬底的示意图。
图5是在实验中使用的处理室的区域的示意图。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对所呈现的实施方式的 透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践所公开的 实施方式。在其他情况下,没有详细描述公知的方法操作以免不必要地使所 公开的实施方式难以理解。虽然将会结合具体实施方式描述所公开的实施方 式,但是应当理解,这些特定实施方式并不旨在限制所公开的实施方式。
半导体处理涉及蚀刻非挥发性金属,非挥发性金属难以使用常规干 式等离子体蚀刻反应器来图案化和蚀刻。示例性非挥发性金属包括铜、钴、 铂、钯、铁和铱。这种非挥发性金属可以具有独特的电性质和磁性质,并且 因此可以用于高级存储器和逻辑应用中,例如用于制造磁阻随机存取存储器 (MRAM)。在常规等离子体反应器中,蚀刻这些非挥发性金属是难以形成 非挥发性金属的挥发性副产物的。形成挥发性蚀刻副产物是合乎期望的,因为这样的材料可以容易地从处理室去除而不会再沉积到衬底表面上。通常, 金属可以溶解在湿化学溶液中或者也可以溶解在有机蒸气溶剂中。然而,从 湿蚀刻环境转移到干蚀刻环境需要时间,因此减少了吞吐量。此外,湿法技 术可能不一定适合于在10nm以下技术节点蚀刻衬底。
装置
本文提供了适合于蚀刻非挥发性金属的装置和方法。虽然本文所述 的示例性装置可以用于蚀刻非挥发性金属,但是应理解的是,本文所述的装 置可适用于蚀刻或处理任何类型的晶片。
在多种实施方式中,该装置包括双区压强等离子体反应器或处理 室,其可以被配置为包括上部室区域和下部室区域。图1提供了包括处理室 102的示例性装置100的示意图。处理室102可以包括用于将处理气体输送到 处理区域195的喷头115。处理室102的侧壁可以是倾斜的,使得在喷头115 处或附近的处理室侧壁的区域180与基座160的倾斜边缘对准,基座160能 够在处理室102中垂直向上(到升高位置)和向下(到降低位置)移动。注 意,虽然区域180是倾斜的,并且图1所示的基座边缘是倾斜的,但在一些 实施方式中,区域180与垂直基座边缘垂直对准。基座160可以保持可以在 其上执行处理的晶片或衬底112。基座160的厚度可以介于约50mm和约 100mm之间。在一些实施方式中,基座160用作用于如下所述原位产生等离 子体的阴极。
喷头115将处理气体朝晶片112分配。在图1所示的实施方式中,晶 片112位于喷头115下方并且示出为搁置在基座160上。喷头115可以具有 任何合适的形状,并且可以具有任何合适数量和布置的用于将处理气体分配 到晶片112的端口。喷头115可以在区域145和处理区域195之间分隔处理 室102。在一些实施方式中,气体可以在经由喷头115输送气体到晶片112 之前以预选的压强被输送到区域145。在一些实施方式中,基座160可以处 于升高位置或处于降低位置,以将晶片112暴露于晶片112和喷头115之间 的空间。基座160可以在升高位置和降低位置之间移动的距离可取决于室的 尺寸。如本文所使用的术语“升高”和“降低”是沿着轴线相对的,其中基 座160的表面基本上平行于地面。在一些实施方式中,升高位置是其中基座 160的表面较接近室102的面对表面(facing surface)的位置,例如在室102 中的可放置喷头115的位置。在一些实施方式中,基座160可在升高位置和 降低位置之间移动的距离可介于约4英寸和约6英寸之间。应当理解,在一 些实施方式中,可以通过合适的控制器175以编程方式调整基座高度。
注意,如下面关于图2A和2B进一步描述的,在多种实施方式中, 当基座160处于升高位置时,处理区域195可以被分成两个区域。在一些实 施方式中,调整基座160的高度可允许在本文所述的等离子体处理期间改变 等离子体密度。在处理阶段结束时,可以降低基座160以执行晶片112的另 一操作,或执行另一晶片输送阶段,以允许从基座160移除晶片112。在一 些实施方式中,基座160可以包括用于旋转晶片112的方向的旋转轴。应当 理解,在一些实施方式中,这些示例性调整中的一种或多种可以由一个或多 个合适的控制器175以编程方式执行。控制器175可以是下面关于图3A和 3B描述的任何控制器。
在一些实施方式中,基座160可以通过加热器110进行温度控制。此 外,在一些实施方式中,装置100的压强控制可以由蝶阀118提供。如图1 的实施方式所示,蝶阀118节流由下游真空泵(未示出)提供的真空。然 而,在一些实施方式中,装置100的压强控制也可以通过改变引入到装置 100的一种或多种气体的流速来调节。
处理室102可以与用于将处理气体或蒸气输送到喷头115的反应物输 送系统101流体连通。反应物输送系统101包括用于混合和/或调节用于输送 到喷头115的处理气体(例如蚀刻气体)的混合容器104。可以使用单独的 混合容器(未示出)来混合和/或调节有机蒸气气体。一个或多个混合容器入 口阀120可以控制处理气体到混合容器104的引入。蚀刻等离子体也可以被 输送到喷头115或者可以在处理室102中产生。
作为示例,图1的实施方式包括用于蒸发待供应到混合容器104的液 体反应物的蒸发点103。在一些实施方式中,蒸发点103可以是加热的蒸发 器。从这种蒸发器产生的饱和反应物蒸气可以在下游输送管道中冷凝。不相 容的气体暴露于冷凝的反应物可产生小颗粒。这些小颗粒可能堵塞管道、阻 碍阀门操作、污染衬底等。解决这些问题的一些方法涉及吹扫和/或排空输送 管道以移除残余反应物。然而,吹扫输送管道可能增加处理站循环时间,降 低处理站的吞吐量。因此,在一些实施方式中,蒸发点103下游的输送管道 可以是伴热的。在一些实例中,混合容器104也可以是伴热的。在一个非限 制性示例中,汽化点103下游的管道具有在混合容器104处从约100℃延伸 到约150℃的增加的温度分布。
在可以使用等离子体的一些实施方式中,喷头115和基座160与射频 (RF)电源114和匹配网络116电连通以为等离子体供电。在一些实施方式 中,可以通过控制处理站压强、气体浓度、RF源功率、RF源频率和等离子 体功率脉冲定时中的一种或多种来控制等离子体能量。例如,RF电源114和 匹配网络116可以以任何合适的功率操作以形成具有所需等离子体物质组成 的等离子体。同样,RF电源114可以提供任何合适频率的RF功率。在一些 实施方式中,RF电源114可以被配置为彼此独立地控制高频和低频RF功率 源。示例性低频RF频率可以包括但不限于介于0kHz和500kHz之间的频 率。示例性的高频RF频率可以包括但不限于介于1.8MHz和2.45GHz之间 或者大于约13.56MHz,或者大于27MHz,或者大于40MHz,或者大于60 MHz的频率。应当理解,可以离散地或连续地调制任何合适的参数,以为表 面反应提供等离子体能量。
在一些实施方式中,可以通过一个或多个等离子体监测器(未示 出)原位监测等离子体。在一种情况下,等离子体功率可以由一个或多个电 压或电流传感器(例如,VI探针)监测。在另一种情况下,可以通过一个或 多个光发射光谱传感器(OES)测量等离子体密度和/或处理气体浓度。在一 些实施方式中,可以基于来自这种原位等离子体监测器的测量值来以编程方 式调节一个或多个等离子体参数。例如,OES传感器可以用在用于提供对等 离子体功率的编程方式控制的反馈回路中。应当理解,在一些实施方式中, 可以使用其它监测器来监测等离子体和其它处理特性。这种监测器可以包括 但不限于红外(IR)监测器、声学监测器和压力传感器。
图2A示出了图1的区域180的放大的实施方式,其中基座260处于 升高位置,在基座260的表面上没有晶片。注意,尽管在图2A中未示出, 但是晶片可以搁置在喷头215下的基座260上,使得晶片在喷头215和基座 260的表面之间定位在基座260上。
如图2A所示,当基座260处于升高位置时,图1的处理空间195可 以分成上部室区域240和下部室区域299。上部室区域240可以被限定为当 基座260处于升高位置时在喷头215和晶片之间的处理室的区。
在多种实施方式中,喷头215可以通过间隙262与基座260的表面分 离。间隙262可以被定义为喷头215与基座260的表面的顶部的平坦区域 (即,不是250中所示的凸起区域)之间的距离,如图2A所示。间隙262可 以在约1mm之间。在一些实施方式中,间隙262可以是喷头215和基座260 上的晶片之间的距离,使得间隙262限定上部室区域240的尺寸。
可以调节间隙220的尺寸和倾斜区域280的长度224,以在上部室区 域240和下部区域299之间产生压强差或压差。当基座处于升高位置时,上 部室区域和下部区域299之间的压强差可以介于约50毫托和约5托之间。在 一些实施方式中,这可以用于在上部室区域240中形成高压环境。例如,在 一些实施方式中,将晶片暴露于高压环境可能是合适的。上部室区域240的 形成能够建立高压环境,从而通过在升高位置和降低位置之间移动基座260,使得晶片能暴露于同一处理室中的高压环境和低压环境,由此提高晶片 处理的效率和吞吐量。间隙220可以被限定为由室的倾斜侧壁上的一个点和 基座260的倾斜边缘上的点之间的最短距离限定的宽度。在多种实施方式 中,间隙220可以介于约0.3mm和约3mm之间。
在一些实施方式中,喷头215可具有约150mm的半径。在一些实施 方式中,喷头215可以涂覆有材料(例如Y2O3)薄层,并且可以是接地的铝 板。在一些实施方式中,喷头可以组装在处理室的顶部,由此喷头215不跨 越处理室的顶部的整个长度,并且喷头中心与处理室壁在区域280中倾斜的 点之间的距离210为约165mm。例如,在一些实施方式中,喷头的边缘与处 理室的侧壁倾斜的边缘之间的距离可以为约15mm。在多种实施方式中,从 基座的中心到处理室的垂直侧壁的边缘的距离232可以介于约200mm和约 300mm之间。倾斜区域280的长度224可以介于约50mm和约200mm之 间。
在一些实施方式中,惰性气体(其可以称为“防护气体(curtain gas)”)用于防止气体(例如有机蒸气)从上部室区域240扩散或泄漏到下 部室区域299。惰性气体可以沿着间隙220注入,并且可以通过在喷头215 的外径上的一系列孔(未示出)抽出惰性气体。
如图2A所示,基座260可以在基座260的边缘处具有凸起区域 285,使得当晶片被放置在基座260上时,凸起区域285围绕晶片的边缘。凸 起区域285在250中示出,而图2B提供该区域的放大特写图。如图2B所 示,喷头215位于或靠近处理室的顶部,喷头215的边缘与基座260的凸起 区域285的内边缘间隔距离275。距离275可以是约10mm。在一些实施方式中,凸起区域285的宽度270可以为约5mm。凸起区域285可以具有大于 被处理的晶片的厚度的厚度,使得如果凸起区域285接触从喷头的边缘延伸 的处理室的顶部,则基座形成与处理区195的剩余部分隔离的上部室区域 240。在一些实施方式中,凸起区域285可以通过包围上部室区域240而将上 部室区域240与下部室区域299完全分离,使得在一些实施方式中,间隙 278可以是0mm。凸起区域285可以帮助保持图2A的上部室区域240和室 的下部室区域299之间的压强差。参考图2B,倾斜区域偏离基座的边缘的角 度272可以是约45℃。凸起区域285的外边缘与处理室的顶部倾斜的点之间 的距离271可以介于约1mm和约2mm之间。
注意,虽然图1、图2A和图2B描绘了具有倾斜边缘的基座,其中 在喷头处或喷头附近的处理室侧壁具有对应的倾斜边缘,但是在一些实施方 式中,所述边缘可以不是倾斜的。在图3A-3C中提供了包括具有垂直边缘的 基座的室的示例性实施方式,其中在处理室的喷头处或喷头附近的区域具有 对应的垂直侧壁。
图3A示出了具有处于降低位置的基座360a的室300a。图3A和3B 中所示的室300a可以是多站工具的一部分,例如两站工具或四站工具。在一 些实施方式中,室300a可以是单站工具。所公开的实施方式可以在一个或多 个站或室中执行。
晶片312a位于基座360a的表面上。室300a包括喷头315。喷头315 可包括用于将气体在输送到喷头315之前输送到混合容器304的载气入口 323和蒸气加热入口313。喷头315可联接到区域380,其可以用于当基座 360b处于如图3B所示的升高位置时在上部室区域340和下部室区域399之 间建立压强差。注意,在图3B中,晶片312b放置在区域380的限制范围 内,并且形成上室340。
图3C示出了区域380的放大示图。如图3C所示,基座360b邻近区 域380定位,同时留下间隙320。距离324可以是用于帮助在上部室区域340 和下部室区域399之间建立压强差的区域380的高度。间隙320的宽度可以 是上文关于图2A的距离220所描述的任何距离。距离324可以是上文关于图 2A的距离224描述的任何长度。
参考图3A和3B,如图3B所示的基座360a从降低位置到升高位置 的移动可以由系统控制器375控制。处理气体流入和流出处理室300a的流速 的变化也可以由系统控制器375控制。
系统控制器375可以包括一个或多个存储器设备、一个或多个大容量 存储设备和一个或多个处理器。处理器可以包括CPU或计算机、模拟、和/ 或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,系统控制器375控制处理室300a的所有活动。 系统控制器375执行存储在大容量存储设备中、加载到存储器设备中并在处 理器上执行的系统控制软件。替代地,控制逻辑可以在控制器375中硬编 码。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列或FPGA) 等可以用于这些目的。在下面的讨论中,在使用“软件”或“代码”的地 方,可以在其位置使用功能相当的硬编码逻辑。系统控制软件可以包括用于 控制基座位置、定时、气体混合物、气体流速、室和/或站压强、室和/或站温 度、目标功率水平、RF功率水平、基座温度、卡盘和/或感受器位置以及由 处理室300a执行的特定处理的其它参数的指令。系统控制软件可以以任何合 适的方式配置。例如,可以编写各种处理工具部件子程序或控制对象以控制 用于执行各种处理工具处理的处理工具部件的操作。系统控制软件可以以任 何合适的计算机可读编程语言来编码。
在一些实施方式中,系统控制软件可以包括用于控制上述各种参数 的输入/输出控制(IOC)序列指令。在一些实施方式中可以采用其他计算机 软件和/或程序,其存储在与系统控制器375相关联的大容量存储设备和/或存 储器设备上。用于该目的的程序或程序的部分的示例包括晶片定位程序、处 理气体控制程序、压强控制程序、加热器控制程序和等离子体控制程序。
晶片定位程序可以包括用于处理工具部件的程序代码,所述处理工 具部件用于将晶片装载到基座360a上并控制晶片和处理室300a的其他部分 之间的间隔。
处理气体控制程序可以包括用于在沉积之前控制气体组成(例如, 如本文所述的含碘的硅前体气体和含氮气体、载气和吹扫气体)和流速以及 任选地用于使气体流入一个或多个处理站,以便稳定处理站中的压强。压强 控制程序可以包括用于通过调节例如处理站的排气系统中的节流阀,进入处 理站的气体流等来控制处理站中的压强的代码。
加热器控制程序可以包括用于控制到用于加热晶片的加热单元的电 流的代码。替代地,加热器控制程序可以控制传热气体(例如氦)到晶片的 输送。
等离子体控制程序可以包括用于根据本发明的实施方式设置施加到 一个或多个处理站中的处理电极的RF功率水平的代码。
压强控制程序可以包括用于根据本发明的实施方式维持反应室中的 压强的代码。
在一些实施方式中,可以存在与系统控制器375相关联的用户界面。 用户界面可以包括显示屏、装置的图形软件显示和/或处理条件,以及用户输 入设备,诸如指针设备、键盘、触摸屏、麦克风等。
在一些实施方式中,由系统控制器375调节的参数可以涉及处理条 件。非限制性实例包括处理气体组成和流速、温度、压强、等离子体条件 (例如RF偏置功率水平)等。这些参数可以以配方的形式提供给用户,配 方可以利用用户界面输入。
用于监测处理的信号可以通过系统控制器375的模拟和/或数字输入 连接从多种处理工具传感器提供。用于控制处理的信号可以在处理室300a的 模拟和数字输出连接上输出。可监测的处理工具传感器的非限制性实例包括 质量流量控制器、压力传感器(例如压力计)、热电偶等。合适的编程的反 馈和控制算法可与来自这些传感器的数据一起使用以维持处理条件。
系统控制器375可以提供用于实现上述沉积处理的程序指令。程序指 令可以控制多种处理参数,诸如DC功率水平、RF偏置功率水平、压强、温 度等。根据本文所述的多种实施方式,指令可以控制参数以操作膜堆(stacks)的原位沉积。
系统控制器375通常将包括一个或多个存储器设备和被配置为执行指 令的一个或多个处理器,使得装置将执行根据所公开的实施方式的方法。包 含根据所公开的实施方式的用于控制处理操作的指令的机器可读介质可以耦 合到系统控制器375。
在一些实施方式中,系统控制器375是系统的一部分,系统可以是上 述示例的部分。这样的系统能够包括半导体处理装置,半导体处理装置包含 用于处理的一个或多个处理工具、一个或多个室、一个或多个平台、和/或特 定处理组件(晶片底座、气流系统等)。这些系统可以与电子设备集成,电 子设备用于半导体晶片或者衬底的处理之前、之间以及之后控制其操作。电 子设备可以称作“控制器”,其可以控制一个或多个系统的各种组件或者子 部件。根据系统的处理要求和/或类型,系统控制器375可以被编程为控制本 文公开的任何处理,包含处理气体的输送、温度设定(例如加热和/或冷 却)、压强设定、真空设定、功率设定、射频(RF)产生器设定、RF匹配 电路设定、频率设定、流率设定、流体输送设定、位置和操作设定、晶片往 来于工具、以及连接至或者对接于特定系统的其他传输工具和/或负载锁的传 输。
概括地说,系统控制器375可以定义为具有各种集成电路,逻辑,存 储器和/或接收指令、发出指令、控制操作、启用清洗操作、启用端点测量等 的软件的电子设备。集成电路可以包括存储程序指令的固件形式的芯片、数 字信号处理器(DSP)、定义为特定应用集成电路(ASIC)的芯片、和/或一 个或多个微处理器、或者执行程序指令(例如软件)的微控制器。程序指令 可以是传送到系统控制器375的指令,形式为各种单独设定(或者程序文件),定义用于进行在或者对半导体晶片或者对系统的特定处理的可操作的 参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部 分,以在制造一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表 面、电路和/或晶片的裸芯片期间完成一个或多个处理步骤。
在一些实现方式中,系统控制器375可以是计算机的一部分或者耦接 至计算机,该计算机与系统集成、耦接至系统、或者网络连接至系统或者其 组合。例如,系统控制器375可以在“云”中,或者是晶片厂(fab)主机计 算机系统的所有或者部分,其能够允许远程访问晶片处理。计算机可以启用 对系统的远程访问来监控制造操作的当前进展,检查过去制造操作的历史, 根据多个制造操作来检查趋势或者性能度量,来改变当前处理的参数,设定 处理步骤来跟随当前处理,或者开始新的处理。在一些示例中,远程计算机 (例如服务器)可以通过网络(其可以包含本地网络或因特网)向系统提供 处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接 口,然后将参数和/或设置从远程计算机传送到系统。在一些示例中,系统控 制器375接收数据形式的指令,其指定在一个或多个操作期间要执行的每个 处理步骤的参数。应当理解,参数可以对于要执行的处理的类型和工具的类 型是特定的,系统控制器375被配置为与该工具接口或控制该工具。因此, 如上所述,系统控制器375可以是分布式的,例如通过包括联网在一起并朝 着共同目的(诸如本文所述的处理和控制)而工作的一个或多个离散控制器 来实现。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或 作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或 多个集成电路,它们组合以控制室上的处理。
非限制性地,示例性系统可以包括等离子体蚀刻室或模块、沉积室 或者模块、旋转冲洗室或者模块、金属镀覆室或者模块、清洗室或者模块、 斜边蚀刻室或者模块、物理气相沉积(PVD)室或者模块、化学气相沉积 (CVD)室或者模块、原子层沉积(ALD)室或者模块、原子层蚀刻 (ALE)室或者模块、离子注入室或者模块、追踪室或者模块、以及可以关 联或者用于制造和/或生产半导体晶片的任何其他半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,系统控制器 375可以与一个或多个其他工具电路或模块、其他工具组件、集群工具、其 他工具界面、相邻工具、邻近工具、遍及工厂定位的工具、主要计算机、另 一个控制器、或者被用于材料传输的工具通信,该材料传输的工具将晶片的 容器来往于半导体制造工厂中的工具位置和/或加载口输送。
在2011年4月11日提交的、名称为“PLASMA ACTIVATED CONFORMAL FILMDEPOSITION”的美国专利申请No.13/084,399(现为美 国专利No.8,728,956)以及2011年4月11日提交的、名称为“SILICON NITRIDE FILMS AND METHODS”的美国专利申请No.13/084,305中进一步 讨论和描述了用于执行本文公开的方法的合适装置,所述申请各自以全部内 容并入本文。
在一些实施方式中,处理室300a(或图1的装置100)可以在用于处 理晶片的较大装置或工具中实施。
本文所述的装置/处理可结合光刻图案化工具或处理使用,例如以用 于制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地, 但不必然地,此类工具/处理将在普通的制造设施中一起使用或进行。光刻图 案化膜通常包括以下操作中的一些或全部,每个操作能够使用多种可行的工 具:(1)使用旋涂或喷涂工具在工件(即晶片上)施加光致抗蚀剂;(2) 使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或UV或x射线光;(4)使抗蚀 剂显影以便使用诸如湿式台之类的工具选择性地去除抗蚀剂,从而使其图案 化;(5)通过使用干法或等离子体辅助蚀刻工具将抗蚀剂图案转移到下面 的膜或工件中;并且(6)使用诸如射频或微波等离子体抗蚀剂剥离器之类的 工具去除抗蚀剂。
方法
本文所述的装置可用于在诸如半导体衬底的晶片上实现各种方法。 一个示例性方法在本文中被描述为所公开的实施方式的应用的示例。
如上所述,非挥发性金属通常难以在常规装置中被蚀刻。然而,本 文所述的公开的装置可以用于在相同的处理室内使用高压阶段和低压阶段有 效地蚀刻非挥发性金属,使得包括非挥发性金属的衬底可暴露于高压区域中 的有机蒸气以蚀刻在先前暴露于等离子体中被修饰的改性非挥发性金属。在 本文所述的装置的公开实施方式中执行非挥发性金属的蚀刻操作的优点包括 在一个或多个室或站中执行蚀刻的能力以及在不需要在湿法和干法处理之间 转移衬底的情况下执行干法蚀刻处理的能力。
图4A示出了用于执行可以在装置的公开实施方式中执行的操作的工 艺流程图。在操作452中,向处理室提供衬底。
衬底可以是硅晶片,例如200mm晶片、300mm晶片或450mm晶 片,包括具有一个或多个材料层沉积在其上的晶片,该材料例如电介质、导 电或半导体材料。底层的非限制性实例包括电介质层和导电层,例如氧化 硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物和金属层。在 多种实施方式中,衬底可以包括非挥发性金属并且可以用于形成磁性器件。
处理室可以是任何合适的室。在多种实施方式中,本文所述的公开 的方法可以在单个室中执行。在一些实施方式中,处理室可以是上文关于图 1-3C所描述的那些中的任何一个。在一些实施方式中,本文所述的方法可在 两个室中执行,例如在两站工具中执行。在一些实施方式中,所公开的实施 方式可以在四个室中执行,例如在四站工具中执行。图4A中描述的一个或 多个操作可以在相同工具的不同站中或在相同站中执行。多站工具可以同时 处理多个晶片。
在操作454-460中,可以使惰性气体流动。在多种实施方式中,惰性 气体用作载气。示例性载气包括氩、氦和氖。在一些实施方式中,可以使用 含氢载气。在一些实施方式中,在一些操作中使用载气作为吹扫气体。在一 些实施方式中,载气被转向。可以提供惰性气体以帮助控制处理室的压强和/ 或温度,液体反应物的蒸发,反应物和/或作为用于从处理室和/处理室管道去 除处理气体的清扫气体(sweep gas)的较快速输送。
在操作454中,可以改性包括非挥发性金属的衬底表面。改性所述衬 底的表面可允许非挥发性金属能在后面的处理中更易于使用有机蒸气进行蚀 刻,如下所述。在一些实施方式中,改性所述表面可以包括将衬底暴露于偏 置以执行各向异性蚀刻。例如,在一些实施方式中,可以通过使用偏置来改 变等离子体反应性物质从喷头到衬底表面的弹射角度来蚀刻衬底以实现底 切。在多种实施方式中,底切可以通过衬底的垂直蚀刻与横向蚀刻的比率来 测量。例如,在一些实施方式中,垂直蚀刻与横向蚀刻的比率可以介于约1 到无穷大之间。在一些实施方式中,该比率可以介于约0.5和约50之间。
可以使用多种方法来执行操作454。例如,在一些实施方式中,可以 使用反应离子等离子体来改性衬底表面。在一些实施方式中,可以使用离子 束蚀刻来改性衬底表面。在一些实施方式中,可以使用非反应等离子体处理 来改性衬底表面。
等离子体处理可以氧化或还原衬底表面上的非挥发性金属。例如, 等离子体处理可导致金属的氯化、金属的氧化、金属暴露于氢或这些处理的 任何组合。例如,在一些实施方式中,操作454可以包括使氧气、氯气和/或 氢气流动并点燃等离子体。处理时间可以取决于衬底和衬底表面上的金属的 类型。可以选择处理时间以改性所述衬底表面的单层或多个单层。处理时间 还可以取决于在如下所述的随后操作中使用的有机蒸气的类型。示例性处理 时间包括介于约0.5秒和约60秒之间。操作454是速率限制步骤,使得在操 作454期间在衬底表面上被改性的材料的量确定在循环中将蚀刻衬底的程 度。一个循环可以定义为在衬底的表面上蚀刻选定量的材料所执行的操作。 例如,操作454-460可以构成一个循环。
在许多实施方式中,可以使用原位产生的等离子体来改性所述衬底 表面。可以原位产生等离子体以防止等离子体从喷头流到衬底,因为在随后 的操作中,可以在喷头处或附近产生有机蒸气,并且等离子体和有机蒸气之 间的接触可能导致不期望的材料再沉积到衬底表面。
在一些实施方式中,如果室如下所述被完全吹扫,则可以在执行操 作454的后续重复操作中使用远程等离子体。
操作454可以在低压阶段中执行。例如,在一些实施方式中,在操作 454期间室压强可以介于约4毫托和约500毫托之间。
在一些实施方式中,在执行操作456之后但在执行操作458之前吹扫 室。吹扫室可以涉及使吹扫气体或净化气体流动,吹扫气体或净化气体可以 是在其他操作中使用的载气,或者可以是不同的气体。在一些实施方式中, 吹扫可以包括抽空室。示例性吹扫气体包括氩气、氮气、氢气和氦气。在一 些实施方式中,吹扫可以包括用于抽空处理室的一个或多个抽空子阶段。替 代地,应当理解,在一些实施方式中可以省略吹扫。室可以被吹扫持续任何 合适的持续时间,例如介于约0秒和约60秒之间,例如约0.01秒。在一些实 施方式中,提高一种或多种吹扫气体的流速可以减少吹扫的持续时间。例 如,可以根据处理室和/或处理室管道的各种反应物热力学特性和/或几何特性 来调节吹扫气体流速,以改变吹扫的持续时间。在一个非限制性示例中,可 以通过调节吹扫气体流速来调节吹扫阶段的持续时间。这可以减少循环时 间,从而可以提高衬底吞吐量。在吹扫之后,改性的非挥发性金属(例如被 氯化的非挥发性金属或被氧化的非挥发性金属)可以保留在衬底表面上。
在操作458中,可以通过在高压环境中将衬底暴露于有机蒸气来蚀刻 改性的非挥发性金属。在多种实施方式中,使衬底暴露于流入如图2B和3C 所示的上部室区域中的有机蒸气。可以选择压强使得压强足够高以蚀刻衬底 的改性表面。例如,在一些实施方式中,压强可以介于约0.5托和约50托之 间。在多种实施方式中,在操作454期间,上部室区域的高压可以比室的压 强大约2倍至约10,000倍之间。
在该操作期间,可以使一种或多种有机蒸气流入上部室区域。可以 根据多种因素的组合来选择有机蒸气,多种因素包括有机化合物的几何形状 和空间位阻考虑因素,与衬底的改性表面的反应性,被蚀刻的金属的类型以 及在操作454中执行的处理的类型。有机蒸气的选择可影响在该操作期间去 除改性的衬底表面的有效性。示例性有机蒸气包括具有化学式R-COOH的羧 酸,其中R是任何有机基团或氢化物。示例性有机蒸气包括甲酸、乙酰丙酮 化物、乙酸和其它乙酰丙酮化物。在多种实施方式中,当有机蒸气与改性的 衬底表面反应时,挥发性材料被形成并容易地从衬底表面除去并从室中吹扫 去除。
在操作460中,吹扫室以从上部室区域去除过量的有机蒸气。在关闭 到处理室的有机蒸气的流之后,吹扫室。可以使用上面关于操作456所描述 的任何气体和方法来吹扫室。吹扫室以防止任何过量的有机蒸气在任何后续 操作中被等离子体点燃。
在操作462中,可以可选地重复操作454-460。是否重复操作可取决 于待蚀刻的衬底表面上的金属的量。如上所述,操作454-460可以构成一个 蚀刻循环。可以执行任何合适数量的蚀刻循环以从衬底的表面蚀刻所需量的 材料,例如非挥发性金属。可以在如上所述的操作之间吹扫室,以分离有机 蒸气暴露和改性操作,以防止在操作454中有机蒸气被改性化学物质点燃。
图4B示出了用于执行可以在装置的某些公开的实施方式中执行的操 作的工艺流程图。在操作402中,向处理室提供衬底。处理室可以是能够形 成用于执行多种操作的高压区域和低压区域的任何合适的室。示例性合适的 处理室是上文关于图1、图2A、图2B和图3A至图3C描述的那些处理室。
衬底可以是上文关于图4A描述的那些中的任何衬底。
在多种实施方式中,在操作402期间,衬底被放置在处理室中的基座 上,使得基座处于降低位置,诸如图1和图3A所示。
在操作404-410中,可以使惰性气体流动。惰性气体可以是上文关于 图4A所述的那些中的任何一种。在多种实施方式中,可以使惰性气体流动 以调节室压强。
在操作404中,当基座处于降低位置时,可以改性包括非挥发性金属 的衬底表面。改性化学物质和处理条件可以是上文关于图4A的操作454所 描述的那些中的任何一种。如上所述,可以改性表面以允许非挥发性金属更 易于使用有机蒸气进行蚀刻。操作404可以在低压下执行。在一些实施方式 中,在低压状态期间基座处于降低位置。
在操作406中,衬底被移动到升高位置,诸如图2A、2B、3B和3C 所示。在一些实施方式中,在执行操作404之后且在执行操作406之前,可 以任选地吹扫室以从处理室去除任何过量的等离子体。可以使用上面关于图 4A的操作456描述的任何处理条件来吹扫室。
在操作408中,当基座处于升高位置时,可以通过在高压环境中将衬 底暴露于有机蒸气来蚀刻经改性的非挥发性金属。可以通过操作在升高位置 的基座来控制压强,以获得比当基座处于降低位置时室的压强大介于约2倍 和约10,000倍之间的上部室区域的高压强。
在操作410中,吹扫室以从上部室区域去除过量的有机蒸气。在关闭 到处理室的有机蒸气的流之后,吹扫室。可使用上文关于操作406描述的任 何气体和方法来吹扫室。吹扫室以防止任何过量的有机蒸气在任何后续操作 中被等离子体点燃。在多种实施方式中,基座可在吹扫室之前或之后移动到 降低位置。在一些实施方式中,可优选地在将基座移动到降低位置之前吹扫 室,以防止任何有机蒸气存在于下部室区域中。
在操作412中,可以可选地重复操作404-410。是否重复操作可取决 于待蚀刻的衬底表面上的金属的量。如上所述,操作404-410可以构成一个 蚀刻循环。可以执行任何合适数量的蚀刻循环以从衬底的表面蚀刻所需量的 材料,例如非挥发性金属。可以在如上所述的操作之间吹扫室,以分离有机 蒸气暴露和改性操作,以防止在操作404中有机蒸气被改性化学物质点燃。
图4C是示出可以在如本文所述的装置中执行的方法中的循环的示例 的时序图。图4C示出了针对多种工艺参数的示例性处理420中的阶段。图 4C中所示的处理参数包括改性化学品流(例如在改性阶段234A或改性阶段 234B期间流动的处理气体)、载气流、等离子体(例如无论等离子体是开启 还是关闭)和有机蒸气流。用于气流的线表示流接通和关闭的时间。用于等 离子体的线表示等离子体开启和关闭的时间。用于基座位置的线表示基座是 否移动到诸如上面关于图2A、2B、3B和3C描述的升高位置,或者移动到 诸如上面关于图1和3A描述的降低位置。
描绘了两个蚀刻循环420A和420B。每个蚀刻循环包括多种阶段。 例如,蚀刻循环420A包括改性阶段234A、吹扫阶段236A、有机蒸气暴露和 去除阶段238A和吹扫阶段239A。同样,蚀刻循环420B包括改性阶段 234B、吹扫阶段236B、有机蒸气暴露和去除阶段238B和吹扫阶段239B。如 图所示,在示例性处理420中,在整个所述蚀刻循环420A和420B使载气流动。在多种实施方式中,载气用作吹扫气体。在一些实施方式中,载气可以 不同于吹扫气体。在一些实施方式中,载气仅在吹扫阶段(例如,236A、 239A、236B和239B)期间流动。载气可以是上文关于图4A所述的那些中 的任何一种。
在改性阶段234A中,基座处于如图1和图3A所示的降低位置。改 性化学物质,例如可以流动以改性衬底的表面(例如,非挥发性金属)的处 理气体,在载气流动并且等离子体接通时流动。在该阶段期间有机蒸气流被 关闭。在一些实施方式中,改性阶段234A可以对应于图4B的操作404。在 吹扫阶段236A中,基座可以保持在降低位置,如这里作为示例所示的。注 意,尽管图4C示出了处于降低位置的基座,但是在一些实施方式中,在该阶 段期间,基座可以移动到升高位置。在这样的实施方式中,该阶段可以对应 于图4B的操作406。在该阶段期间,可以使载气或吹扫气体流动,同时所有 其它处理气体流和等离子体关闭。吹扫气体可以是上文参照图4B所述的那些 气体中的任何一种。在有机蒸气暴露和去除阶段238A,可以使基座移动到升 高位置,保持改性化学物质流和等离子体关闭,可以使载气继续流动,并且 可以使有机蒸气流动。有机蒸气可以是上文关于图4A所述的那些中的任何一种。在一些实施方式中,有机蒸气暴露和去除阶段238A可对应于图4B的 操作408。在一些实施方式中,该阶段还可以对应于图4B的操作406,其中 在使有机蒸气流动之前将基座移动到升高位置。在多种实施方式中,使有机 蒸气在高压下流动。在吹扫阶段239A中,可以保持基座在升高位置,同时 使处理气体流和等离子体关闭并且可以使载气继续流动。这可以对应于图4B 的操作410。
图4C描绘第二蚀刻循环420B,其可对应于图4B的操作412,在操 作412重复操作404-410。与蚀刻循环420A类似,在改性阶段234B期间, 基座被移动到降低位置,并且改性化学物质流和等离子体被接通,而有机蒸 气流被关闭。可以使载气继续流动。在其中仅使载气流动的吹扫阶段236B中 吹扫室之后,可以将基座移动到升高位置,其中进行有机蒸气暴露和去除阶 段238B。与载气一起打开有机蒸气流,同时关闭等离子体和改性化学物质流。在基座处于升高位置时,并且所有处理气体流和等离子体被关闭,而载 气可以继续流动时,执行吹扫阶段239B。注意,如关于图4B所描述的,图 4C描绘了一种实施方式,其中有机蒸气流和等离子体在任何单个阶段期间都 不同时接通以避免可再沉积到衬底上并且难以去除的有机等离子体物质的形 成,由此避免在所制造的器件中产生杂质和缺陷。
图4D-4G示出了可以进行可以在装置中执行的方法(诸如上面关于 图4A-4C描述的方法)的示例性衬底的示意图。图4D示出了包括底层441 的示例性衬底440,底层441可以是硅晶片,例如200mm晶片、300mm晶 片或450mm晶片,其可以包括沉积在其上的一层或多层材料,例如电介 质、导电或半导体材料。在该示例中,非挥发性金属层443沉积在底层441上。示例性非挥发性金属包括铜、钴、铂、钯、铁和铱。图案化掩模445在 非挥发性金属层443上,使得非挥发性金属层443的一些表面被暴露。
在图4E中,非挥发性金属层443的表面被改性以形成改性区域 447。可以通过将非挥发性金属层443的表面暴露于反应离子蚀刻、离子束蚀 刻或非反应性等离子体处理来对改性区域447改性,例如上文关于图4A的 操作454所描述的。例如,在一些实施方式中,所述改性区域447可以包括 氯化和/或氧化的金属。在多种实施方式中,可在基座保持衬底440处于降低 位置时执行此操作。
在图4F中,使所述改性区域447暴露于高压有机蒸气,以形成可 以容易挥发的区域480。在多种实施方式中,暴露于有机蒸气引起所述改性 区域447和所述有机蒸气之间的反应,以在非挥发性金属层443的表面上的 区域480中形成化合物,其可以包括连接到所述改性区域447的材料的有机 配体。例如,在一些实施方式中,区域480包括连接到氯化金属和/或氧化金 属的有机配体。由于区域480也暴露于高压,因此区域480中的材料可从非 挥发性金属层443分离,以产生图4G中所示的具有经蚀刻的非挥发性金属 层449的衬底。在多种实施方式中,从改性金属层和有机蒸气之间的反应产 生的副产物可以从室中吹扫或排除。可以重复操作以进一步将非挥发性金属 层449蚀刻到期望的厚度。
实验
图5描绘了根据以下用于各种测量的实验使用的注释。在基座处于 升高位置的情况下进行实验。实验在诸如图1、2A和2B所示的室中进行。 对于以下实验,L4是指喷头和放置晶片的基座表面之间的间隙。喷头515与 基座边缘的凸起区域之间的距离为10mm。在基座的边缘处的凸起区域的宽 度为5mm。L2是指凸起区域和处理室顶部之间的距离,如图5所示。L1是 指基座的凸起区域的边缘与处理室顶部倾斜处的边缘之间的距离。基座边缘 的倾斜边缘和室侧壁的角度为45°。L3l是指在喷头515的顶部处或附近的室 侧壁的倾斜区域的长度。L3w是指基座的倾斜边缘和室的倾斜侧壁之间的间隙 的距离。对于以下实验,所使用的处理室具有226mm的半径,喷头的半径 为150mm,并且倾斜的基座的边缘与基座的中心的距离为165mm。
在下表中,P平均是指平均压强,ΔP是指上部室区域(高压区域) 和下部室区域(低压区域)之间的压强差。UMM(均匀性最大值-最小值) 是均匀性和不均匀性的量度,由此不均匀性可以定义为在晶片的各个点蚀刻 的量的变化。较均匀的蚀刻(例如,UMM=0%)导致减小的不均匀性。
实验1:切换(toggling)距离L2
进行实验以测量针对不同距离L2的上部室区域和下部室区域的压强 差。间隙L4设定为1mm。进行三个试验。对于每个试验,当基座处于升高 位置时,将100sccm N2输送到室。结果示于下表1中。
表1.多种L2的压强差和不均匀性
L2(mm) L3w(mm) P平均(mT) ΔP(mT) UMM(%)
0.50 0.35 2500 71 1.4
0.75 0.53 1440 126 4.4
0.90 0.64 1150 160 7.0
如表1所示,在基座的凸起区域和处理室的顶部之间的0.90mm的 间隙导致上部室区域和下部室区域之间的较高压强差。
实验2:切换距离L3w
进行实验以测量针对L3w的不同距离的上部室区域和下部室区域的 压强差。间隙L4设定为1mm。L2设定为0.5mm。进行三个试验。对于每个 试验,当基座处于升高位置时,将100sccm N2输送到室。结果示于下表2 中。
表2.针对多种L3w的压强差和不均匀性
L3w(mm) L1(mm) P平均(mT) ΔP(mT) UMM(%)
1.12 1.0 840 237 14.1
1.32 1.5 176 269 17.6
1.50 2.0 735 284 19.3
如表2所示,1.50mm的宽度导致284mT的最大压强差。这些结果 表明,晶片压强随着宽度L3w的增加而减小。对于大于间隙L4的L3w,不均 匀性大于10%。
实验3:切换距离L3l
针对不同的距离L3l进行实验以测量上部室区域和下部室区域的压 强差。间隙L4设定为2mm。L2设定为1.5mm。L1设定为2mm,L3w设定为 2.06mm。进行两个试验。对于每个试验,当基座处于升高位置时,将100 sccm N2输送到室。结果示于下表3中。
表3.针对多种L3l的压强差和不均匀性
L3l(mm) P平均(mT) ΔP(mT) UMM(%)
70 267 96 18
128 288 87 15.2
较长的距离L3l导致较低的压强差(87mT比对96mT)和较大的均 匀性。沿着基座和室侧壁之间的间隙在上部室区域和下部室区域之间建立压 强差。实验结果还显示在朝向基座中心的上部室区域的中心与基座边缘的凸 起区域之间建立一定的压强差。
实验4:基座高度
针对基座的不同高度或厚度,进行实验以测量上部室区域和下部室 区域的压强差。间隙L4设定为2.5mm。L2设定为2mm。进行两个试验。对 于每个试验,当基座处于升高位置时,将100sccm N2输送到室。结果示于下 表4中。较大的基座高度导致类似但较小的压强差,而UMM略微减小,从而 是较均匀的。
表4.针对多种基座高度的压强差和不均匀性
实验5:流速
针对不同流速的氮气进行实验以测量上部室区域和下部室区域的压 强差。间隙L4设定为2.5mm。L2设定为2mm。对于每个试验,当基座处于 升高位置时,在泵送压强100mT下将不同量的N2输送到室。结果示于下表 5中。如下所示,较高的流速增大晶片压强并导致类似的均匀性,但100 sccm的较低流速导致三个试验中的最大均匀性(UMM为5.6%)。
表5.针对多种流量的压强差和不均匀性
实验6:泵压强
针对不同泵压强,进行实验以测量上部室区域和下部室区域的压强 差。间隙L4设定为2.5mm。L2设定为2mm。对于每个试验,在基座处于升 高位置时,在变化的泵压强下将2000sccm的N2输送到室。结果示于下表6 中。如表所示,增大的泵压强导致晶片上方的较高压强,从而导致更好的均 匀性。
表6.针对多种泵压强的压强差和不均匀性
泵压强(mT) P平均(mT) ΔP(mT) UMM(%)
100 1392 172 6.2
200 1402 171 6.1
300 1420 169 5.9
400 1444 165 5.7
500 1475 162 5.5
结论
虽然为了清楚理解的目的已经相当详细地描述了前述实施方式,但 是显而易见的是,可以在所附权利要求的范围内实施某些改变和修改。应当 注意,存在实现本实施方式的方法、系统和装置的许多替代方式。因此,本 发明的实施方式被认为是说明性的而不是限制性的,并且实施方式不限于这 里给出的细节。

Claims (10)

1.一种用于处理半导体衬底的装置,所述装置包括:
处理室,其包括:
喷头,其用于将处理气体分配到所述装置,
能移动基座,其用于保持所述半导体衬底,所述能移动基座能够定位在升高位置或降低位置,使得处于所述升高位置的所述能移动基座形成所述能移动基座与所述喷头之间的上部室区域以及在所述能移动基座下方的下部室区域,以及
当所述基座移动到所述升高位置时,所述喷头附近的能够与所述能移动基座的边缘对准的区域;
入口,其耦合到所述喷头,以用于将处理气体朝向所述喷头输送;
等离子体发生器,其用于点燃所述处理室中的等离子体;以及
控制器,其用于控制所述装置的操作,所述控制器包括用于将所述基座移动到所述升高位置或降低位置的机器可读指令,
其中当所述能移动基座处于所述升高位置时,在所述上部室区域和所述下部室区域之间形成压强差。
2.根据权利要求1所述的装置,其中,当所述能移动基座处于所述升高位置时,所述能移动基座的所述边缘与所述喷头附近的所述区域之间的距离介于约0.3mm和约3mm之间。
3.根据权利要求1所述的装置,其中,所述喷头附近的所述区域相对于与所述喷头垂直的轴线倾斜约45°。
4.根据权利要求1所述的装置,其中,所述喷头附近的所述区域是所述装置的侧壁的一部分。
5.根据权利要求1所述的装置,其中,当所述基座处于所述升高位置时,所述喷头和所述上部室区域中的所述能移动基座之间的距离介于约1mm和约2mm之间。
6.根据权利要求1所述的装置,其中所述能移动基座包括所述基座的边缘附近的凸起区域。
7.根据权利要求1所述的装置,其中,所述能移动基座的所述边缘是倾斜的。
8.根据权利要求1所述的装置,其中,所述能移动基座的所述边缘垂直于所述能移动基座的所述表面。
9.一种在处理室中蚀刻衬底上的非挥发性金属的方法,所述处理室包括用于保持所述衬底的能移动基座,所述能移动基座能够定位在升高位置或降低位置,使得处于所述升高位置的所述能移动基座形成在所述能移动基座和所述喷头之间的上部室区域以及在所述能移动基座下方的下部室区域,所述方法包括:
当所述基座处于所述降低位置时,将所述衬底上的所述非挥发性金属暴露于等离子体,以使所述非挥发性金属改性;以及
当所述基座处于所述升高位置时,将所述改性的非挥发性金属暴露于有机蒸气,以去除经改性的所述非挥发性金属,
其中当所述能移动基座处于所述升高位置时,在所述处理室中在所述上部室区域和所述下部室区域之间形成压强差。
10.根据权利要求9所述的方法,其中当所述基座处于所述升高位置时所述上部室区域的压强比当所述基座处于降低位置时所述室的压强大至少约2倍至约10,000倍。
CN201710061612.5A 2016-02-05 2017-01-26 用于图案化非挥发性金属的室 Active CN107045969B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910092850.1A CN110112048A (zh) 2016-02-05 2017-01-26 用于图案化非挥发性金属的室

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/017,444 US9953843B2 (en) 2016-02-05 2016-02-05 Chamber for patterning non-volatile metals
US15/017,444 2016-02-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910092850.1A Division CN110112048A (zh) 2016-02-05 2017-01-26 用于图案化非挥发性金属的室

Publications (2)

Publication Number Publication Date
CN107045969A true CN107045969A (zh) 2017-08-15
CN107045969B CN107045969B (zh) 2020-05-22

Family

ID=59497965

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710061612.5A Active CN107045969B (zh) 2016-02-05 2017-01-26 用于图案化非挥发性金属的室
CN201910092850.1A Pending CN110112048A (zh) 2016-02-05 2017-01-26 用于图案化非挥发性金属的室

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910092850.1A Pending CN110112048A (zh) 2016-02-05 2017-01-26 用于图案化非挥发性金属的室

Country Status (5)

Country Link
US (2) US9953843B2 (zh)
JP (1) JP6948797B2 (zh)
KR (1) KR20170093716A (zh)
CN (2) CN107045969B (zh)
TW (1) TWI742034B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018513567A (ja) * 2015-04-24 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フローアイソレータリングを含むプロセスキット
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
JP2022090148A (ja) * 2019-04-02 2022-06-17 株式会社Adeka 原子層エッチング法用エッチング材料
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
KR20230071617A (ko) 2021-11-16 2023-05-23 세메스 주식회사 기판 처리 장치 및 방법

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030000648A1 (en) * 1998-09-23 2003-01-02 Samsung Electronics Co., Ltd. Process chamber used in manufacture of semiconductor device, capable of reducing contamination by particulates
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US20080179010A1 (en) * 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with vacuum chuck
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20090275210A1 (en) * 2008-05-02 2009-11-05 Sunil Shanker Combinatorial plasma enhanced deposition techniques
CN102257885A (zh) * 2008-12-19 2011-11-23 朗姆研究公司 针对可调整的间隙等离子体室中的双重限制和超高压的方法和设备
CN102656952A (zh) * 2009-09-28 2012-09-05 朗姆研究公司 组合式约束环装置及其方法
US20130327480A1 (en) * 2008-01-10 2013-12-12 Applied Materials, Inc. Showerhead insulator and etch chamber liner
CN104953027A (zh) * 2014-03-27 2015-09-30 朗姆研究公司 蚀刻非挥发性金属材料的方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6419751B1 (en) 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP2004119448A (ja) * 2002-09-24 2004-04-15 Nec Kyushu Ltd プラズマエッチング装置およびプラズマエッチング方法
JP4292002B2 (ja) * 2002-12-18 2009-07-08 株式会社日立国際電気 プラズマ処理装置
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100790392B1 (ko) 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7422983B2 (en) 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
KR20070055874A (ko) * 2005-11-28 2007-05-31 삼성전자주식회사 플라즈마 처리 장치
WO2009008474A1 (ja) * 2007-07-11 2009-01-15 Tokyo Electron Limited プラズマ処理方法およびプラズマ処理装置
KR20100082012A (ko) 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
JP5497278B2 (ja) * 2008-07-17 2014-05-21 東京エレクトロン株式会社 銅の異方性ドライエッチング方法および装置
JP5675138B2 (ja) * 2010-03-25 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US10351956B2 (en) * 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030000648A1 (en) * 1998-09-23 2003-01-02 Samsung Electronics Co., Ltd. Process chamber used in manufacture of semiconductor device, capable of reducing contamination by particulates
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080179010A1 (en) * 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with vacuum chuck
US20130327480A1 (en) * 2008-01-10 2013-12-12 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20090275210A1 (en) * 2008-05-02 2009-11-05 Sunil Shanker Combinatorial plasma enhanced deposition techniques
CN102257885A (zh) * 2008-12-19 2011-11-23 朗姆研究公司 针对可调整的间隙等离子体室中的双重限制和超高压的方法和设备
CN102656952A (zh) * 2009-09-28 2012-09-05 朗姆研究公司 组合式约束环装置及其方法
CN104953027A (zh) * 2014-03-27 2015-09-30 朗姆研究公司 蚀刻非挥发性金属材料的方法

Also Published As

Publication number Publication date
CN110112048A (zh) 2019-08-09
JP6948797B2 (ja) 2021-10-13
CN107045969B (zh) 2020-05-22
TWI742034B (zh) 2021-10-11
US9953843B2 (en) 2018-04-24
KR20170093716A (ko) 2017-08-16
JP2017152689A (ja) 2017-08-31
TW201740465A (zh) 2017-11-16
US20180204738A1 (en) 2018-07-19
US20170229317A1 (en) 2017-08-10

Similar Documents

Publication Publication Date Title
CN107045969A (zh) 用于图案化非挥发性金属的室
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
TWI646212B (zh) 保形氮化鋁的高成長速度製程
US10176984B2 (en) Selective deposition of silicon oxide
US10494715B2 (en) Atomic layer clean for removal of photoresist patterning scum
US20200299838A1 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
US11987876B2 (en) Chamfer-less via integration scheme
KR20160019876A (ko) 차동 펌핑된 반응 가스 주입기
US20210395885A1 (en) Throughput improvement with interval conditioning purging
CN114651088A (zh) 具有射频功率渐变的等离子体增强原子层沉积
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
US20230066676A1 (en) Core removal
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant