TWI742034B - 用以圖案化非揮發性金屬的腔室 - Google Patents

用以圖案化非揮發性金屬的腔室 Download PDF

Info

Publication number
TWI742034B
TWI742034B TW106102778A TW106102778A TWI742034B TW I742034 B TWI742034 B TW I742034B TW 106102778 A TW106102778 A TW 106102778A TW 106102778 A TW106102778 A TW 106102778A TW I742034 B TWI742034 B TW I742034B
Authority
TW
Taiwan
Prior art keywords
movable support
area
processing
chamber
shower head
Prior art date
Application number
TW106102778A
Other languages
English (en)
Other versions
TW201740465A (zh
Inventor
美華 沈
黃朔罡
托爾斯滕 立爾
席爾 帕那格普洛斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201740465A publication Critical patent/TW201740465A/zh
Application granted granted Critical
Publication of TWI742034B publication Critical patent/TWI742034B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本文中描述適合用於在各種壓力方案下蝕刻基板的設備。設備包含處理腔室及選擇性的電漿產生器,處理腔室包含能夠被定位於上升或下降位置的可移動支座及噴淋頭。設備可適合用於以下列方式來蝕刻非揮發性金屬: 在當可移動支座位於下降位置時使用一處理,並且在當可移動支座位於上升位置時使用對有機蒸氣之高壓暴露。

Description

用以圖案化非揮發性金屬的腔室
本發明係關於用以圖案化非揮發性金屬的腔室。
半導體處理通常涉及蝕刻非揮發性金屬。然而,因為難以形成揮發性金屬副產物,故非揮發性金屬通常難以使用習知的乾式電漿蝕刻反應器來圖案化。因此,習知的蝕刻處理通常造成基板之表面上的金屬再沉積反應,因此導致可靠度議題及性能問題。
本文中提供用以處理半導體基板的設備。一態樣涉及用以處理半導體基板的設備,該設備包含:處理腔室,包含:噴淋頭,用以將處理氣體配送至該設備;可移動支座,用以固持該半導體基板,該可移動支座能夠被定位於上升或下降位置,使得在該上升位置的該可移動支座形成:位於該可移動支座與該噴淋頭之間的上腔室區、及位於該可移動支座的下方的下腔室區;及靠近該噴淋頭的區域,該區域在該可移動支座移動到該上升位置時能夠與該支座的邊緣對齊;及耦接至該噴淋頭且用以將處理氣體輸送至該噴淋頭的入口;電漿產生器,用以在該處理腔室中引燃電漿;及控制器,用以控制該設備的操作, 該控制器包含機械可讀取指令,用以將該支座移動到該上升或下降位置,使得當該可移動支座位於該上升位置時,一壓力差在該上腔室區及該下腔室區之間形成。
在一些實施例中,當該可移動支座位於該上升位置時,該可移動支座的邊緣及靠近該噴淋頭的該區域之間的距離介於約0.3mm及約3mm之間。靠近該噴淋頭的該區域從垂直於該噴淋頭的一軸傾斜約45°。在一些實施例中,靠近該噴淋頭的傾斜的該區域的長度介於約50mm及約200mm之間。
在一些實施例中,靠近該噴淋頭的該區域為該設備之側壁的一部分。當該可移動支座位於該上升位置時,在該上腔室區中該可移動支座及該噴淋頭之間的距離介於約1mm及約2mm之間。
在一些實施例中,該可移動支座包含凸起區域,與該可移動支座的邊緣相鄰。在各種實施例中,平行於該噴淋頭的表面與該凸起區域的上表面之間的距離介於約0mm及約1mm之間。該凸起區域可包含內側角落及外側角落,使得該噴淋頭的邊緣與該內側角落之間的側向距離為約10mm。
在各種實施例中,該可移動支座的邊緣傾斜。該可移動支座的邊緣可垂直於該可移動支座的表面。該可移動支座能夠在該上升與該下降位置之間移動介於約4吋及約6吋之間的距離。在一些實施例中,該可移動支座的厚度介於約50mm及100mm之間。
當該支座位於該上升位置時,該上腔室區及該下腔室區之間的壓力差可介於約50毫托及約5托之間。在一些實施例中,該上腔室區在該支座位於該上升位置時的壓力,可為該腔室在該支座位於該下降位置時的壓力之至少約2倍到約10000倍。
在一些實施例中,該入口包含用以將有機蒸氣以大於約1000sccm的流率輸送至該處理腔室的入口。該入口可包含用以將含氯或含氧處理氣體輸送至該噴淋頭以產生電漿的入口。
在一些實施例中,該控制器更包含機械可讀取指令,用以進行下列操作:當該支座位於該下降位置時,將電漿引導至該基板上的非揮發性金屬,以在該基板上形成經改質非揮發性金屬;並且當該支座位於該上升位置時,將有機蒸氣引導至該腔室中,以蝕刻該經改質非揮發性金屬。
另一態樣涉及在處理腔室中蝕刻基板上的非揮發性金屬的方法,該處理腔室包含用以固持該基板的可移動支座,該可移動支座能夠被定位於上升或下降位置,使得在該上升位置的該可移動支座形成位於該可移動支座與噴淋頭之間的上腔室區、及位於該可移動支座的下方的下腔室區,該方法包含:當該支座位於該下降位置時,將該基板上的非揮發性金屬暴露至電漿,以改質該非揮發性金屬;並且當該支座位於該上升位置時,將該經改質非揮發性金屬暴露至有機蒸氣,以移除該經改質非揮發性金屬,其中當該可移動支座位於該上升位置時,壓力差在該處理腔室中、於該上腔室區及該下腔室區之間形成。
在各種實施例中,該上腔室區在該支座位於該上升位置時的壓力,為該腔室在該支座位於該下降位置時的壓力之至少約2倍到約10000倍。
該等與其他態樣參考圖式進一步描述如下。
100:設備
101:反應物輸送系統
102:處理腔室
103:汽化點
104:混合容器
110:加熱器
112:晶圓/基板
114:RF功率供應器
115:噴淋頭
116:匹配網路
118:蝶形閥
120:混合容器入口閥
145:區域
160:支座
175:控制器
180:區域
195:處理區
210:距離
215:噴淋頭
220:間隙/距離
224:長度/距離
232:距離
234A-B:改質階段
236A-B:吹淨階段
238A-B:有機蒸氣暴露及移除階段
239A-B:吹淨階段
240:上腔室區
250:標記
260:支座
262:間隙
270:寬度
271:距離
272:角度
275:距離
278:間隙
280:區域
285:凸起區域
299:下腔室區
300a:腔室
304:混合容器
312a-b:晶圓
313:蒸汽加熱入口
315:噴淋頭
320:間隙
323:載氣入口
324:距離
340:上腔室區
360a-b:支座
375:系統控制器
380:區域
399:下腔室區
402:操作
404:操作
406:操作
408:操作
410:操作
412:操作
420:處理
420A-B:蝕刻循環
440:基板
441:底層
443:非揮發性金屬層
445:圖案化遮罩
447:經改質面積
449:非揮發性金屬層
452:操作
454:操作
456:操作
458:操作
460:操作
462:操作
480:區域
515:噴淋頭
L1:距離
L2:距離
L3l:長度
L3w:距離/寬度
L4:間隙
圖1根據所揭露實施例提供例示性設備的示意圖。
圖2A提供圖1之例示性設備的部分的特寫描繪的示意圖。
圖2B提供圖2A之部分的特寫描繪的示意圖。
圖3A提供例示性設備的示意圖,其中支座在下降位置。
圖3B提供例示性設備的示意圖,其中支座在上升位置。
圖3C提供圖3B之部分的特寫描繪的示意圖。
圖4A為操作的處理流程圖,用以執行可根據所揭露之實施例而執行的方法。
圖4B為操作的處理流程圖,用以執行可在根據所揭露之實施例的設備中執行的方法。
圖4C為時序圖,圖示可在根據所揭露之實施例的設備中執行的方法中之循環的範例。
圖4D-4G為例示性基板的示意圖,該基板可歷經可在根據所揭露之實施例的設備中執行的方法。
圖5為用於實驗中的處理腔室的區域的示意圖。
為了透徹理解本發明的實施例,在以下敘述中闡述許多具體細節。所揭露之實施例毋須若干或全部的這些具體細節即可被實施。在其他例子中,為避免不必要地混淆所揭露之實施例,未贅述熟知的製程操作。雖然結合具體的實施例來描述所揭露之實施例,但應理解其非旨在限制所揭露之實施例。
半導體處理涉及蝕刻非揮發性金屬,其難以使用習知的乾式電漿蝕刻反應器來蝕刻及圖案化。例示性非揮發性金屬包括銅、鈷、鉑、鈀、鐵、及銥。此類非揮發性金屬可具有獨特的電性及磁性性質且可因此用於先進的記憶體與邏輯應用中,例如磁性隨機存取記憶體(MRAM)之製造中。在習知的電漿反應器中,難以形成非揮發性金屬的揮發性副產物以蝕刻這些金屬。較佳的係形成揮發性蝕刻副產物,因為此類材料可被輕易地從處理腔室中移除,而不會再沉積於基板表面上。在習知技術中,金屬可溶解於濕式化學溶液中或亦可溶解於有機蒸氣溶劑中。然而,從濕式蝕刻環境轉移到乾式蝕刻環境需要時間且因此降低產出量。此外,濕式技術不一定適合蝕刻在10nm以下之技術節點的基板。
設備
本文中提供用於蝕刻非揮發性金屬的設備與方法。雖然本文中所述之例示性設備可用於蝕刻非揮發性金屬,應理解本文中所述之設備可適用於蝕刻或處理任何類型的晶圓。
在各種實施例中,設備包括雙區壓力電漿反應器或處理腔室,其可經配置成包括上腔室區及下腔室區。圖1提供包括處理腔室102之例示性設備100的示意圖。處理腔室102可包括用以將處理氣體輸送至處理區195的噴淋頭115。處理腔室102之側壁可傾斜,使得處理腔室側壁之位於或靠近噴淋頭115的區域180與支座160的傾斜邊緣對齊,支座160能夠在處理腔室102中垂直地向上(到上升位置)及向下(到下降位置)移動。注意雖然區域180傾斜且圖1所示之支座邊緣傾斜,但在一些實施例中,區域180垂直地對齊垂直的支座邊緣。支座160可固持晶圓或基板112,處理可在其上執行。支座160之厚度可介於約 50mm及100mm之間。在一些實施例中,支座160可作為用以原位產生電漿的陰極(如下所述)。
噴淋頭115朝向晶圓112配送處理氣體。在圖1所示之實施例中,晶圓112係位在噴淋頭115的下方,且顯示停駐於支座160上。噴淋頭115可具有任何適當的形狀,且可具有用以將處理氣體配送至晶圓112之任何適當數量與排列的埠口。噴淋頭115可在區域145與處理區195之間將處理腔室102隔開。在一些實施例中,在經由噴淋頭115將氣體輸送至晶圓112之前,可以預選壓力將氣體輸送至區域145。在一些實施例中,支座160可在上升位置或下降位置,以將晶圓112曝露於晶圓112與噴淋頭115之間的容積中。支座160可移動之上升與下降位置之間的距離,可取決於腔室的尺寸。如本文中使用的「上升」與「下降」這種用語,係相對沿支座160之表面實質上平行於地面的軸。在一些實施例中,上升位置為支座160之表面較靠近處理腔室102之相對表面(facing surface)的位置,例如噴淋頭115可置放於處理腔室102中的位置。在一些實施例中,支座160可移動之上升與下降位置之間的距離可介於約4吋及約6吋之間。應知悉的係,在一些實施例中,可藉由適當的控制器175來以編程方式調整支座的高度。
注意在各種實施例中,當支座160在上升位置時,處理區195可被分為兩個區域(參考圖2A及圖2B進一步描述如下)。在一些實施例中,調整支座160的高度可允許在本文中所述之電漿處理期間改變電漿的密度。在處理階段結束時,為執行晶圓112的另一操作或為執行另一晶圓傳送階段,支座160可被降下,以允許從支座160移除晶圓112。在一些實施例中,支座160可包含用以轉動晶圓112之方向的轉軸。應知悉在一些實施例中,可藉由一或更多適當 的控制器175來以編程方式執行這些例示性調整中的一或更多者。控制器175可為參考圖3A及3B描述如下之控制器中之任一者。
在一些實施例中,可經由加熱器110而對支座160進行溫度控制。此外,在一些實施例中,可藉由蝶形閥118來提供對於設備100的壓力控制。如圖1之實施例所示,蝶形閥118調節由下游真空泵浦(未圖示)提供的真空。然而,在一些實施例中,亦可藉由改變引導至設備100的一或更多氣體的流率來調整設備100的壓力控制。
處理腔室102可與用以將處理氣體或蒸氣輸送到噴淋頭150的反應物輸送系統101流體連通。反應物輸送系統101包括混合容器104,用以將輸送至噴淋頭150的處理氣體(例如蝕刻氣體)加以混合及/或調節。個別的混合容器(未圖示)可用以將有機蒸氣氣體加以混合及/或調節。一或更多混合容器入口閥120可控制處理氣體到混合容器104的引入。蝕刻電漿亦可輸送到噴淋頭150或可在處理腔室102中產生。
作為範例,圖1之實施例包括汽化點103,用以將待供應至混合容器104的液態反應劑汽化。在一些實施例中,汽化點103可為一加熱汽化器。從此種汽化器中產生的飽和反應劑蒸氣可能在下游的輸送配管系統中凝結。不相容的氣體暴露到已凝結的反應物可能產生微粒。這些微粒可能阻塞配管系統、妨礙閥操作、汙染基板等。解決這些問題的若干方法包括吹淨及/或排空該輸送配管系統,以將殘餘的反應物移除。然而,吹淨該輸送配管系統會增加處理站循環時間、降低處理站的產出量。因此,在一些實施例中,在汽化點103下游的輸送配管系統可為伴熱的(heat traced)。在一些範例中,混合容器104亦 可為伴熱的。在一非限制性的範例中,在汽化點103下游的配管系統具有從大約100℃到在混合容器104大約150℃的漸增的溫度分布。
在使用電漿之一些實施例中,噴淋頭115及支座160係與射頻(RF)功率供應器114及匹配網路116電氣連通,以對電漿供電。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率、以及電漿功率脈衝時序中之中一或更多者而控制電漿能量。例如,RF功率供應器114以及匹配網路116可在任何適當的功率下操作,以形成具有期望電漿物種組成的電漿。同樣地,RF功率供應器114可提供任何適當頻率的RF功率。在一些實施例中,RF功率供應器114可配置以控制相互獨立的高頻與低頻RF功率來源。例示性低頻RF頻率可包含(但不限於)介於0kHz與500kHz之間的頻率。例示性高頻RF頻率可包含(但不限於)介於1.8MHz與2.45GHz之間、或高於約13.56MHz、或高於27MHz、或高於40MHz、或高於60MHz.的頻率。應知悉任何適當的參數可不連續或連續地調節,以便對表面反應提供電漿能量。
在一些實施例中,可藉由一或更多電漿監視器(未圖示)來原位監控電漿。在一情形中,可藉由一或更多電壓或電流感測器(例如VI探針)來監控電漿功率。在另一情形中,可藉由一或更多光放射光譜感測器(OES)來量測電漿密度及/或處理氣體的濃度。在一些實施例中,可基於出自此種原位電漿監視器的量測值而以編程方式調整一或更多電漿參數。例如,OES感測器可被使用在用以提供電漿功率編程控制的反饋迴路。應知悉在一些實施例中,其他監視器可用以監視電漿與其他製程特性。此種監視器可包含(但不限於)紅外線(IR)監視器、聲波監視器、以及壓力傳感器。
圖2A圖示圖1之區域180的放大實施例,其中支座260在上升位置且無晶圓在支座260的表面上。注意雖然未描繪於圖2A中,但晶圓可在噴淋頭215下方停駐於支座260上,使得晶圓定位在支座260上、噴淋頭215與支座260的表面之間。
如圖2A所示,當支座260在上升位置時,圖1的處理區195可分成上腔室區240及下腔室區299。上腔室區240可界定為當支座260在上升位置時,介於晶圓與噴淋頭215之間的處理腔室區域。
在各種實施例中,噴淋頭215可藉由間隙262而與支座260的表面隔開。如圖2A所繪,間隙262可界定為下列兩者之間的距離:噴淋頭215、及支座260之表面頂部的平坦區域(亦即,非橢圓形虛線250中所繪的凸起區域)。間隙262可約1mm。在一些實施例中,間隙262可為噴淋頭215與支座260上的晶圓之間的距離,使得間隙262界定上腔室區240的尺寸。
間隙220的尺寸與傾斜區域280的長度224可經調節,以在上腔室區240及下腔室區299之間產生壓力差(pressure differential;pressure difference)。當支座在上升位置時,上腔室區及下腔室區之間的壓力差可介於約50毫托及約5托之間。在一些實施例中,這可用以在上腔室區240中形成高壓環境。例如,在一些實施例中,適合將晶圓暴露到高壓環境。形成能夠建立高壓環境的上腔室區240,允許藉由將支座260在上升及下降位置之間移動而使晶圓在相同的處理腔室中暴露到高壓及低壓環境兩者,藉此改善晶圓處理的效率及產出量。間隙220可界定為由下列兩者之間的最短距離所界定的寬度:腔室之傾斜側壁上的一點、及支座260之傾斜邊緣上的一點。在各種實施例中,間隙220可介於約0.3mm及約3mm之間。
在一些實施例中,噴淋頭215可具有約150mm的半徑。在一些實施例中,噴淋頭215可塗布材料(例如Y2O3)之薄層,且可為接地的鋁板。在一些 實施例中,噴淋頭可組裝在處理腔室之頂部上,其中噴淋頭215未橫跨處理腔室之頂部的全長,且下列兩者之間的距離210約165mm:噴淋頭的中央、及區域280中處理腔室壁之傾斜點。例如,在一些實施例中,噴淋頭的邊緣與處理腔室側壁傾斜處的邊緣之間的距離可約15mm。在各種實施例中,從支座的中央到處理腔室之垂直側壁的邊緣的距離232可介於約200mm及約300mm之間。傾斜區域280的長度224可介於約50mm及約200mm之間。
在一些實施例中,使用惰性氣體(可稱為「氣簾」)以避免氣體(例如有機蒸氣)從上腔室區240擴散或滲漏到下腔室區299。惰性氣體可沿間隙220注入,且其可透過噴淋頭215之外側直徑上的一系列孔洞(未圖示)而被泵抽離開。
如圖2A所示,支座260可具有凸起區域285,位於支座260的邊緣,使得當晶圓被置放於支座260上時,凸起區域285圍繞該晶圓的邊緣。凸起區域285描繪於橢圓形虛線250中且圖2B提供此區域的放大特寫描繪。如圖2B所示,噴淋頭215位於或靠近處理腔室之頂部,其中噴淋頭215的邊緣與支座260的凸起區域285的內側邊緣相距一距離275。距離275可約10mm。在一些實施例中,凸起區域285的寬度270可約5mm。凸起區域285可具有大於被處理的晶圓的厚度的一厚度,使得若凸起區域285接觸處理腔室之頂部(從噴淋頭的邊緣延伸出來),則支座260形成與處理區195的其餘部分隔開(closed off from)的上腔室區240。在一些實施例中,凸起區域285可透過封閉上腔室區240而將上腔室區240與下腔室區299完全地隔開,使得在一些實施例中,間隙278可為0mm。凸起區域285有助於維持圖2A之上腔室區240與腔室之下腔室區299之間的壓力差。參考圖2B,傾斜區域從支座的邊緣偏離的角度272可約45°。下列兩者之間 的距離271可介於約1mm及約2mm之間:凸起區域285的外側邊緣、及處理腔室頂部之傾斜點。
注意雖然圖1、2A、及2B描繪具有傾斜邊緣的支座,且處理腔室側壁在位於或靠近噴淋頭處具有相對應的傾斜邊緣,但在一些實施例中,邊緣可不傾斜。包括具有垂直邊緣的支座,且位於或靠近處理腔室之噴淋頭的區域具有相對應的垂直側壁的腔室之例示性實施例提供於圖3A-3C。
圖3A圖示腔室300a,其中支座360a在下降位置。圖3A及3B中所繪之腔室300a可為多站工具(例如兩站工具或四站工具)的一部分。在一些實施例中,腔室300a可為單站工具。揭露的實施例可在一或更多站或腔室中執行。
晶圓312a坐落於支座360a的表面上。腔室300a包括噴淋頭315。噴淋頭315可包括用以將氣體在輸送至噴淋頭之前先輸送至混合容器304中的蒸汽加熱入口313及載氣入口323。噴淋頭315可耦接至區域380,當支座360b在上升位置時(如圖3B所示),區域380可用以在上腔室區340及下腔室區399之間建立壓力差。注意在圖3B中,晶圓312b置放在區域380的邊界之內,且上腔室區340形成。
圖3C圖示區域380的放大描繪。如圖3C所示,支座360b經定位成相鄰於區域380且同時留下間隙320。距離324可為區域380的高度,用以幫助在上腔室區340及下腔室區399之間建立壓力差。間隙320的寬度可為參考圖2A之距離220描述如上的距離中的任一者。距離324可為參考圖2A之距離224描述如上的長度中的任一者。
參考圖3A及3B,支座360b從下降位置到上升位置(如圖3B所示)的移動可透過系統控制器375來控制。進出處理腔室300a的處理氣體的流率變化亦可透過系統控制器375來控制。
系統控制器375可包含一或更多的記憶體裝置、一或更多的大量儲存裝置、以及一或更多的處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器電路板等等。
在一些實施例中,系統控制器375控制處理腔室300a的所有作業。系統控制器375執行系統控制軟體,此軟體係被儲存在大量儲存裝置中、被載入到記憶體裝置中、以及在處理器上被執行。替代地,可將控制邏輯硬碼化於控制器375中。特殊應用積體電路、可編程邏輯裝置(例如場可程式化閘陣列或FPGAs)等,可用於該等用途。在下列討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體可包含用以控制支座位置、時序、氣體之混合、氣體流率、腔室及/或站壓力、腔室及/或站溫度、目標功率位準、RF功率位準、支座溫度、卡盤及/或載具位置、及由處理腔室300a所執行的特定製程之其他參數的指令。系統控制軟體可以任何合適的方式來配置。例如,可寫入各種處理工具元件子程式或控制目標,以控制用以執行各種處理工具製程之該處理工具元件的操作。系統控制軟體可以任何合適的電腦可讀取程式語言來進行編碼。
在某些實施例中,系統控制軟體可包含用以控制上述各種參數之輸入/輸出控制(IOC)定序指令。在某些實施例中,可使用儲存於與系統控制器375相關聯之大量儲存裝置及/或記憶體裝置上的其他電腦軟體及/或程式。用 於此用途之程式或程式片段的範例包括晶圓定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
晶圓定位程式可包含處理工具元件的程式碼,該處理工具元件係用以將晶圓裝載至支座360a上,並控制晶圓與處理腔室300a其他部分之間的間距。
處理氣體控制程式可包含編碼,該編碼係用以控制氣體組成物(如:如本文所敘述之含碘矽前驅物氣體、含氮氣體、載氣、及吹淨氣體)、及流率、及選擇性地用以在沉積前將氣體流入一或更多處理站以使該處理站中之壓力穩定化。壓力控制程式可包含編碼,該編碼係藉由調節例如處理站之排氣系統中的節流閥、進入處理站之氣流等,以控制處理站中之壓力。
加熱器控制程式可包含編碼,該編碼係用以控制通往用於加熱晶圓之加熱單元的電流。替代地,該加熱器控制程式可控制熱傳氣體(例如氦)至晶圓之輸送。
電漿控制程式可包含編碼,該編碼係用以根據本文中之實施例設定施加至一或更多處理站中之處理電極的RF功率位準。
壓力控制程式可包含編碼,該編碼係用以根據本文中之實施例維持反應室中之壓力。
在某些實施例中,可存在有與系統控制器375相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在某些實施例中,由系統控制器375所調整之參數可與製程條件有關。非限制性之範例包含處理氣體組成及流率、溫度、壓力、電漿條件(如 RF偏壓功率位準)等。可以配方的形式將該等參數提供給使用者,並可利用使用者介面來輸入該等參數。
可藉由系統控制器375之類比及/或數位輸入連接件,而自各種處理工具感測器提供用以監視製程的信號。可於處理腔室300a之類比及數位輸出連接件上,輸出用以控制製程之信號。可受到監測之處理工具感測器的非限制性範例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化之反饋及控制演算法可與來自該等感測器的資料一起使用,以維持製程條件。
系統控制器375可提供用以實施上述沉積製程的程式指令。該等程式指令可控制種種製程參數,如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文中所揭露之各種實施例操作薄膜疊層之原位沉積。
系統控制器375一般包含配置以執行指令的一或更多的記憶體裝置及一或更多的處理器,以使設備能根據所揭露之實施例執行方法。包含用以根據所揭露之實施例來控制製程操作之指令的機器可讀取媒介,可耦接至系統控制器375。
在某些實施例中,系統控制器375係為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓支座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。根據製程要求及/或系統之類型,可對系統控制器375編寫程式以控制本文所揭露的製程之任一者,包含 處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將系統控制器375定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到系統控制器375的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施例中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在某些實施例中,系統控制器375可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,系統控制器375係可位於「雲端」(in the“cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該 遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,系統控制器375接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應知悉的係,該等參數可特定於待執行之製程的類型、及工具(系統控制器375係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,系統控制器375可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
非限制性地,例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,根據待由工具執行之製程步驟(或複數製程步驟),系統控制器375可與以下列一或多者通訊連通:一或更多的其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或負載埠的用於材料傳送之工具。
用以執行本文中揭露之方法的適當設備進一步討論並記載於美國專利申請案第13/084399號(現為美國專利案第8728956號),申請日為2011年4月11日,案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;及美國專利申請案第13/084305號,申請日為2011年4月11日,案名為「SILICON NITRIDE FILMS AND METHODS」,該等案以全文加入本案之參考資料。
在一些實施例中,處理腔室300a(或圖1之設備100)可實施於用於搬運晶圓的較大的設備或工具中。
本文所述之裝置/製程可與例如用以製造或生產半導體元件、顯示器、LEDs、光伏面板等之微影圖案化工具或製程結合使用。一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。薄膜的微影圖案化一般包含部分或所有下列操作(每一個操作係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(即晶圓)上;(2)使用加熱板、或加熱爐、或UV固化工具將光阻固化;(3)以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之薄膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。
方法
本文中所述之設備可用於在晶圓(例如半導體基板)上實施各種方法。本文中描述一例示性方法作為所揭露實施例之應用的一範例。
如上所述,非揮發性金屬通常難以在習知的設備中蝕刻。然而,本文中所述之揭露設備可用以使用高壓階段及低壓階段在相同的處理腔室中有效地蝕刻非揮發性金屬,使得包括非揮發性金屬的基板可在高壓區域中暴露到有機蒸氣,以蝕刻改質的非揮發性金屬(已在對電漿之先前暴露中被改質)。在本文中所述之設備之揭露實施例中執行非揮發性金屬的蝕刻操作的優點包括:在一或更多腔室或站中執行蝕刻的能力、及執行乾式蝕刻處理而毋需在乾式及濕式處理之間轉移基板的能力。
圖4A圖示處理流程圖,用以執行可在設備之揭露實施例中執行的操作。在操作452中,提供基板至處理腔室。
基板可為矽晶圓(例如200mm的晶圓、300mm的晶圓、或450mm的晶圓),其包含具有一或多層材料(諸如介電性、傳導性、或半導體材料)沉積於其上的晶圓。下層之非限制性範例包含介電層及傳導層,例如:矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。在各種實施例中,基板可包括非揮發性金屬且可用以形成磁性裝置。
處理腔室可為任何適當的腔室。在各種實施例中,本文中所述之揭露方法可在單一腔室中執行。在一些實施例中,處理腔室可為參考圖1-3C描述如上者中任一者。在一些實施例中,本文中所述之方法可在兩個腔室中執行,例如在兩站工具中。在一些實施例中,揭露之實施例可在四個腔室中執行,例如在四站工具中。圖4A中所述之一或更多操作可在相同工具的不同站中、或在相同的站中執行。多站工具可同時處理複數晶圓。
在操作454-460中,可流動惰性氣體。在各種實施例中,使用惰性氣體作為載氣。例示性載氣包括氬氣、氦氣、及氖氣。在一些實施例中,可 使用含氫載氣。在一些實施例中,在一些操作中使用惰性氣體作為吹淨氣體。在一些實施例中,使載氣轉向。可提供惰性氣體以幫助處理腔室的壓力及/或溫度控制、液態反應物的蒸發、反應物的更快速輸送;及/或可提供惰性氣體作為掃氣,用以將處理氣體從處理腔室及/或處理腔室配管系統中移除。
在操作454中,可改質包括非揮發性金屬的基板表面。改質基板的表面可允許非揮發性金屬在之後的處理(如下描述)中更容易使用有機蒸氣來加以蝕刻。在一些實施例中,改質表面可包括將基板暴露至偏壓以執行異向性蝕刻。例如,在一些實施例中,可透過使用偏壓將電漿反應性物種從噴淋頭到基板表面的拋射角度加以改變而蝕刻基板,以達到底切(undercut)。在各種實施例中,底切可藉由基板之垂直蝕刻比側向蝕刻之比例而量測。例如,在一些實施例中,垂直蝕刻比側向蝕刻之比例可介於約1至無限大之間。在一些實施例中,比例可介於約0.5至約50之間。
可使用各種方法執行操作454。例如,在一些實施例中,可使用反應性離子電漿改質基板表面。在一些實施例中,可使用離子射束蝕刻改質基板表面。在一些實施例中,可使用非反應性電漿處理改質基板表面。
電漿處理可將基板表面上的非揮發性金屬氧化或還原。例如,電漿處理可造成金屬氯化、金屬氧化、氫暴露至金屬、或這些處理的任何組合。例如,在一些實施例中,操作454可包括流動氧、氯、及/或氫,並引燃電漿。處理時間可取決於基板及基板表面上的金屬類型。處理時間可經選擇以改質單層或單層以上的基板表面。處理時間亦可取決於後續操作(如下描述)中使用的有機蒸氣的類型。例示性處理時間包括介於約0.5秒及約60秒之間。操作454為速率限制步驟,使得基板表面上在操作454期間被改質的材料量決定基板 在一循環中被蝕刻多少。一循環可界定為執行以蝕刻基板表面上之所選材料量的操作。例如,操作454-460可構成一循環。
在許多實施例中,可使用原位產生的電漿來改質基板表面。可原位產生電漿,以避免電漿從噴淋頭流到基板,因為在後續操作中,有機蒸氣可在位於或靠近噴淋頭處產生,且電漿及有機蒸氣之間的接觸可能導致不樂見的材料再沉積於基板表面上。
在一些實施例中,若腔室被完全地吹淨(如下描述),則在後續執行操作454的重複操作中可使用遠端電漿。
操作454可在低壓階段中執行。例如,在一些實施例中,操作454期間的腔室壓力可介於約4毫托及約500毫托之間。
在一些實施例中,在執行操作454之後但在執行操作458之前,吹淨腔室。吹淨腔室可涉及流動吹淨氣體或掃氣,其可為其他操作中使用的載氣或可為其他的氣體。在一些實施例中,吹淨可涉及將腔室抽空。例示性吹淨氣體包括氬氣、氮氣、氫氣、及氦氣。在一些實施例中,吹淨可包括一或更多用以抽空處理腔室的抽空子階段。替代地,應知悉的係,在一些實施例中可省略吹淨。腔室可被吹淨達任何適當的持續期間,例如介於約0秒與約60秒之間,例如約0.01秒。在一些實施例中,將一或更多吹淨氣體的流率提高,可縮短吹淨的持續期間。例如,可根據各種反應物的熱力學特性、及/或處理腔室及/或處理腔室配管系統的幾何特性來調整吹淨氣體的流率,以修改吹淨的持續期間。在一非限制性範例中,可透過調節吹淨氣體的流率,來調整吹淨階段的持續期間。這可縮短循環時間,而提高基板產出量。在吹淨之後,經改質非揮發性金屬(例如氯化非揮發性金屬或氧化非揮發性金屬)可留在基板表面上。
在操作458中,可藉由在高壓環境下將基板暴露到有機蒸氣而蝕刻經改質非揮發性金屬。在各種實施例中,將基板暴露到流進上腔室區(如圖2B及3C所示)中的有機蒸氣。壓力可經選擇,使得壓力足夠高而得以蝕刻基板的經改質表面。例如,在一些實施例中,壓力可介於約0.5托及約5托之間。在各種實施例中,上腔室區的高壓可為腔室在操作454期間的壓力之約2倍至約10000倍之間。
在此操作期間,一或更多有機蒸氣可流進上腔室區中。有機蒸氣可取決於因素的組合而加以選擇,因素包括有機化合物的幾何及空間障礙考量、與基板的經改質表面的反應性、被蝕刻的金屬的類型、及在操作454中所執行之處理的類型。有機蒸氣的選擇可影響在此操作期間移除經改質基板表面的有效性。例示性有機蒸氣包括具有化學式R-COOH的羧酸,其中R為任何有機基團或氫化物。例示性有機蒸氣包括甲酸、乙醯丙酮酸鹽、乙酸、及其他乙醯丙酮化合物。在各種實施例中,當有機蒸氣與經改質基板表面發生反應時,揮發性金屬形成,且被輕易地從基板表面上移除並從腔室中吹淨。
在操作460中,吹淨腔室以將多餘的有機蒸氣從上腔室區中移除。在將有機蒸氣到處理腔室的流量關閉之後吹淨腔室。可使用參考操作456描述如上的任何氣體及方法來吹淨腔室。吹淨腔室以避免任何多餘的有機蒸氣在任何後續操作中被電漿引燃。
在操作462,可選擇性地重複執行操作454-460。操作是否被重複執行,可取決於基板表面上待蝕刻的金屬量。如上註記,操作454-460可構成一蝕刻循環。可執行任何適當次數的蝕刻循環,以從基板的表面上蝕刻期望的材料(例如非揮發性金屬)量。如上所述,腔室可在操作與操作之間被吹淨以將有 機蒸氣暴露與改質操作分開,以避免有機蒸氣在操作454中被改質化學品引燃。
圖4B圖示處理流程圖,用以執行可在設備之某些揭露實施例中執行的操作。在操作402中,提供基板至處理腔室。處理腔室可為能夠形成高壓區及低壓區以執行各種操作的任何適當的腔室。例示性適當處理腔室為參考圖1、2A、2B、及3A-3C描述如上者。
基板可為參考圖4A描述如上者中任一者。
在各種實施例中,在操作402期間,基板置放在處理腔室中的支座上方,使得支座在下降位置,例如圖1及圖3A所示。
在操作404-410中,可流動惰性氣體。惰性氣體可為參考圖4A描述如上者中任一者。在各種實施例中,可流動惰性氣體以調節腔室壓力。
在操作404中,當支座在下降位置時,可改質包括非揮發性金屬的基板表面。改質化學品及處理條件可為參考圖4A之操作454描述如上者中任一者。如上所述,可改質表面以允許非揮發性金屬更容易使用有機蒸氣來加以蝕刻。操作404可在低壓下執行。在一些實施例中,在低壓方案期間,支座在下降位置。
在操作406中,將基板移動到上升位置,如圖2A、2B、3B、及3C中所繪。在一些實施例中,在執行操作404之後及在執行操作408之前,可選擇性地吹淨腔室,以將任何多餘的電漿從處理腔室中移除。可使用參考圖4A之操作456描述如上的任一處理條件來吹淨腔室。
在操作408,當支座在上升位置時,可藉由在高壓環境下將基板暴露到有機蒸氣而蝕刻經改質非揮發性金屬。可藉由將支座操作於上升位置以 控制壓力,以得到上腔室區的高壓,其係為當支座在下降位置時的腔室壓力之約2倍至約10000倍之間。
在操作410中,吹淨腔室以將多餘的有機蒸氣從上腔室區中移除。在將有機蒸氣到處理腔室的流量關閉之後吹淨腔室。可使用參考操作406描述如上的任何氣體及方法來吹淨腔室。吹淨腔室以避免任何多餘的有機蒸氣在任何後續操作中被電漿引燃。在各種實施例中,在吹淨腔室之前或之後,可將支座移動到下降位置。在一些實施例中,較佳的係在將支座移動到下降位置之前吹淨腔室,以避免任何有機蒸氣存在於下腔室區。
在操作412,可選擇性地重複執行操作404-410。操作是否被重複執行,可取決於基板表面上待蝕刻的金屬量。如上註記,操作404-410可構成一蝕刻循環。可執行任何適當次數的蝕刻循環,以從基板的表面上蝕刻期望的材料(例如非揮發性金屬)量。如上所述,腔室可在操作與操作之間被吹淨以將有機蒸氣暴露與改質操作分開,以避免有機蒸氣在操作404中被改質化學品引燃。
圖4C為時序圖,圖示可在本文所述之設備中執行的方法中之循環的範例。圖4C針對各種製程參數圖示例示性處理420中的階段。圖4C中描繪的製程參數包括改質化學品流量(例如在改質階段234A及改質階段234B期間流動的處理氣體)、載氣流量、電漿(例如電漿開啟或關閉)、及有機蒸氣流量。氣體流量的線指出流量開啟與關閉的時間。電漿的線指出電漿開啟與關閉的時間。支座位置的線指出支座移動到上升位置(例如參考圖2A、2B、3B、及3C描述如上者)或移動到下降位置(例如參考圖1及3A描述如上者)。
描繪兩個蝕刻循環420A及420B。各蝕刻循環包括各種階段。例如,蝕刻循環420A包括改質階段234A、吹淨階段236A、有機蒸氣暴露及移除階段238A、及吹淨階段239A。同樣的,蝕刻循環420B包括改質階段234B、吹淨階段236B、有機蒸氣暴露及移除階段238B、及吹淨階段239B。如圖所示,在例示性處理420中,載氣在整個蝕刻循環420A及420B中流動。在各種實施例中,使用載氣作為吹淨氣體。在一些實施例中,載氣可不同於吹淨氣體。在一些實施例中,載氣可僅在吹淨階段流動(例如236A、239A、236B、及239B)。載氣可為參考圖4A描述如上者中任一者。
在改質階段234A,支座在下降位置(例如圖1及3A所示)。流動改質化學品(例如可流動以改質基板表面(例如非揮發性金屬)的處理氣體),同時流動載氣並開啟電漿。有機蒸氣流量在此階段期間關閉。在一些實施例中,改質階段234A可與圖4B之操作404對應。在吹淨階段236A,支座可維持在下降位置,如圖所示,作為範例。注意雖然圖4C描繪支座在下降位置,但在一些實施例中,支座可在此階段期間移動到上升位置。在此種實施例中,此階段可與圖4B之操作406對應。在此階段期間,可流動載氣或吹淨氣體,同時關閉所有其他的處理氣體流量與電漿。吹淨氣體可為參考圖4B描述如上之氣體中任一者。在有機蒸氣暴露及移除階段238A,可將支座移動到上升位置,改質化學品流量與電漿維持關閉,可繼續流動載氣,並且可流動有機蒸氣。有機蒸氣可為參考圖4A描述如上者中任一者。在一些實施例中,有機蒸氣暴露及移除階段238A可對應到圖4B之操作408。在一些實施例中,此階段亦可對應到圖4B之操作406,其中在流動有機蒸氣之前將支座移動到上升位置。在各種實施例中,在 高壓下流動有機蒸氣。在吹淨階段239A,支座可維持在上升位置,同時關閉處理氣體流量與電漿並可繼續流動載氣。這可與圖4B之操作410對應。
圖4C描繪第二蝕刻循環420B,可對應到圖4B之操作412,其中重複執行操作404-410。與蝕刻循環420A相同,在改質階段234B期間,將支座移動到下降位置並開啟改質化學品流量與電漿,同時關閉有機蒸氣流量。可繼續流動載氣。在腔室於吹淨階段236B(其中僅流動載氣)中被吹淨之後,可將支座移動到上升位置(其中執行有機蒸氣暴露及移除階段238B)。伴隨載氣而開啟有機蒸氣流量,同時關閉電漿與改質化學品流量。當支座在上升位置時執行吹淨階段239B,且關閉所有處理氣體流量與電漿,同時可繼續流動載氣。應注意的係,如參考圖4B所述,在圖4C描繪的實施例中,有機蒸氣流量與電漿兩者未在任何單一階段同時開啟,以避免形成有機電漿物種,其可能再沉積於基板上且難以移除,而因此導致製成裝置中的雜質與缺陷。
圖4D-4G描繪例示性基板的示意圖,該基板可歷經可在一設備中執行的方法(例如參考圖4A-4C描述如上的方法)。圖4D圖示包括底層441的例示性基板440,其可為矽晶圓(例如200mm的晶圓、300mm的晶圓、或450mm的晶圓),其可包含一或多層材料(諸如介電性、傳導性、或半導體材料)沉積於其上。在此範例中,非揮發性金屬層443沉積於底層441上。例示性非揮發性金屬包括銅、鈷、鉑、鈀、鐵、及銥。圖案化遮罩445位於非揮發性金屬層443上使得非揮發性金屬層443的若干表面被暴露。
在圖4E中,非揮發性金屬層443的表面經改質而形成經改質面積447。可透過將非揮發性金屬層443的表面暴露到反應性離子蝕刻、離子射束蝕刻、或非反應性電漿處理來將經改質面積447加以改質,如參考圖4A之操作454 描述如上。例如,在一些實施例中,經改質面積447可包括氯化及/或氧化金屬。在各種實施例中,可在當固持基板440的支座位於下降位置時執行此操作。
在圖4F中,將經改質面積447暴露到高壓有機蒸氣以形成可輕易揮發的區域480。在各種實施例中,暴露到有機蒸氣造成經改質面積447與有機蒸氣之間的反應,而在非揮發性金屬層443的表面上形成區域480中的化合物,其可包括附接至經改質面積447之材料的有機配位體。例如,在一些實施例中,區域480可包括附接至氯化及/或氧化金屬的有機配位體。由於區域480亦暴露到高壓,故區域480中的材料可從非揮發性金屬層443脫離,而產生圖4G所示之具有經蝕刻非揮發性金屬層449的基板。在各種實施例中,從經改質金屬層與有機蒸氣之間的反應產生的副產物可被從腔室中吹淨或排空。可重複執行操作以將非揮發性金屬層449進一步蝕刻至期望的厚度。
實驗
圖5描繪根據以下實驗用於各種量測值之註解。在支座位於上升位置時進行實驗。實驗係在如圖1、2A、及2B所繪的腔室中進行。針對以下實驗,L4指涉噴淋頭與支座的表面(晶圓放置處)之間的間隙。噴淋頭515與支座之邊緣的凸起區域之間的距離為10mm。位於支座之邊緣的凸起區域的寬度為5mm。L2指涉凸起區域與處理腔室之頂部之間的距離,如圖5所繪。L1指涉支座之凸起區域之邊緣與處理腔室之頂部傾斜的角落之間的距離。腔室側壁與支座邊緣的傾斜邊緣的角度為45°。L3l指涉位於或靠近噴淋頭515之頂部的腔室側壁的傾斜區域的長度。L3w指涉支座的傾斜邊緣與腔室的傾斜側壁之間的間隙 的距離。針對以下實驗,所使用的處理腔室具有226mm之半徑,其中噴淋頭具有150mm之半徑,且傾斜的支座邊緣距離支座的中心165mm。
在下表中,Pavg指涉平均壓力,且△P指涉上腔室區(高壓區)與下腔室區(低壓區)之間的壓力差。UMM(均勻性最大值-最小值)為均勻性與非均勻性的量測,其中非均勻性可被定義成在晶圓的許多點上被蝕刻的量的變化。愈均勻的蝕刻(例如UMM=0%)產生降低的非均勻性。
實驗1:改變距離L 2
進行一實驗以針對不同的距離L2量測上腔室區與下腔室區之間的壓力差。間隙L4設定在1mm。執行三次實驗。針對各個實驗,在支座位於上升位置時將100sccm的N2輸送到腔室。結果呈現於下列表格1中。
Figure 106102778-A0305-02-0029-2
如表格1所示,支座之凸起區域與處理腔室之頂部之間0.90mm的間隙在上腔室區與下腔室區之間產生較高的壓力差。
實驗2:改變距離L 3w
進行一實驗以針對不同的距離L3w量測上腔室區與下腔室區之間的壓力差。間隙L4設定在1mm。L2設定在0.5mm。執行三次實驗。針對各個實 驗,在支座位於上升位置時將100sccm的N2輸送到腔室。結果呈現於下列表格2中。
Figure 106102778-A0305-02-0030-4
如表格2所示,1.50mm的寬度產生最高的壓力差284mT。這些結果顯示,晶圓壓力隨著寬度L3w增加而降低。在L3w大於間隙L4的情況下,非均勻性高於10%。
實驗3:改變距離L 3l
進行一實驗以針對不同的距離L3l量測上腔室區與下腔室區之間的壓力差。間隙L4設定在2mm。L2設定在1.5mm。L1設定在2mm且L3w設定在2.06mm。執行兩次實驗。針對各個實驗,在支座位於上升位置時將100sccm的N2輸送到腔室。結果呈現於下列表格3中。
Figure 106102778-A0305-02-0030-5
較長的距離L3l產生較低的壓力差(87mT相較於96mT)及較高的均勻性。壓力差沿著支座與腔室側壁之間的間隙建立於上腔室區與下腔室區之間。實驗結果亦顯示某些壓力差建立於上腔室區的中央(朝向支座的中央)與支座邊緣的凸起區域之間。
實驗4:支座高度
進行一實驗以針對支座的不同高度或厚度量測上腔室區與下腔室區之間的壓力差。間隙L4設定在2.5mm。L2設定在2mm。執行兩次實驗。針對各個實驗,在支座位於上升位置時將100sccm的N2輸送到腔室。結果呈現於下列表格4中。較高的支座高度產生相近但較低的壓力差,同時UMM稍微降低,因此更為均勻。
Figure 106102778-A0305-02-0031-6
實驗5:流率
進行一實驗以針對氮氣的不同流率量測上腔室區與下腔室區的壓力差。間隙L4設定在2.5mm。L2設定在2mm。針對各個實驗,在支座位於上升位置時在100mT的泵浦壓力下將不同量的N2輸送到腔室。結果呈現於下列表格5中。如下表所示,較高的流率產生提高的晶圓壓力並且產生相近的均勻性,但較低的流率100sccm產生三次實驗中最高的均勻性(UMM of 5.6%)。
表格5:針對各種流率的壓力差與非均勻性
Figure 106102778-A0305-02-0032-7
實驗6:泵浦壓力
進行一實驗以針對不同的泵浦壓力量測上腔室區與下腔室區的壓力差。間隙L4設定在2.5mm。L2設定在2mm。針對各個實驗,在支座位於上升位置時在不同的泵浦壓力下將2000sccm的N2輸送到腔室。結果呈現於下列表格6中。如下表所示,較高的泵浦壓力在整個晶圓上產生較高的壓力及因此較佳的均勻性。
Figure 106102778-A0305-02-0032-8
結論
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些改變及修改。應注意,實施本文之實施例之製程、系統、及設備有許多替代方式。因此,應將本文之實施例視為說明性的,而非限制性的,且不將該等實施例限於本文中所提出的細節。
100‧‧‧設備
101‧‧‧反應物輸送系統
102‧‧‧處理腔室
103‧‧‧汽化點
104‧‧‧混合容器
110‧‧‧加熱器
112‧‧‧晶圓/基板
114‧‧‧RF功率供應器
115‧‧‧噴淋頭
116‧‧‧匹配網路
118‧‧‧蝶形閥
120‧‧‧混合容器入口閥
145‧‧‧區域
160‧‧‧支座
175‧‧‧控制器
180‧‧‧區域
195‧‧‧處理區

Claims (17)

  1. 一種用以處理半導體基板的設備,該設備包含:一處理腔室,其圍起一處理區,該處理腔室包含:一噴淋頭,用以將處理氣體配送至該處理區;一可移動支座,其具有一表面及一邊緣,該可移動支座能夠將一半導體基板固持在該表面上、且被定位於一上升位置或一下降位置,其中在該上升位置的該可移動支座形成:一上腔室區,位於該可移動支座與該噴淋頭之間;及一下腔室區,位於該可移動支座的下方;及一側壁區域,與該噴淋頭相鄰,與該噴淋頭相鄰的該側壁區域在該可移動支座移動到該上升位置時能夠與該可移動支座的該邊緣對齊,以在該可移動支座之該邊緣及與該噴淋頭相鄰之該側壁區域之間形成一可調整間隙;入口,耦接至該噴淋頭;一電漿產生器,用以在該處理區中引燃電漿;及一控制器,用以控制該設備的操作,該控制器包含機械可讀取指令,用以將該可移動支座移動到該上升位置或該下降位置;其中藉由改變該可移動支座之該邊緣及與該噴淋頭相鄰之該側壁區域之間的該可調整間隙之距離,在該上腔室區及該下腔室區之間形成一壓力差。
  2. 如申請專利範圍第1項之用以處理半導體基板的設備,其中當該可移動支座位於該上升位置時,該可移動支座的該邊緣及與該噴淋頭相鄰的該側壁區域之間的距離介於約0.3mm及約3mm之間。
  3. 如申請專利範圍第1項之用以處理半導體基板的設備,其中與該噴淋頭相鄰的該側壁區域包含一傾斜表面,該傾斜表面具有從垂直於該噴淋頭的一軸傾斜約45°的角度。
  4. 如申請專利範圍第1項之用以處理半導體基板的設備,其中當該可移動支座位於該上升位置時,該噴淋頭與該可移動支座之該表面之間的距離介於約1mm及約2mm之間。
  5. 如申請專利範圍第1項之用以處理半導體基板的設備,其中該可移動支座之該表面包含一環形凸起區域,與該可移動支座的邊緣相鄰。
  6. 如申請專利範圍第1項之用以處理半導體基板的設備,其中該可移動支座的該表面與該可移動支座的該邊緣夾角大於90°。
  7. 如申請專利範圍第1項之用以處理半導體基板的設備,其中該可移動支座的該表面與該可移動支座的該邊緣夾角為垂直。
  8. 如申請專利範圍第5項之用以處理半導體基板的設備,其中當該可移動支座位於該上升位置時,該噴淋頭與該環形凸起區域的表面之間的距離介於約0mm及約1mm之間。
  9. 如申請專利範圍第1-8項中任一項之用以處理半導體基板的設備,其中當該可移動支座位於該上升位置時,該上腔室區及該下腔室區之間的壓力差介於約50毫托及約5托之間。
  10. 如申請專利範圍第1-8項中任一項之用以處理半導體基板的設備,其中該上腔室區在該可移動支座位於該上升位置時的壓力,可為該上腔室區在該可移動支座位於該下降位置時的壓力之至少約2倍到約10000倍。
  11. 如申請專利範圍第1-8項中任一項之用以處理半導體基板的設備,其中該可移動支座能夠在該上升位置與該下降位置之間移動介於約4吋及約6吋之間的一距離。
  12. 如申請專利範圍第1-8項中任一項之用以處理半導體基板的設備,其中該等入口包含一入口,用以將有機蒸氣以大於約1000sccm的流率輸送至該處理腔室。
  13. 如申請專利範圍第1-8項中任一項之用以處理半導體基板的設備,其中該等入口包含一入口,用以將含氯或含氧處理氣體輸送至該噴淋頭以產生電漿。
  14. 如申請專利範圍第1-8項中任一項之用以處理半導體基板的設備,其中該可移動支座具有介於約50mm及100mm之間的厚度。
  15. 如申請專利範圍第1-8項中任一項之用以處理半導體基板的設備,其中該控制器更包含機械可讀取指令,用以進行下列操作:當該可移動支座位於該下降位置時,使電漿在該處理區中產生;並且當該可移動支座位於該上升位置時,將有機蒸氣引導至該處理區。
  16. 一種在一處理腔室中蝕刻一基板上的非揮發性金屬的方法,該處理腔室包含一噴淋頭及用以固持該基板的一可移動支座,該可移動支座能夠被定位於一上升位置或一下降位置,使得在該上升位置的該可移動支座形成:一上腔室區,位於該可移動支座與該噴淋頭之間;及一下腔室區,位於該可移動支座的下方,該方法包含:當該可移動支座位於該下降位置時,將該基板上的非揮發性金屬暴露至電漿,以改質該非揮發性金屬並形成經改質非揮發性金屬;並且 當該可移動支座位於該上升位置時,將該經改質非揮發性金屬暴露至有機蒸氣,以移除該經改質非揮發性金屬;其中當該可移動支座位於該上升位置時,一壓力差在該處理腔室中、於該上腔室區及該下腔室區之間形成。
  17. 如申請專利範圍第16項之在一處理腔室中蝕刻一基板上的非揮發性金屬的方法,其中該上腔室區在該可移動支座位於該上升位置時的壓力,為該下腔室區在該可移動支座位於該下降位置時的壓力之至少約2倍到約10000倍。
TW106102778A 2016-02-05 2017-01-25 用以圖案化非揮發性金屬的腔室 TWI742034B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/017,444 US9953843B2 (en) 2016-02-05 2016-02-05 Chamber for patterning non-volatile metals
US15/017,444 2016-02-05

Publications (2)

Publication Number Publication Date
TW201740465A TW201740465A (zh) 2017-11-16
TWI742034B true TWI742034B (zh) 2021-10-11

Family

ID=59497965

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106102778A TWI742034B (zh) 2016-02-05 2017-01-25 用以圖案化非揮發性金屬的腔室

Country Status (5)

Country Link
US (2) US9953843B2 (zh)
JP (1) JP6948797B2 (zh)
KR (1) KR20170093716A (zh)
CN (2) CN107045969B (zh)
TW (1) TWI742034B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016171815A1 (en) * 2015-04-24 2016-10-27 Applied Materials, Inc. Process kit including flow isolator ring
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US12012652B2 (en) 2018-05-21 2024-06-18 Applied Materials, Inc. Single process volume to perform high-pressure and low-pressure processes with features to reduce cross-contamination
JP2022090148A (ja) * 2019-04-02 2022-06-17 株式会社Adeka 原子層エッチング法用エッチング材料
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
US20230113063A1 (en) * 2021-10-11 2023-04-13 Applied Materials, Inc. Dynamic processing chamber baffle
KR20230071617A (ko) * 2021-11-16 2023-05-23 세메스 주식회사 기판 처리 장치 및 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
TW201036494A (en) * 2008-12-19 2010-10-01 Lam Res Corp Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US20140038418A1 (en) * 2007-01-26 2014-02-06 Lam Research Corporation Bevel etcher with vacuum chuck
US20150280114A1 (en) * 2014-03-27 2015-10-01 Lam Research Corporation Method to etch non-volatile metal materials

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6419751B1 (en) 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP2004119448A (ja) * 2002-09-24 2004-04-15 Nec Kyushu Ltd プラズマエッチング装置およびプラズマエッチング方法
JP4292002B2 (ja) * 2002-12-18 2009-07-08 株式会社日立国際電気 プラズマ処理装置
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100790392B1 (ko) 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7422983B2 (en) 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
KR20070055874A (ko) * 2005-11-28 2007-05-31 삼성전자주식회사 플라즈마 처리 장치
WO2009008474A1 (ja) * 2007-07-11 2009-01-15 Tokyo Electron Limited プラズマ処理方法およびプラズマ処理装置
KR20100082012A (ko) 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP5497278B2 (ja) * 2008-07-17 2014-05-21 東京エレクトロン株式会社 銅の異方性ドライエッチング方法および装置
SG10201405469WA (en) * 2009-09-28 2014-10-30 Lam Res Corp Unitized confinement ring arrangements and methods thereof
JP5675138B2 (ja) * 2010-03-25 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US10351956B2 (en) * 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20140038418A1 (en) * 2007-01-26 2014-02-06 Lam Research Corporation Bevel etcher with vacuum chuck
TW201036494A (en) * 2008-12-19 2010-10-01 Lam Res Corp Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US20150280114A1 (en) * 2014-03-27 2015-10-01 Lam Research Corporation Method to etch non-volatile metal materials

Also Published As

Publication number Publication date
CN107045969A (zh) 2017-08-15
JP2017152689A (ja) 2017-08-31
US20180204738A1 (en) 2018-07-19
US20170229317A1 (en) 2017-08-10
KR20170093716A (ko) 2017-08-16
CN110112048A (zh) 2019-08-09
JP6948797B2 (ja) 2021-10-13
CN107045969B (zh) 2020-05-22
TW201740465A (zh) 2017-11-16
US9953843B2 (en) 2018-04-24

Similar Documents

Publication Publication Date Title
TWI742034B (zh) 用以圖案化非揮發性金屬的腔室
JP7460727B2 (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
TWI646212B (zh) 保形氮化鋁的高成長速度製程
KR102489449B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
US20200087786A1 (en) Multi-cycle ald process for film uniformity and thickness profile modulation
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
CN114402416A (zh) 用于衬底处理的氧化分布调节
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク
KR20220143122A (ko) 코어 제거