TWI646212B - 保形氮化鋁的高成長速度製程 - Google Patents

保形氮化鋁的高成長速度製程 Download PDF

Info

Publication number
TWI646212B
TWI646212B TW104104471A TW104104471A TWI646212B TW I646212 B TWI646212 B TW I646212B TW 104104471 A TW104104471 A TW 104104471A TW 104104471 A TW104104471 A TW 104104471A TW I646212 B TWI646212 B TW I646212B
Authority
TW
Taiwan
Prior art keywords
substrate
reaction chamber
precursor
aluminum
processing
Prior art date
Application number
TW104104471A
Other languages
English (en)
Other versions
TW201544619A (zh
Inventor
珊卡 史旺明內森
阿南達 班納吉
納葛 珊卡
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201544619A publication Critical patent/TW201544619A/zh
Application granted granted Critical
Publication of TWI646212B publication Critical patent/TWI646212B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供在半導體基板上沈積保形氮化鋁薄膜的方法。所揭露的方法涉及:(a)使一基板暴露至一含鋁前驅物;(b)吹淨該含鋁前驅物一段時間,此段時間不足以移除實質上所有氣相的該含鋁前驅物;(c)將基板暴露至一含氮前驅物以形成氮化鋁;(d)吹淨含氮前驅物;及(e)重覆(a)至(d)。獲得較高的成長速度以及100%的階梯覆蓋率與保形性。

Description

保形氮化鋁的高成長速度製程
本發明係關於一種保形氮化鋁的高成長速度製程。
半導體裝置的各種薄膜層可利用原子層沈積(ALD)製程沈積。然而,現行的ALD製程可能不適合沈積高度保形之介電薄膜。例如,許多現行的ALD製程無法提供高產量(快速沈積)與高保形性的組合。
本案提供半導體基板上之保形氮化鋁與其他材料如其他金屬氮化物與金屬氧化物的沈積方法。
一態樣涉及一種在反應室中處理具有特徵部之半導體基板的方法。該方法包含:(a)使一基板暴露至一含鋁前驅物一段充分長的時間使該含鋁前驅物能實質上吸附至該基板的一表面;(b)自該反應室吹淨該含鋁前驅物一段時間,此段時間不足以自氣相移除實質上所有的該含鋁前驅物;(c)將該基板暴露至一含氮前驅物一段充分長的時間以驅動一熱媒反應而在該基板之該表面上形成一氮化鋁層,其中該氮化鋁層實質上對該基板具有保形性且具有約1.5Å或更高的厚度;(d)自該反應室吹淨氣相之該含氮前驅物;及(e) 重覆步驟(a)至(d)。在某些實施例中,在步驟(a)至(d)之一循環期間所沈積之氮化鋁的量至少約為2Å。在某些實施例中,在步驟(a)至(d)之一循環期間所沈積之氮化鋁的量至少約為5Å。在某些實施例中,該氮化鋁層具有至少約80%的階梯覆蓋率。在各種實施例中,該基板係在約250°C 至約450°C的一製程溫度下受到處理。該基板係在約0.01 Torr 至約10 Torr的一壓力下受到處理。
在許多的實施例中,該含鋁前驅物為三甲基鋁(TMA)。在許多的實施例中,該含氮前驅物為氨氣(NH3 )。在某些實施例中,流動氮氣(N2 )以吹淨該含鋁前驅物且流動氮氣(N2 )以吹淨該含氮前驅物。在許多的實施例中,吹淨該含鋁前驅物約2秒。在許多的實施例中,該基板被暴露至該含鋁前驅物約7.5秒至約30秒。在各種實施例中,該基板被暴露至該含鋁前驅物的時間與該含鋁前驅物受到吹淨的時間的比值係介於約3.75:1至約15:1。
在某些實施例中,該基板的該特徵部具有至少約2:1的深寬比。在某些實施例中,該基板的該特徵部具有小於約100 nm的開口。在各種實施例中,該方法實質上不會造成圖案負載效應。
另一態樣涉及一種用以在基板表面沈積薄膜的設備,此設備包含:一反應室,包含用以支撐一基板的一平臺;至少一出口,用以耦合至一真空;一或多個製程氣體入口,耦合至兩或更多前驅物源;及一控制器,用以控制該設備中的操作。此控制器包含用於下列者之機器可讀指令:(a)將一第一前驅物導入該反應室中一段充分長的時間使該第一前驅物能實質上吸附至該基板的一表面;(b)吹淨該反應室一段時間,此段時間不足以自氣相移除實質上所有的該第一前驅物;(c)將一第二前驅物導入至該反應室中一段充分長的時間以在該基板表面形成一膜層,其中該膜層實質上對該基板具有保形性且具有約1.5Å或更高的厚度;(d)吹淨該反應室一段充分長的時間,此段充分長的時間能自氣相移除該第二前驅物;及(e)重覆步驟(a)至(d)。
在某些實施例中,該控制器更包含用於下列者的指令:進行操作(a)的時間為進行操作(b)的時間之約3.75至約15倍。在各種實施例中,導入該第一前驅物的指令包含自該第一前驅物的一儲槽的一頂部空間抽取該第一前驅物至該反應室。在某些實施例中,導入該第一前驅物的指令亦包含在自該頂部空間抽取該第一前驅物的下游與該反應室的上游之間使一載氣與該第一前驅物一起流動。
參考圖示更進一步說明此些與其他態樣。
在下面的敘述中,列舉許多特定細節以提供對本發明實施例的全盤瞭解。可在缺乏一些或全部此些特定細節的情況下實施文中實施例。在其他情況中,不詳細說明習知之製程操作以免不必要地模糊文中實施例的焦點。雖然利用特定實施例來說明文中的實施例,但應瞭解,本發明不限於所揭露的實施例。
製造半導體裝置通常涉及在整合製造製程中於非平面的結構上沈積一或多層薄膜。在整合製程的某些態樣中,沈積對基板起伏具有保形性的薄膜是有用的。例如,某些前段製程可能涉及沈積保形薄膜。基板的實例可包含具有特徵部的基板,深寬比可至少約為2:1或至少約為4:1或至少約為6:1或至少約為10:1。前段製程用之保形薄膜的實例包含硬遮罩、蝕刻停止件及封蓋層。利用此類薄膜所製造的前段結構包含電晶體(如FinFET)及含金屬的記憶體裝置。
原子層沈積(ALD)製程使用表面媒介沈積反應以一層接著一層的方式沈積薄膜。在ALD製程的一實例中,將包含複數表面活性位置的基板表面暴露至一劑量之以氣相分佈的第一前驅物。此第一前驅物的部分分子可在頂部基板表面形成一濃縮相,此濃縮相包含第一前驅物的化學吸附之物種及/或物理吸附之分子。接著,排空反應室俾以移除氣相之第一前驅物並僅留下已吸附之物種。接著將第二前驅物導入反應室俾使此些分子中的某些分子吸附至基板表面。接著可再次排空反應室以移除未受束縛的第二前驅物分子。熱能可活性第一與第二前驅物間的表面反應以形成一薄膜層。在某些製程中,第二前驅物會立即與已吸附的第一前驅物反應。在其他實施例中,第二前驅物僅會在暫時施加活化源後才反應。可使用額外的ALD循環建立薄膜厚度。
傳統的ALD製程如上述者會形成高度保形的薄膜。薄膜的保形性通常以階梯覆蓋率量測。藉著比較一特徵部之底部、側壁或頂部之沈積薄膜的平均厚度與一特徵部之底部、側壁或頂部之沈積薄膜的平均厚度可計算階梯覆蓋率。例如,藉著將特徵部側壁上之沈積薄膜之平均厚度除以特徵部頂部處之沈積薄膜之平均厚度得到一結果,然後將結果乘以100獲得一百分比,可計算出階梯覆蓋率。傳統的ALD製程可沈積得到階梯覆蓋率接近100%的薄膜。
然而,雖然沈積薄膜高度保形,但傳統的ALD製程具有低沈積成長速度例如對於沈積氮化鋁而言每一循環約0.7 Å至1.0 Å或每一循環少於一單層。較低成長速度會導致較低的製造效率,因而較低的產量。
觀察到化學氣相沈積(CVD)與物理氣相沈積(PVD)具有較高的沈積成長速度。然而在此些製程中,沈積薄膜具有低保形性,階梯覆蓋率係介於約50%至約70%之間。是以,現行的製程無法沈積同時具有高成長速度與高保形性的保形薄膜。
文中提供以高成長速度沈積高度保形薄膜的方法。此些方法涉及一種經修改的ALD方法,其結合類CVD之反應與ALD表面反應。類CVD條件受到推廣但卻不會大幅地失去保形性。此些方法可涉及:低吹淨對給劑比以及沈積薄膜的形成係大幅地受到熱媒反應驅動而非電漿活化反應。沈積薄膜可具有高成長速度,此高成長速度約是傳統ALD方法之成長速度的約4至約7倍,這可增加產量並減少基板的製程費用。此些方法亦產生高度保形的沈積薄膜,其階梯覆蓋率大幅地高於70%如約100%。
可進行此些方法沈積適合下列用途的薄膜:保形硬遮罩、蝕刻停止層、封蓋層、或一疊層如閘極或記憶體疊層(如磁性RAM疊層)或其他適合之半導體裝置結構的其中一或多層。在某些實例中,沈積薄膜封蓋包含閘電極及/或閘介電層的一閘極結構。在某些實施例中,沈積薄膜封蓋一磁性記憶體疊層。可在具有「特徵部」如通孔、接觸孔的基板上進行文中的方法,該特徵部的特徵在於被限制在特徵部內之一或多個窄及/或凹角的開口以及高深寬比。特徵部的一實例為半導體基板或基板上之一膜層中的孔口或通孔。另一實例為基板或膜層中的溝槽。基板可以是一矽晶圓如一200-mm晶圓、一300-mm晶圓、或一450-mm晶圓,其包含其上沈積有一或多層材料如介電材料、導電材料或半導體材料的晶圓。特徵部可被形成在此些膜層中的一或多層中。在某些實施例中,特徵部可具有至少約2:1、至少約4:1、至少約6:1、至少約10:1或更高的深寬比。特徵部亦可具有接近開口的尺寸,例如開口的直徑或線寬係介於約10 nm至500 nm例如介於約25 nm至約300 nm。可在具有特徵部的基板上進行文中的方法,此特徵部具有小於約150 nm的開口。通孔或溝槽特徵部可被稱為是未填充之特徵部或特徵部。
具有凹角輪廓的特徵部可自底部、封閉端或特徵部內部窄至特徵部開口。在各種實施例中,特徵部可具有一下層如一阻障層或附著層。下層的非限制性實例包含介電層及導電層如矽的氧化物、矽的氮化物、矽的碳化物、金屬氧化物、金屬氮化物、金屬碳化物及金屬層。在特定的實施例中,下層可以是氮化鈦(TiN)、鈦金屬(Ti)、氮化鎢(WN)、鋁化鈦(TiAl)或氧化鈦(TiOx )。在各種實施例中,下層可以是一介電層如氧化物或氮化物或氮氧化物。介電層的實例包含氧化矽、氮化矽、氮氧化矽及其他材料。
在許多的實施例中,可在介於約250°C至約450°C或約350°C至約400°C的一溫度下進行文中的方法。一般而言,較高的沈積溫度會導致較高的沈積速度。在各種實施例中,可在介於約0.01 Torr至約10 Torr的一壓力下或在介於約0.1 Torr至約1 Torr的一壓力下進行該些方法。較高的壓力會導致沈積空間中存在較大量的反應物,藉此增加沈積速度。文中的方法係主要受到熱反應製程的驅動。在下面的實施例中,針對一180 L的反應室提供流率。在某些實例中,取決於反應室的配置,可縮放流率以適應不同的體積。
圖1為根據特定實施例之保形薄膜之沈積方法的製程流程圖。應注意,在下面列舉的化學品僅為用以說明文中實施例的一實例。在沈積室或沈積站中可以有一待處理的基板。在步驟101中,將一基板暴露至一第一前驅物,例如一含鋁前驅物如一有機鋁化合物。在某些實施例中,含鋁前驅物為烷基鋁化合物如三甲基鋁(TMA)或氫化二甲基鋁。在某些實施例中,含鋁前驅物為醋酸鋁、烷氧化物或鹵素鋁。在許多的實施例中,暴露時間或持續時間係足以在基板的表面上形成一實質上完全飽和層或吸附層。在特定的實施例中,此給劑的暴露時間可介於約5秒至約60秒如介於約7.5秒至約30秒。在特定的實施例中,TMA的流率範圍可介於約10 sccm至約350 sccm。以反應物(如含鋁前驅物)接觸基板的製程有時被稱為「給劑」。
在某些實施例中,步驟101可藉由下列方式實施:經由連接至基板所處之沈積室的一管線直接自TMA源的頂部空間抽取TMA,TMA源可以是一TMA儲槽。
在某些實施例中,步驟101可藉由下列方式實施:利用導入TMA源下游的載氣使來自頂部空間的TMA經由噴淋頭輸入反應室中。載氣可在TMA源的下游與反應室或噴淋頭的上游。在許多的實施例中,載氣為一惰性氣體。在某些實施例中,載氣可為氮氣(N2 )、氬氣(Ar)、氫氣(H2 )或氦氣(He)。在某些實施例中,載氣的流率可介於約50 sccm至約1000 sccm。當使用載氣將基板暴露至TMA時,TMA的總流率可以更高如純TMA蒸氣介於約10 sccm至約200 sccm且載氣流率介於約150 sccm至約950 sccm。在某些實施例中,TMA的總流率可以更低。
在步驟103中,吹淨反應室或站一段不足以完全吹淨氣相含鋁前驅物的時間。在許多的實施例中,藉著流動一吹淨氣體如氮氣(N2 )以吹淨反應室或站。在特定的實施例中,吹淨氣體的流率係介於約15 sccm至約500 sccm之間。吹淨氣體係在第一前驅物流停止後被導入。吹淨時間或吹淨的持續時間可不足以完全地吹淨氣相含鋁前驅物,俾以同時存在來自步驟101之表面吸附以及在反應空間中未在基板表面上或鬆散地黏附至基板的剩餘氣相含鋁前驅物。在許多的實施例中,吹淨時間對給劑時間的比值如步驟103對步驟101的時間比值例如可介於約3:1至約20:1如介於約3.75:1至約15:1。在某些實施例中,吹淨時間係少於約5秒例如介於約0.1秒至約5秒或約2秒。在某些實施例中,步驟103中的吹淨可藉由排空反應室來達成。
在步驟105中,將基板暴露至一第二前驅物或例如一含氮前驅物一段足以在基板之表面上藉由熱反應形成一膜層如氮化鋁的時間。在特定的實施例中,該含氮前驅物為氨氣(NH3 )。在許多的實施例中,將基板暴露至含氮前驅物介於約1秒至約60秒或約2.5秒或約30秒的時間。在各種實施例中,所得的氮化鋁層具有約1.5 Å或更高的厚度,通常大於3Å/循環。在某些實施例中,含氮前驅物的流率可介於約0.1 slm至約20 slm (如介於約1至約10 slm)。在某些實施例中,在暴露至含氮前驅物的期間可使用載氣。適合載氣的一實例為氮氣(N2 ),若使用氮氣作為載氣且與含氮前驅物共流,則可以介於約500 sccm至10 slm的流率流動氮氣。
在步驟105中,主要的反應為表面上的ALD反應俾以發生以表面擴散主導的動力學以產生保形氮化鋁層。不受限於特定的理論,由於在吹淨步驟103後剩餘的氣相含鋁前驅物與進入反應空間的含氮前驅物之間發生的類CVD反應,同時發生在氣相中的反應或氣相成核。這貢獻了薄保形薄膜的較高成長速度。以表面擴散主導的動力學(與ALD相關)的強分佈能確保保形性的保存。
在步驟107中,吹淨含氮前驅物。在許多的實施例中,吹淨包含流動吹淨氣體例如氮氣(N2 )。在某些實施例中,以介於約0 sccm至約10,000 sccm的流率流動吹淨氣體介於約5秒至約10秒。此吹淨可足以自反應空間或站或室中移除實質上所有剩餘的含氮前驅物。
在步驟109中,工作流程判斷薄膜是否已沈積至適當厚度,若是則結束薄膜沈積方法。若薄膜尚未被沈積至適當厚度,則重覆步驟101至107直到薄膜被沈積至適當厚度。
「循環」的概念係與文中的各種實施例的討論相關。一般而言,一個循環是進行表面沈積反應一次所需的一組最少步驟。一循環的結果為在基板表面上至少形成一部分膜層。通常一循環可僅包含下列步驟:輸送每一反應物至基板表面並使每一反應物吸附至基板表面所需的步驟,以及接著使此些已吸附之反應物反應而形成薄膜之部分膜層的步驟。當然,循環可包含某些輔助步驟如掃除複數反應物或副產物中的一者及/或處理剛沈積之部分膜層的步驟。一般而言,一循環只包含一特定步驟程序的單一事件。例如,一循環可包含下列步驟:(i)輸送/吸附反應物A;(ii)將一部分的A掃離反應室;(iii)在足以驅動A與反應物B之反應的條件下輸送/吸附B以在表面上形成部分膜層;及(iv)將B掃離反應室。
在圖2的時序200中顯示進行圖1中所示之方法的兩個沈積循環。在此程序中,如210A與210B中所示的一沈積循環包含暴露第一前驅物、吹淨、暴露第二前驅物及另一吹淨。如所示,暴露與吹淨階段可自時間軸的左至右進行,在程序上以線來表示一氣體是否流動。
例如,在沈積循環210A的吹淨階段240A與280A(分別對應至圖1中進行的步驟103與107)期間流動氮氣(N2 )。在沈積循環210B的吹淨階段240B與280B(分別對應至圖1中進行的步驟103與107)期間流動氮氣。例如,TMA被顯示為在沈積循環210A之第一前驅物或TMA暴露階段220A(對應至圖1中進行的步驟101)期間流動的氣體。TMA亦在沈積循環210B之TMA暴露階段220B(對應至圖1中重覆步驟101)期間流動。如圖2中所示,可使氮氣或其他載氣與TMA一起流動。例如,氨氣被顯示為在沈積循環210A之第二前驅物或氨氣暴露階段260A(對應至圖1中進行的步驟105)期間流動的氣體。氨氣亦在沈積循環210B之氨氣暴露階段260B(對應至圖1中重覆步驟105)期間流動。在此處應注意,在第一沈積循環210A後,回應至圖1之步驟109的是,薄膜尚未被沈積至適當厚度,是以在第二沈積循環210B中重覆步驟101至107。
例如,一「配方」或單一沈積循環程序可始於,利用流率介於約15 sccm至500 sccm的N2 作為載氣暴露流率介於約10 sccm至350 sccm的TMA介於約7.5秒至約30秒。接著,可關閉TMA流並使氮氣以介於約0 sccm至約10,000 sccm的流率持續流動作為吹淨氣體吹淨約2秒。接著,可開啟氨氣(NH3 )流,利用流率介於約500 sccm至10 slm的氮氣作為載氣暴露流率介於約1 slm至10 slm的氨氣30秒。接著,氨氣可與已吸附以及氣相的TMA反應以形成氮化鋁薄膜。接著,可關閉氨氣流並使氮氣以介於約0 sccm至約10,000 sccm的流率持續流動作為吹淨氣體吹淨約6秒。可在約0.1 Torr的一壓力與介於約350°C至約400°C的一溫度下進行此例示性的沈積循環。一沈積循環如此處提供作為實例的沈積循環可被重覆直到沈積到期望厚度的薄膜。例如,氮化鋁薄膜可以介於約每循環約2.5Å至約8Å的沈積速度沈積。所得的氮化鋁薄膜可具有至少約90%或約100%的階梯覆蓋率且可取決於所進行的沈積循環的次數。
以文中方法所沈積的薄膜可導致介於每循環約1.5 Å至約10 Å 或每循環約2 Å至約5 Å的沈積速度或成長速度。在許多的實施例中,沈積薄膜為高度保形的且具有至少約80%或至少約90%或至少約99%或約100%的階梯覆蓋率。 此些程度的保形性以及沈積速度係表現在具有高深寬比(如約1:2或更大或約1:6或更大)及小尺寸(如約100 nm或更小或者約60 nm或更小的開口)的特徵部中。在許多的實施例中,藉所文中方法所沈積的薄膜導致較小或無圖案負載效應,其中圖案負載或「微負載」效應被定義為,在相同沈積條件下薄膜會以不同方式沈積在具有不同深寬比與不同區域結構密度的相同晶圓上的傾向。
在某些實施例中,可使用電漿。在使用電漿的實施例中,該方法可包含:(1)將基板暴露至一含金屬之前驅物(如含鋁前驅物)一段足以使前驅物吸附至基板表面上的時間;(2)吹淨該含金屬之前驅物一段不足以移除實質上所有氣相之含金屬之前驅物的時間;(3)將基板暴露至含氮或含氧前驅物並同時啟動電漿以在基板上形成金屬氮化物或金屬氧化物薄膜;(4)自氣相吹淨含氮前驅物;及(5)重覆(1)至(4)。在特定的實施例中,該含金屬的前驅物為TMA。在某些實施例中,藉由吹淨氣體如氮氣來進行吹淨。在某些實施例中,該含氮前驅物為氨氣。在許多的實施例中,電漿的射頻(RF)功率可介於約13.56 MHz至約40 MHz。對於一300 mm的晶圓而言,RF功率的範圍可介於每站約0 kW至約每站2.5 kW。在許多的實施例中,電漿具有介於基板之約0 Watts/cm2 至約3.54 Watts/cm2 的RF功率密度。在下列案件中提供了在保形薄膜沈積(CFD)製程中使用電漿的實例:2011年4月11日申請之美國專利申請案13/084,399以及2011年9月1日申請之美國專利申請案US 13/224,240,將其所有內容包含於此作為參考。
圖1中所示的方法可利用其他化學品施行。步驟101中之第一前驅物的實例包含含金屬化合物如含鋁前驅物如烷基鋁化合物如三甲基鋁(TMA)或氫化的二甲基鋁。在某些實施例中,含鋁前驅物為醋酸鋁、烷氧化物或鹵化鋁。一般而言,含金屬之前驅物包含在沈積條件下具有高蒸氣壓的有機金屬化合物如烷基金屬化合物以及金屬鹵化物。此類化合物以蒸氣狀態存在且能被輕易地輸送至基板並吸附於其上。文中所述的某些方法可適用於涉及有機金屬或鹵化物前驅物以及作為各種金屬系統之半反應物之氨氣/水(NH3 /H2 O)或臭氧(O3 )的熱ALD製程。金屬系統的實例包含鈦(Ti)、鉿(Hf)、鋯(Zr)、錳(Mn)、鎢(W)及鉭(Ta)。在步驟103與107所用之吹淨氣體的實例包含氮氣(N2 )、氬氣 (Ar)、氦氣(He)、氫氣(H2 )、氧氣(O2 )及其他氣體。在步驟105中第二前驅物的實例包含含氮前驅物如氨氣(NH3 )或三級丁胺(TBA)。第二前驅物的其他實例包含含氧前驅物如臭氧(O3 )、水蒸氣(H2 O)、甲醇(CH4 O)、乙醇(C2 H6 O)、過氧化物及其他者。可與前驅物一起流動的載氣的實例包含氬氣(Ar)、氦氣(He)及氮氣(N2 )。 設備
圖3A顯示具有用以維持低壓環境之製程室體302之原子層沈積(ALD)製程站300之一實施例的概圖。在一共同的低壓設備環境中可包含複數ALD製程站300。例如,圖4顯示多站製程設備400之一實施例。在某些實施例中,可藉由一或多個電腦控制器350以程式方式調整ALD 製程站300的一或多個硬體參數,此些硬體參數包含下面會詳細討論的硬體參數。
ALD 製程站300係與反應物輸送系統301a流體交流,反應物輸送系統301a係用以將製程氣體輸送至分散噴淋頭306。反應物輸送系統301a包含用以混合及/或調整欲輸送至噴淋頭306之製程氣體的混合容器304。一或多個混合容器入口閥320可控制製程氣體至混合容器304的導入。
圖3B顯示用以輸送反應物至噴淋頭306之另一反應物輸送系統301b的概圖。某些反應物如三甲基鋁(TMA)在蒸發且後續輸送至製程室體302之前可以液態儲存。在圖3B中,可自頂部空間372將被容納在儲槽370中之製程液體的蒸氣抽取至限制器362,限制器362可利用載氣將反應物輸送至製程室體302。儲槽可包含量規365。在某些實施例中,載氣可在製程液體儲槽370的上游俾使載氣將原先自頂部空間372所抽取之儲槽370中之製程液體的蒸氣推經限制器362的管道接著推至製程室體302。在許多的實施例中,載氣在將來自頂部空間372之蒸氣載帶至限制器362前可先流經質量流量控制器360。在使用載氣推動蒸氣的此些實施例中,流入製程室體302之蒸氣的流率可高於未使用載氣而直接自頂部空間372抽取蒸氣至混合容器304並至製程室體302的實施例中的蒸氣流率。
例如,圖3A的實施例包含用以蒸發欲供給至混合容器304之液體反應物的蒸發點303。在某些實施例中,蒸發點303可以是一經加熱的蒸發器。自此類蒸發器所產生的飽和反應物蒸氣可在下游輸送管線中凝結。不匹配之氣體暴露至已凝結的反應物可能會產生小粒子。此些小粒子可阻塞管線、阻礙閥件操作、污染基板等。解決此些問題的某些方法涉及吹淨及/或排空輸送管線以移除剩餘的反應物。然而,吹淨輸送管線可增加製程站循環時間、不利製程站產量。是以,在某些實施例中,可熱追蹤蒸發點303下游的輸送管線。在某些實例中,亦可熱追蹤混合容器304。在一非限制性的實例中,蒸發點303下游的管線具有較高溫度的輪廓自約100°C延伸至混合容器304處的約150°C。
在某些實施例中,液體前驅物或液體反應物可在液體注射器處蒸發。例如,液體注射器可將液體反應物的脈衝注射至混合容器上游的載氣流中。在一實施例中,液體注射器可藉著瞬間使液體自較高壓力變為較低壓力來蒸發反應物。在另一實例中,液體注射器可將液體原子化為分散微滴,此些分散微滴接著在經加熱的輸送管線中蒸發。較小的液滴比較大的液滴更快蒸發,因此可降低液體注射與完成蒸發之間的延遲。較快蒸發可減少蒸發點303下游之管線的長度。在一情況中,液體注射器可直接架設至混合容器304。在另一情況中,液體注射器可直接架設至噴淋頭306。
在某些實施例中,可提供蒸發點303上游的液流控制器(LFC)以控制蒸發及輸送至製程站300之液體的質量流量。例如,LFC可包含位於LFC下游的一熱質量流量計(MFM)。接著可調整LFC的柱塞閥以回應與MFM電交流之比例-積分-微分(PID)控制器所提供的反饋控制訊號。然而,利用反饋控制可能要花一秒或更久才能穩定液流。這可能會延長給劑液態反應物所用的時間。是以,在某些實施例中,LFC可動態地在反饋控制模式與直接控制模式之間切換。在某些實施例中,這可藉由使LFC與PID控制器的感測管失效來進行。
噴淋頭306朝向基板312分散製程氣體。在圖3A所示的實施例中,基板312係位於噴淋頭306下方且被顯示為座落於平臺308上。噴淋頭306可具有任何適合的形狀且可具有任何適合數目與配置的接口以將製程氣體分散至基板312。
在某些實施例中,微體積307係位於噴淋頭306下方。在微體積中進行文中實施例會比在製程站的整個體積中進行文中實施例更能降低反應物暴露與吹淨時間、更可降低轉換製程條件(如壓力、溫度等)的所需時間且更可限制製程站機器人被暴露至製程氣體等。微體積尺寸的實例可包含但不限於介於0.1升至2升的體積。這亦可影響製造產量。在某些實施例中,文中實施例並非在微體積中進行。
在某些實施例中,可舉升或降低平臺308以將基板312暴露至微體積307及/或改變微體積307的體積。例如,在一基板傳送階段中,可舉升平臺308以將基板 312置於微體積307中。在某些實施例中,微體積307可完全圍繞基板312以及平臺308的一部分以產生一高流動阻抗區域。
選擇性地,可在部分製程期間降低及/或舉升平臺308以調變微體積307內的製程壓力、反應物濃度等。在製程期間製程室體302被維持在一基礎壓力的一情況中,降低平臺308可使微體積307被排空。微體積之體積對製程室之體積的例示性比例可包含但不限於介於1:500至1:10。應明白,在某些實施例中,可藉由適合的電腦控制器350以程式方式調整平臺高度。
在另一情況中,調整平臺308的高度可變化電漿活化期間的電漿密度及/或製程中所包含的製程循環。在完成製程階段時,可在另一基板傳送階段期間降低平臺308以自平臺308移除基板312。
雖然文中所述之例示性微體積變化係指高度可調整之平臺,但應瞭解,在某些實施例中,可調整噴淋頭306相對於平臺308的位置以變化微體積307的體積。又,應瞭解,在本發明的範疇內可藉由任何適當的機構來變化平臺308及/或噴淋頭306的垂直位置。在某些實施例中,平臺308可包含用以旋轉基板312之位向的一旋轉軸。當明白,在某些實施例中,可藉由一或多個適合的電腦控制器350以程式方式進行此些例示性調整的一或多者。
在如上述使用電漿的某些實施例中,噴淋頭306與平臺308係與用以對電漿供給能量的射頻(RF)電源314與匹配網路316電交流。在某些實施例中,可藉著控制製程站壓力、氣體濃度、RF電源、RF源頻率及電漿功率脈衝時點中的一或多者來控制電漿能量。例如,可在任何適當的功率下操作RF電源314與匹配網路316以產生具有期望之自由基物種組成的電漿。類似地,RF電源314可提供具有任何適當頻率的RF功率。在某些實施例中,RF電源314可用以彼此獨立地控制高頻與低頻RF電源。低頻RF頻率的實例可包含但不限於介於50 kHz至500 kHz的頻率。高頻RF頻率可包含但不限於介於1.8 MHz至2.45 GHz的頻率。應明白,可以離散或連續的方式調變任何適當的參數以提供表面反應用的電漿功率。在一非限制性的實例中,相較於連續供能的電漿,可間歇地脈衝電漿功率以降低對基板表面的離子轟擊。
在某些實施例中,可藉由一或多個電漿監測器原位監測電漿。在一情況中,可藉由一或多個電壓、電流感測器(如VI探針)監測電漿功率。在另一情況中,可藉由一或多個光發射光譜感測器(OES)量測電漿密度及/或製程氣體濃度。在某些實施例中,可基於來自此類原位電漿監測器的量測以程式方式調整一或多個電漿參數。例如,可在提供電漿功率之程式化控制的反饋迴路中使用OES感測器。應瞭解,在某些實施例中,可使用其他監測器監測電漿與其他製程特性。此類監測器可包含但不限於紅外線(IR)監測器、聲學監測器及壓力傳感器。
在某些實施例中,控制器350用的指令可藉由輸入/輸出控制(IOC)序列指令加以提供。在一實例中,可將用以設定製程階段之條件的指令包含在製程配方的對應配方階段中。在某些實例中,製程配方階段可依順序配置,故一製程階段的所有指令係與該製程階段同步執行。在某些實施例中,可將用以設定一或多個反應器參數的指令包含於一配方階段中。例如,一第一配方階段可包含用以設定惰性氣體及/或反應物氣體(如第一前驅物如TMA)之流率的指令以及第一配方階段用的時間延遲指令。一接續的第二配方階段可包含用以調變或停止惰性氣體及/或反應物氣體之流率的指令、用以調變載氣或吹淨氣體之流率的指令以及第二配方階段用的時間延遲指令。一第三配方階段可包含用以設定惰性氣體及/或反應物氣體(可與第一配方階段所用的氣體相同或不同,如第二前驅物如氨氣)之流率的指令、用以調變載氣流率的指令以及第三配方階段用的時間延遲指令。第四配方階段可包含用以調變或停止惰性氣體及/或反應物氣體之流率的指令、用以調變載氣或吹淨氣體之流率的指令以及第四配方階段用的時間延遲指令。應明白,在本發明的範疇內此些配方階段可以任何適合的方式被更進一步地分割及/或重覆。
在某些實施例中,可藉由加熱器310對平臺308進行溫度控制。又,在某些實施例中,可藉由蝶閥318對製程站300提供壓力控制。如圖3之實施例所示,碟閥318抑制下游真空泵浦(未顯示)所提供的真空。然而,在某些實施例中,亦可藉由變化被導入製程站300之一或多種氣體的流率來調整製程站300的壓力控制。
如上所述,可將一或多個製程站包含於於一多站製程設備中。圖4顯示具有入口加載互鎖機構402與出口加載互鎖機構404之多站製程設備400之一實施例的概圖,入口加載互鎖機構402與出口加載互鎖機構404的其中一者或兩者可包含遠端電漿源。大氣壓力下的機器人406係用以移動來自晶圓盒的複數晶圓,晶圓係經由艙408藉由大氣接口410而被載入入口加載互鎖機構402中。機器人406將晶圓放置到入口加載互鎖機構402中的平臺412上,大氣接口410關閉,然後加載互鎖機構被泵抽。在入口加載互鎖機構402包含遠端電漿源的情況下,晶圓在被導入製程室414前可被曝露至加載互鎖機構中的遠端電漿處理。又,晶圓亦可在入口加載互鎖機構402中受到加熱以例如移除水氣及被吸附的氣體。接下來,腔室傳送接口416對製程室414開放,另一機器人(未顯示)將晶圓放置到反應器中被顯示為製程用之反應器中之第一站的平臺上。雖然圖4所示的實施例包含加載互鎖機構,但應瞭解,在某些實施例中,可將晶圓直接導入製程站中。
所示之製程室414包含四個製程站,這四個製程站在圖4的實施例中被標號為1至4。每一站具有一經加熱的平臺(顯示於站1的418處)以及複數氣體線入口。應瞭解,在某些實施例中,每一製程站可具有不同或複數的用途。例如,在某些實施例中,一製程站可在ALD模式與電漿輔助ALD製程模式之間切換。額外地或或者,在某些實施例中,製程室414可包含一或多個匹配成對的ALD與電漿輔助ALD複數製程站。雖然所示的製程室414包含四個站,但當瞭解,根據本發明的製程室可具有任何適當數目的站點。例如,在某些實施例中,一製程室可具有五或更多的站點,在其他的實施例中一製程室可具有三或更少的站點。
圖4亦顯示在製程室414中用以傳送晶圓之晶圓搬運系統490的一實施例。在某些實施例中,晶圓搬運系統490可在各種製程站點之間及/或在一製程站與加載互鎖機構之間傳送晶圓。應瞭解,可使用任何適合的晶圓搬運系統。非限制性的實例包含晶圓傳送盤與晶圓搬運機器人。圖4亦顯示用以控制製程設備400之製程條件與硬體狀態之系統控制器450的一實施例。系統控制器450可包含一或多個記憶體裝置456、一或多個大量儲存裝置454及一或多個處理器452。處理器452可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進機馬達控制器板等。
在某些實施例中,系統控制器450控制製程設備400的所有活動。系統控制器450執行被儲存在大量儲存裝置454中、被載入記憶體裝置456中並在處理器452上執行的系統控制軟體458。或者,可將控制邏輯硬體編碼至控制器450中。對於此些目的可使用特殊應用積體電路、可編輯邏輯裝置(如現場可編輯閘極陣列或FPGA)等。在下面的討論中,在使用「軟體」或「碼」處可使用功能性相匹配的硬體編碼邏輯來代替。系統控制軟體458可包含用以控制下列者的複數指令:時序、氣體混合物、次飽和氣體流的量、製程室及/或站的壓力、製程室及/或站的溫度、晶圓溫度、目標的功率位準、RF功率位準、基板平臺、夾頭及/或支撐件的位置及製程設備400所進行之特定製程的其他參數。系統控制軟體458可以任何適當的方式配置。例如,可撰寫各種製程設備元件的子程式或控制物件以控制施行各種製程設備製程所需之製程設備元件的操作。可以任何適當的電腦可讀程式語言編碼系統控制軟體458。
在某些實施例中,系統控制軟體458可包含用以控制上述各種參數的輸入/輸出(IOC)序列指令。在某些實施例中,可施行被儲存在與系統控制器450相關之大量儲存裝置454及/或記憶體裝置456上的其他電腦軟體及/或程式。此目的用之程式或程式段落的實例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式及電漿控制程式。
基板定位程式可包含製程設備元件用的程式碼,此些程式碼係用以將基板載至平臺418上並控制基板與製程設備400之其他部件之間的距離。
製程氣體控制程式可包含用於下列者之程式碼:控制氣體組成(如文中所述之TMA 、氨氣與吹淨氣體)與流率並在沈積之前選擇性地使氣體流入一或多個製程站以穩定製程站中的壓力。壓力控制程式可包含用於下列者之指令:藉著調節例如製程站之排放系統中的節流閥、流入製程站中的氣體流以控制製程站中的壓力。
加熱器控制程式可包含用以控制流至用以加熱基板之加熱單元之電流的程式碼。或者,加熱器控制程式可控制輸送至基板之加熱傳輸氣體(如氦氣)的輸送。
電漿控制程式可包含用以根據文中實施例設定一或多個製程站中施加至製程電極之RF功率位準的程式碼。
壓力控制程式可包含用以根據文中實施例維持反應室中之壓力的程式碼。
在某些實施例中,可具有與系統控制器450相關的使用者介面。使用者介面可包含顯示螢幕、該設備及/或製程條件的圖形化軟體顯示及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕、麥克風等。
在某些實施例中,系統控制器450所調整的參數可與製程條件相關。非限制性實例包含處理氣體組成與流率、溫度、壓力、電漿條件(如RF偏壓功率位準)等。此些參數可以配方的形式提供予使用者,使用者可利用使用者介面來加以輸入此些參數。
用以監測製程的訊號可來自各種製程設備感測器並藉由系統控制器450的類比及/或數位輸入連接件所提供。用以控制製程的訊號可在製程設備400的類比與數位輸出連接件上輸出。可被監控之製程設備感測器的非限制性實例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化的反饋與控制演算法可與來自此些感測器的數據一起使用以維持製程條件。
系統控制器450可提供用以施行上述沈積製程的程式指令。程式指令可控制各種製程參數如DC功率位準、RF偏壓功率位準、壓力、溫度等。指令可控制此些參數以進行根據文中各種實施例之薄膜疊層的原位沈積。
系統控制器通常包含一或多個記憶體裝置及用以執行指令俾使設備施行根據本發明之方法的一或多個處理器。可將包含了用以控制根據本發明之製程操作之指令的機器可讀媒體耦合至系統控制器。
更進一步地在下列的美國專利申請案中討論與說明用以施行文中方法的適當設備:2011年4月11日申請且名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」的美國專利申請案US 13/084,399;及2011年4月11日申請且名為「SILICON NITRIDE FILMS AND METHODS」的美國專利申請案US 13/084,305,將上述每一者的所有內容包含於此作為參考。
文中所述的設備/方法可與微影圖案化設備或製程一起使用,例如用以製造半導體裝置、顯示器、LEDs、光伏面板等的微影圖案化設備或製程。一般而言,雖然沒有必要,但此些設備/製程會在一共同的製造廠房中一起使用或進行。薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至工作件即基板上;(2)利用熱板、爐管或UV固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。 實驗 實驗1
進行實驗以評估利用文中實施例之方法沈積特徵部之階梯覆蓋率。在此實驗中,利用7.5秒之三甲基鋁(TMA)暴露、2秒吹淨、30秒之氨氣(NH3 )暴露及6秒吹淨的循環,在350°C的溫度與0.1 Torr的壓力下將氮化鋁層沈積在具有特徵部之一金屬介電基板上。反應為完全的熱反應,未啟動任何電漿。
階梯覆蓋率的量測與計算結果係顯示於下表1中。
圖5為基板上經沈積之氮化鋁薄膜的影像。氮化鋁的平均沈積速度為每循環約3.3 Å且達到100%的保形性。 實驗2
進行實驗以評估是否因為實施文中實施例而造成圖案負載效應。在此實驗中,利用7.5秒之三甲基鋁(TMA)暴露、2秒吹淨、30秒之氨氣(NH3 )暴露及6秒吹淨的循環,在350°C的溫度與0.1 Torr的壓力下將氮化鋁層沈積在具有特徵部之一金屬介電基板上。反應為完全的熱反應,未啟動任何電漿。圖5為濕式蝕刻測試或浸泡前經沈積之氮化鋁薄膜的影像。實驗係在具有2.5:1與6:1之深寬比的基板上以及平坦或無圖案的基板上進行。階梯覆蓋率的量測與計算結果係顯示於下表2中。
圖5為在深寬比為2.5:1之特徵部上經沈積之氮化鋁薄膜的影像。圖6A為在深寬比為6:1之特徵部上經沈積之氮化鋁薄膜的影像。圖6B顯示在平坦基板上經沈積之氮化鋁薄膜的影像。利用文中實施例沈積之膜層仍對深寬比上至約1:6 的特徵部具有保形性且未觀察到任何圖案負載效應。 實驗3
進行實驗以評估根據各種實施例之沈積薄膜的薄膜品質。在此實驗中,利用7.5秒之三甲基鋁(TMA)暴露、2秒吹淨、30秒之氨氣(NH3 )暴露及6秒吹淨的循環,在350°C的溫度與0.1 Torr的壓力下將氮化鋁層沈積在具有特徵部之一金屬介電基板上。反應為完全的熱反應,未啟動任何電漿。圖5為濕式蝕刻測試或浸泡前經沈積之氮化鋁薄膜的影像。
接著,利用50°C下的標準清理溶液使基板受到SC2濕式蝕刻浸泡25秒。SC2標準蝕刻劑/清理溶液的組成包含組成比例為1:1:5的HCl、H2 O2 與H2 O。下列表面顯示階梯覆蓋率的量測與計算結果。
圖7為濕式蝕刻測試後經蝕刻之氮化鋁薄膜的影像。如所示,遍及特徵部的表面處蝕刻是均勻的。計算得到之側壁對頂部蝕刻率比約為0.97。薄膜品質係與傳統ALD的品質相當。即便在濕式蝕刻浸泡後,沈積薄膜的階梯覆蓋率仍約為100%,藉此表示在沈積薄膜各處薄膜蝕刻均勻且蝕刻率一致。結果亦顯示,雖然在沈積期間具有類CVD反應,側壁上的薄膜品質係與結構之頂部與底部之平坦區域中的薄膜品質相同。這暗示著在表面處與氣相處的薄膜品質係相同或類似。 結論
雖然為了清楚瞭解的目的已詳細地說明前述實施例,但應明白,在隨附申請專利範圍的範疇內可作某些變化及修改。應注意,有許多施行本案實施例之製程、系統與設備的替代方案。因此,本案實施例應被視為說明性而非限制性,且實施例並不限於文中所列的細節。
101‧‧‧步驟
103‧‧‧步驟
105‧‧‧步驟
107‧‧‧步驟
109‧‧‧步驟
210A‧‧‧循環
210B‧‧‧循環
220A‧‧‧步驟
220B‧‧‧步驟
240A‧‧‧步驟
240B‧‧‧步驟
280A‧‧‧步驟
280B‧‧‧步驟
300‧‧‧製程站
301a‧‧‧反應物輸送系統
301b‧‧‧反應物輸送系統
302‧‧‧製程室體
303‧‧‧蒸發點
304‧‧‧混合容器
306‧‧‧噴淋頭
307‧‧‧微體積
308‧‧‧平臺
310‧‧‧加熱器
312‧‧‧基板
314‧‧‧電源
316‧‧‧匹配網路
318‧‧‧蝶閥
350‧‧‧控制器
362‧‧‧限制器
365‧‧‧量規
370‧‧‧儲槽
372‧‧‧頂部空間
400‧‧‧多站製程設備
402‧‧‧入口加載互鎖機構
404‧‧‧出口加載互鎖機構
406‧‧‧機器人
408‧‧‧艙
410‧‧‧大氣接口
412‧‧‧平臺
414‧‧‧製程室
416‧‧‧傳送接口
418‧‧‧平臺
450‧‧‧系統控制器
452‧‧‧處理器
454‧‧‧大量儲存裝置
456‧‧‧記憶體裝置
458‧‧‧系統控制軟體
490‧‧‧晶圓搬運系統
圖1為根據文中實施例之氮化鋁沈積方法的製程流程圖。
圖2為根據文中實施例之脈衝的時序圖。
圖3A與3B概略地顯示用以施行各種實施例之一反應室的一實例。
圖4為用以施行各種實施例之一設備之一實例的概圖。
圖5、6A、6B與7為根據文中實施例之實驗之沈積薄膜的影像。

Claims (19)

  1. 一種在反應室中處理具有特徵部之半導體基板的方法,包含:(a)使基板暴露至一含鋁前驅物一段時間,此段時間足以使該含鋁前驅物實質上吸附至該基板的一表面;(b)自該反應室吹淨該含鋁前驅物一段時間,此段時間不足以自氣相移除實質上所有的該含鋁前驅物;(c)將該基板暴露至一含氮前驅物一段時間,此段時間足以驅動一熱媒反應而在該基板之該表面上形成一氮化鋁層,其中該氮化鋁層實質上對該基板具有保形性且具有約1.5Å或更高的厚度;(d)自該反應室吹淨氣相之該含氮前驅物;及(e)重覆步驟(a)至(d)。
  2. 如申請專利範圍第1項之在反應室中處理具有特徵部之半導體基板的方法,其中該氮化鋁層具有至少約80%的階梯覆蓋率。
  3. 如申請專利範圍第1項之在反應室中處理具有特徵部之半導體基板的方法,其中該基板係在約250℃至約450℃間的一處理溫度下受到處理。
  4. 如申請專利範圍第1項之在反應室中處理具有特徵部之半導體基板的方法,其中該基板係在約0.01Torr至約10Torr間的一壓力下受到處理。
  5. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中該含鋁前驅物為三甲基鋁(TMA)。
  6. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中該含氮前驅物為氨氣(NH3)。
  7. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中吹淨該含鋁前驅物之步驟更包含流動氮氣(N2),且吹淨該含氮前驅物之步驟更包含流動氮氣(N2)。
  8. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中吹淨該含鋁前驅物約2秒。
  9. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中該基板被暴露至該含鋁前驅物約7.5秒至約30秒。
  10. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中該基板被暴露至該含鋁前驅物的時間與該含鋁前驅物受到吹淨的時間的比值係介於約3.75:1至約15:1之間。
  11. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中該處理實質上不會造成圖案負載效應。
  12. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中在步驟(a)至(d)之一循環期間所沈積之氮化鋁的量至少約為2Å。
  13. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中在步驟(a)至(d)之一循環期間所沈積之氮化鋁的量至少約為5Å。
  14. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中該基板的該特徵部具有至少約2:1的深寬比。
  15. 如申請專利範圍第1-4項中任一項之在反應室中處理具有特徵部之半導體基板的方法,其中該基板的該特徵部具有小於約100nm的開口。
  16. 一種用以在基板表面沈積薄膜的設備,此設備包含:一反應室,包含用以支撐基板的一平臺; 至少一出口,用以耦合至一真空;一或多個製程氣體入口,耦合至兩或更多前驅物源;及一控制器,用以控制該設備中的操作,此控制器包含用於下列操作之機器可讀指令:(a)將一第一前驅物導入該反應室中一段時間,此段時間足以使該第一前驅物實質上吸附至該基板的一表面;(b)致使吹淨該反應室一段時間,此段時間不足以自氣相移除實質上所有的該第一前驅物;(c)將一第二前驅物導入至該反應室中一段時間,此段時間足以在該基板表面形成具有約1.5Å或更高的厚度之一膜層;(d)致使吹淨該反應室一段時間,此段時間足以自氣相移除該第二前驅物;及(e)重覆步驟(a)至(d)。
  17. 如申請專利範圍第16項之用以在基板表面沈積薄膜的設備,其中該控制器更包含用於下列操作的指令:進行操作(a)的時間為進行操作(b)的時間之約3.75至約15倍。
  18. 如申請專利範圍第16及17項中任一項之用以在基板表面沈積薄膜的設備,其中導入該第一前驅物之操作包含自該第一前驅物的一儲槽的頂部空間抽取該第一前驅物至該反應室。
  19. 如申請專利範圍第18項之用以在基板表面沈積薄膜的設備,其中導入該第一前驅物之操作更包含在自該頂部空間抽取該第一前驅物的下游與該反應室的上游使一載氣與該第一前驅物一起流動。
TW104104471A 2014-02-18 2015-02-11 保形氮化鋁的高成長速度製程 TWI646212B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/183,287 2014-02-18
US14/183,287 US9214334B2 (en) 2014-02-18 2014-02-18 High growth rate process for conformal aluminum nitride

Publications (2)

Publication Number Publication Date
TW201544619A TW201544619A (zh) 2015-12-01
TWI646212B true TWI646212B (zh) 2019-01-01

Family

ID=53798704

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104104471A TWI646212B (zh) 2014-02-18 2015-02-11 保形氮化鋁的高成長速度製程

Country Status (6)

Country Link
US (2) US9214334B2 (zh)
JP (1) JP6038975B2 (zh)
KR (1) KR101701024B1 (zh)
CN (1) CN104851796B (zh)
SG (2) SG10201501134UA (zh)
TW (1) TWI646212B (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9190489B1 (en) 2014-09-08 2015-11-17 Lam Research Corporation Sacrificial pre-metal dielectric for self-aligned contact scheme
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
JP6436887B2 (ja) * 2015-09-30 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
FR3043699B1 (fr) * 2015-11-16 2019-06-14 Kobus Sas Procede de formation d'oxyde et/ou de nitrure d'aluminium et dispositif pour la mise en oeuvre d'un tel procede
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN110224020A (zh) * 2019-05-28 2019-09-10 苏州汉骅半导体有限公司 制造高质量和高均匀性iii族氮化物外延结构的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20040219784A1 (en) * 2001-07-19 2004-11-04 Sang-Bom Kang Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20070231487A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US20130252437A1 (en) * 2012-03-21 2013-09-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium

Family Cites Families (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) * 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6689844B2 (en) 2001-05-29 2004-02-10 Rohmax Additives Gmbh Process for synthesis of polymer compositions with reduced halogen content, polymer composition with reduced halogen content as well as use of this composition
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN102191483B (zh) 2003-04-23 2012-10-03 艾克斯特朗公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) * 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR20080106984A (ko) 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP5692085B2 (ja) 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
JP5940079B2 (ja) 2010-11-10 2016-06-29 ナノシス・インク. ディスプレイバックライトユニット及びディスプレイバックライトユニットの形成方法
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US9194041B2 (en) * 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same
KR20130056608A (ko) * 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5843318B2 (ja) * 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8846550B1 (en) * 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20040219784A1 (en) * 2001-07-19 2004-11-04 Sang-Bom Kang Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20070231487A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US20130252437A1 (en) * 2012-03-21 2013-09-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium

Also Published As

Publication number Publication date
KR20150097410A (ko) 2015-08-26
US20160064211A1 (en) 2016-03-03
TW201544619A (zh) 2015-12-01
KR101701024B1 (ko) 2017-01-31
CN104851796A (zh) 2015-08-19
SG10201501134UA (en) 2015-09-29
JP2015159282A (ja) 2015-09-03
JP6038975B2 (ja) 2016-12-07
CN104851796B (zh) 2017-10-24
SG10201606551TA (en) 2016-09-29
US20150235835A1 (en) 2015-08-20
US9214334B2 (en) 2015-12-15

Similar Documents

Publication Publication Date Title
TWI646212B (zh) 保形氮化鋁的高成長速度製程
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US10903071B2 (en) Selective deposition of silicon oxide
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
TWI587391B (zh) 用於溝塡之保形膜沉積
KR102489449B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
US9478411B2 (en) Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US20170009346A1 (en) Multi-cycle ald process for film uniformity and thickness profile modulation
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積
TW201740465A (zh) 用以圖案化非揮發性金屬的腔室
US11987876B2 (en) Chamfer-less via integration scheme
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US20220005694A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
US20210395885A1 (en) Throughput improvement with interval conditioning purging
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積