CN104851796A - 用于保形氮化铝的高增长速率的工艺 - Google Patents

用于保形氮化铝的高增长速率的工艺 Download PDF

Info

Publication number
CN104851796A
CN104851796A CN201510086588.1A CN201510086588A CN104851796A CN 104851796 A CN104851796 A CN 104851796A CN 201510086588 A CN201510086588 A CN 201510086588A CN 104851796 A CN104851796 A CN 104851796A
Authority
CN
China
Prior art keywords
precursor
substrate
time
cleaning
enough
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510086588.1A
Other languages
English (en)
Other versions
CN104851796B (zh
Inventor
尚卡尔·斯娃米纳森
阿南德·班尔及
纳格拉杰·尚卡尔
阿德里安·拉瓦伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104851796A publication Critical patent/CN104851796A/zh
Application granted granted Critical
Publication of CN104851796B publication Critical patent/CN104851796B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及用于保形氮化铝的高增长速率的工艺,具体提供了在半导体衬底上沉积保形氮化铝膜的方法。该方法包括:(a)将衬底暴露于含铝前体;(b)清扫所述含铝前体持续不足以基本上去除气相中的所有的所述含铝前体的时间;(c)将衬底暴露于含氮前体以形成氮化铝;(d)清扫含氮前体;以及(e)重复(a)至(d)。获得提高的增长速率和100%的台阶覆盖率和保形性。

Description

用于保形氮化铝的高增长速率的工艺
技术领域
本发明涉及半导体处理领域,具体涉及处理半导体衬底的方法和装置。
背景技术
半导体器件的各种薄膜层可利用原子层沉积(ALD)工艺沉积。但是,现有的ALD工艺可能不适合用于高度保形的电介质膜沉积。例如,许多现有的ALD工艺不能同时提供高产率(快速沉积)和高保形性。
发明内容
本发明提供了在半导体衬底上沉积保形氮化铝和例如其它金属氮化物和金属氧化物等其它材料的方法。
一方面涉及一种在反应室中处理具有特征的半导体衬底的方法。该方法包括:(a)将衬底暴露于含铝前体持续足以使其基本上吸附到所述衬底的表面上的时间;(b)从所述反应室清扫所述含铝前体持续不足以从气相基本上去除所有的所述含铝前体的时间;(c)将衬底暴露于含氮前体持续足以驱动热介导的反应以在所述衬底的表面上形成氮化铝层的时间,使得所述氮化铝层对于所述衬底基本上是保形的并具有约或更大的厚度;(d)从所述反应室清扫气相中的所述含氮前体;以及(e)重复(a)至(d)。在一些实施方式中,在(a)至(d)的循环期间沉积的氮化铝的量为至少约 在一些实施方式中,在(a)至(d)的循环期间沉积的氮化铝的量为至少约在一些实施方式中,所述氮化铝层具有至少约80%的台阶覆盖率。在多种实施方式中,在介于约250℃和约450℃之间的工艺温度下处理所述衬底。可以在介于约0.01乇至约10乇之间的压强下处理所述衬底。
在许多实施方式中,所述含铝前体是三甲基铝(TMA)。在许多实施方式中,所述含氮前体是氨(NH3)。在一些实施方式中,使氮气(N2)流动以清扫所述含铝前体,并使氮气(N2)流动以清扫所述含氮前体。在许多实施方式中,所述含铝前体被清扫持续约2秒。在许多实施方式中,衬底暴露于所述含铝前体持续约7.5秒至约30秒。在多种实施方式中,所述衬底暴露于所述含铝前体的时间比清扫所述含铝前体的时间的比率介于约3.75:1至约15:1之间。
在一些实施方式中,所述衬底的所述特征具有至少约2:1的深宽比。在一些实施方式中,所述衬底的所述特征具有小于约100nm的开口。在多种实施方式中,处理显示实质上无图案加载。
另一方面涉及一种用于在衬底表面上沉积膜的装置,该装置包括:反应室,其包括用于保持所述衬底的基座;用于耦合到真空的至少一个出口;耦合到两个或更多个前体源的一个或多个工艺气体入口;和控制器,其用于控制所述装置中的操作。所述控制器包括用于下述操作的机器可读指令:(a)将第一前体引入所述反应室持续足以使所述第一前体基本上吸附到所述衬底的所述表面上的时间;(b)清扫所述反应室持续不足以从气相基本上去除所有的所述第一前体的时间;(c)将第二前体引入所述反应室持续足以在所述衬底表面上形成层的时间,使得所述层对于所述衬底基本上是保形的并具有约或更大的厚度;(d)清扫所述反应室持续足以从气相去除所述第二前体的时间;以及(e)重复(a)至(d)。
在一些实施方式中,所述控制器还包括用于执行(a)持续的时间比用于执行(b)持续的时间长约3.75至约15倍的指令。在多种实施方式中,用于引入所述第一前体的指令包括将所述第一前体从所述第一前体的贮存器的顶部空间抽吸到所述室。在一些实施方式中,用于引入所述第一前体的指令还包括使带有所述第一前体的载气在对所述顶部空间的所述第一前体的抽吸的下游以及在所述反应室的上游流动。
这些方面以及其它方面在下面参照附图进一步描述。
附图说明
图1是根据所公开的实施方式的沉积氮化铝的方法的工艺流程图。
图2是根据所公开的实施方式的脉冲的时序图。
图3A和图3B是用于实施各种实施方式的室的实施例的示意图。
图4是用于实施各种实施方式的装置的实施例的示意图。
图5、图6A、图6B、和图7是根据所公开的实施方式的实验示出的所沉积的膜的图像。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对提出的实施方式的透彻理解。所公开的实施方式可以在没有这些具体细节的一些或所有的情况下实施。在其它情况下,未详细描述公知的方法操作以便不会不必要地模糊所公开的实施方式。尽管将会结合具体实施方式描述所公开的实施方式,但是应当理解,这些实施方式并不旨在限制所公开的实施方式。
半导体器件的制造通常涉及在集成制造工艺中的非平坦结构上沉积一个或多个薄膜。在集成工艺中的一些方面,沉积与衬底形貌一致的薄膜会是有用的。例如,一些前道工艺可能涉及保形膜的沉积。示例性的衬底可以包括具有深宽比为至少约2:1、或至少约4:1、或至少约6:1、或至少约10:1的特征的衬底。用于前道工艺的保形膜的实例包括硬掩模、蚀刻停止和封装层。使用这种膜制造的前道结构包括晶体管(例如,鳍式场效应晶体管)和含金属的存储器设备。
原子层沉积(ALD)工艺使用表面介导的沉积反应以逐层地沉积膜。在ALD工艺的一个实施例中,包括表面活性位点群的衬底表面暴露于气相分布的一定剂量的第一前体。该第一前体的一些分子可以在衬底表面上形成凝结相,包括第一前体的化学吸附物质和/或物理吸附的分子。然后将反应器抽空以除去气相的第一前体,以便仅仅被吸附物质保留。第二前体可以随后被引入到反应器中,使得这些分子中的一些吸附到衬底表面。反应器然后可以再次抽空以除去未被结合的第二前体分子。热能可激活第一前体和第二前体之间的表面反应以形成膜层。在一些工艺中,第二前体与被吸附的第一前体立即反应。在其它实施方式中,第二前体仅在暂时使用激活源后才反应。额外的ALD循环可以被用于构建膜厚度。
传统的ALD工艺(如上文描述的那一种)形成高度保形的膜。膜的保形性往往是通过台阶覆盖率来度量。台阶覆盖率可以通过比较在特征的底部、侧壁、或顶部上的所沉积的膜的平均厚度与在特征的底部、侧壁、或顶部上的所沉积的膜的平均厚度来计算。例如,可以通过将侧壁上的所沉积的膜的平均厚度除以在特征的顶部所沉积的膜的平均厚度并乘以100以获得百分数来计算台阶覆盖率。传统的ALD工艺可以沉积具有接近100%的台阶覆盖率的膜。
然而,尽管所沉积的膜是高度保形的,但常规的ALD工艺具有低的沉积增长速率,诸如,例如,每个循环沉积的氮化铝介于约之间,或每个循环沉积的氮化铝不到一个单层。较低的增长速率导致了较低的生产效率,从而导致较低的产率。
使用化学气相沉积(CVD)和物理气相沉积(PVD)观察到较高的沉积增长速率。然而,在这些工艺中,沉积的膜具有低的保形性,台阶覆盖率介于约50%至约70%之间。这样,现有的工艺不能沉积同时具有高增长速率和高保形性的保形膜。
本发明提供了以高的增长速率沉积高度保形薄膜的方法。所述方法涉及结合类CVD反应(CVD-like reactions)与ALD表面反应的改性的ALD法。类CVD条件因没有显著的保形性损失而被提倡。所述方法可以涉及低的清扫比投配(purge to dose)的比率,所沉积的膜的形成很大程度上通过热介导的反应而不是通过等离子体激活的反应来驱动。与常规的ALD法相比,所沉积的膜会表现出高约4至约7倍的高增长速率,从而提高了产率并降低了处理衬底的成本。所述方法还表现出高度保形的沉积膜,台阶覆盖率显著大于70%,例如约100%。
可以执行这些方法以沉积适合用作保形硬掩模、蚀刻停止膜、封装膜、或一个或多个堆栈(例如栅极)层、存储器堆栈(例如,磁性RAM堆栈)、或其它合适的半导体器件结构的膜。在一些情况下,所沉积的膜封装包括栅电极和/或栅极电介质的栅极结构。在一些实施方式中,所沉积的膜封装磁性存储器堆栈。所公开的方法可以在具有如通孔或接触孔之类的“特征”的衬底上进行,该特征可以表征为一个或多个狭窄的和/或内凹的开口、特征内的收缩部和高深宽比。特征的一个例子是在半导体衬底中或者该衬底上的层中的孔或通孔。另一个例子是在衬底或层中的沟槽。衬底可以是硅晶片,例如,200mm的晶片、300mm的晶片、或450mm的晶片,包括上面沉积具有一个或多个材料层的晶片,该材料如电介质材料、导电材料或半导电材料。特征可以在这些层中的一层或多层中形成。在一些实施方式中,特征可以具有至少约2:1、至少约4:1、至少约6:1、至少约10:1、或更高的深宽比。特征也可具有在开口附近的这样的尺寸,即例如开口直径或线宽度介于约10nm至500nm之间(例如介于约25nm与约300nm之间)。所公开的方法可以在具有小于约150nm的开口的特征的衬底上执行。特征的通孔或沟槽可以被称为未填充的特征或可以被称为特征。
特征可具有从特征的底部、封闭端、或内部到特征开口变窄的内凹轮廓。在多种实施方式中,特征可以具有下位层,例如阻挡层或粘附层。下位层的非限制性实例包括介电层和导电层,例如,硅氧化物层、硅氮化物层、硅碳化物层、金属氧化物层、金属氮化物层、金属碳化物层和金属层。在某些实施方式中,下位层可以是氮化钛(TiN)、钛金属(Ti)、氮化钨(WN)、铝化钛(TiAl)或钛氧化物(TiOx)。在多种实施方式中,下位层可以是介电层,诸如氧化物、或氮化物、或氧氮化物。介电层的例子包括氧化硅、氮化硅、氮氧化硅、以及其它。
在许多实施方式中,所公开的方法可以在介于约250℃至约450℃,或约350℃至约400℃之间的温度下进行。在一般情况下,较高的沉积温度导致较高的沉积速率。在多种实施方式中,该方法可在介于约0.01乇和约10乇之间的压强下,或在介于约0.1乇和约1乇的压强下进行。较高的压强导致沉积空间中存在的反应物量较大,从而可提高沉积速率。所描述的方法主要通过热反应工艺驱动。在以下示例中,提供了用于180L反应室的流率。在一些情况下,根据不同的反应器结构,流率可以被调整以适应不同的容积。
图1是根据特定实施方式的沉积薄的保形膜的方法的工艺流程图。需要注意的是,所提出的以下化学过程是仅仅说明所公开的实施方式的示例。拟进行处理的衬底可以是在沉积室或沉积站中。在操作101中,衬底被暴露于第一前体-例如,含铝前体,如有机铝化合物。在一些实施方式中,含铝前体是烷基铝化合物,如三甲基铝(TMA)或氢化二甲基铝。在一些实施方式中,含铝前体是乙酸铝、烷氧基铝、或卤化铝。在许多实施方式中,暴露时间或期间足以在衬底表面上形成基本上完全饱和或吸附的层。在某些实施方式中,这种配料的暴露时间可介于约5秒和约60秒之间,如,在约7.5秒和约30秒之间。在某些实施方式中,TMA的流率可以在约10sccm至约350sccm的范围内。使衬底与反应物(例如,含铝前体)接触的工艺有时被称为“投配”。
在一些实施方式中,操作101可以通过直接从TMA源的顶部空间经由连接到放置有衬底的沉积室的管线抽取TMA来执行,其中TMA源可以是TMA的贮存器。
在一些实施方式中,操作101可通过使用载气从顶部空间引入TMA来执行,载气从TMA源的下游通过喷头引入室。载气可以是在TMA源的下游,在室或喷头的上游。在许多实施方式中,载气为惰性气体。在一些实施方式中,载气可以是氮气(N2)、氩(Ar)、氢气(H2)、或氦(He)。在一些实施方式中,载气的流率可以介于约50sccm和约1000sccm之间。当载气用来使衬底暴露于TMA时,对于介于约150sccm和约950sccm之间的载气流量,TMA的总流率可以是较高的,例如纯的TMA蒸气介于约10sccm和约200sccm之间。在一些实施方式中,TMA的总流率可以是较低的。
在操作103中,室或站被清扫持续不足以完全清除气相中的含铝前体的时间。在许多实施方式中,清扫室或站是通过使清扫气体(诸如,例如,氮气(N2))流动来进行的。在某些实施方式中,所述清扫气体的流率为介于约15sccm和约500sccm之间。清扫气体在第一前体流停止后引入。清扫时间或清扫的持续时间可能不足以完全清除气相中的含铝前体,使得同时存在来自操作101的表面吸附以及在反应空间中的不在衬底的表面上气相中的或松散地粘附到衬底上的残余的含铝前体。在许多实施方式中,清扫时间比投配时间的比例(例如操作102的时间比操作101的时间的比例)例如可以为介于约3:1和约20:1之间,例如,介于约3.75:1和约15:1之间。在一些实施方式中,清扫时间少于约5秒,例如介于约0.1秒和约5秒之间,或约2秒。在一些实施方式中,在操作103的清扫可以通过抽空反应室来实现。
在操作105中,将衬底暴露于第二前体(或作为示例,暴露于含氮前体)持续足以通过热反应在衬底的表面上形成例如氮化铝层的时间。在某些实施方式中,含氮的前体是氨(NH3)。在许多实施方式中,衬底被暴露于含氮前体持续介于约1秒和约60秒之间的时间,或约2.5秒的时间,或约30秒的时间。在多种实施方式中,所得到的氮化铝层具有约或更大的厚度,典型地大于/循环。在一些实施方式中,含氮前体的流率可为介于约0.1slm和约20slm之间(例如,介于约1slm和约10slm之间)。在一些实施方式中,可在暴露于含氮前体过程中使用载气。合适的载气的一个示例是氮气(N2),并且如果氮气被使用作为载气,并与含氮前体共流,则氮气可以以介于约500sccm和10slm之间的流率流动。
在操作105中,主反应是在表面上的ALD反应,使得表面扩散主导的动力学特性(kinetics)产生以形成保形氮化铝层。不受限于特定的理论,由于在操作103中的清扫后在气相中保留的残余的含铝前体和进入反应空间的含氮前体之间发生的类CVD反应,因而,在同一时间,发生在气相中的反应或发生气相成核反应。这可能有助于提高薄的保形膜的增长速率。表面扩散主导的动力学特性(与ALD相关)的强有力的作用确保保形性的保持。
在操作107中,清扫含氮前体。在许多实施方式中,清扫包括使清扫气体(诸如,例如,氮气(N2))流动。在一些实施方式中,所述清扫气体以介于约0sccm和约10,000sccm之间的流率流动介于约5秒至约10秒之间的时间,或者流动约6秒的时间。该清扫可足以从反应空间、或站、或室基本上去除气相中的所有剩余的含氮前体。
在操作109中,工作流程确定膜是否已经沉积到足够的厚度,并且如果是这样,那么沉积膜的方法完成。如果膜尚未沉积到足够的厚度,重复操作101到107,直到膜已经沉积到足够的厚度。
“循环”的概念与本发明的多种实施方式中的讨论是相关的。通常循环是执行一次表面沉积反应所需的最小操作组。一个循环的结果是在衬底表面上生产至少部分的膜层。通常情况下,一个循环将仅包括输送并吸附每种反应物到衬底表面并接着使所吸附的反应物发生反应以形成部分的膜层所需的那些步骤。当然,循环可以包括一些辅助步骤,例如扫除反应物或副产物中的一种和/或处理如所沉积的部分的膜。通常,一个循环仅包含一个单一序列操作的实例。例如,一个循环可以包括如下操作:(i)反应物A的输送/吸附,(ⅱ)将反应物A的一部分扫出反应室,(ⅲ)在足以驱动反应物A和反应物B反应以在表面上形成部分的膜层的条件下输送/吸附反应物B,以及(iv)将反应物B扫出反应室。
在图2的时序200中描绘了执行如图1所示的方法的两个沉积循环。在该序列中,如210A和210B中示出的沉积循环包括第一前体的暴露、清扫、第二前体的暴露、和另一清扫。如图所示,在时序方案中,暴露阶段和清扫阶段从左侧向右侧发生,并由在序列中的线条描绘气体是否流动。
例如,在沉积循环210A中,在清扫阶段240A和280A期间,使氮气(N2)流动,清扫阶段240A和280A分别对应于在图1中执行的操作103和107。在沉积循环210B中,在清扫阶段240B和280B期间,也使氮气流动,清扫阶段240B和280B分别对应于在图1中重复的操作103和107。例如,在沉积循环210A中,TMA被示为在第一前体或TMA的暴露阶段220A期间流动的气体,暴露阶段220A对应于图1中的执行操作101。在沉积循环210B中,在TMA暴露阶段220B期间也使TMA流动,暴露阶段220B对应于图1中的重复操作101。氮气或其它载气可以连同TMA一起流动,如图2所示。例如,在沉积循环210A中,氨被示为在第二前体或氨暴露阶段260A期间流动的气体,暴露阶段260A对应于在图1中的执行操作105。在沉积循环210B中,在氨暴露阶段260B期间也使氨流动,暴露阶段260B对应于图1中的重复操作105。在这里应当注意,在第一沉积循环210A之后,对于图1中的操作109的响应是,膜还没有被沉积至足够的厚度,因此在第二沉积循环210B重复操作101到107。
例如,“配方”或单一沉积循环序列可以开始于TMA以介于约为10sccm和350sccm之间的流率暴露开始,以介于约15sccm和500sccm之间的速率流动的N2作为载气,持续介于约7.5秒和约30秒之间的时间。接着,可以关闭TMA流,而氮气可以作为清扫气体以介于约0sccm和约10,000sccm之间的流率继续流动约2秒。然后可开启氨(NH3)流,以介于约1slm和10slm之间的流率进行氨的暴露,作为载气的氮气以介于约500sccm和10slm之间的流率流动持续30秒。然后氨可与吸附的和气相的TMA反应以形成氮化铝膜。接着可以关闭氨流,而氮气可以作为清扫气体以介于约0sccm和约10,000sccm之间的流率继续流动约6秒。该示例性的沉积循环可在约0.1乇的压强下,在介于约350℃与约400℃之间的温度下进行。可以重复诸如在这里作为示例给出的沉积循环之类的沉积循环,直到沉积所期望的厚度的膜。例如,氮化铝膜可以以每循环介于约和约之间的沉积速率进行沉积。所得的氮化铝膜可具有至少约90%或约100%的台阶覆盖率,并且可以取决于所执行的沉积循环的数量。
通过本发明公开的方法所沉积的膜可导致每循环约至约或每循环至约的沉积速率或增长速率。在许多实施方式中,所沉积的膜是高度保形的,并表现出至少约80%、或至少约90%、或至少约99%、或约100%的台阶覆盖率。这些级别的保形性和沉积速率被显示为具有高深宽比(例如,约1:2或更大,或约1:6或更大)和小尺寸(例如,约100nm或更小,或者约60nm或更小的开口)的特征。在许多实施方式中,通过本发明公开的方法所沉积的膜导致很少或没有图案加载,其中图案加载或“微加载”被定义为:在相同的沉积条件下,使相同的晶片不同地沉积有不同的深宽比和不同的面结构密度的膜的倾向性。
在一些实施方式中,可以使用等离子体。在使用等离子体的实施方式中,该方法可以包括:(1)将衬底暴露于含金属前体(例如含铝前体)持续足以使其吸附到所述衬底的表面上的时间;(2)清扫所述含金属前体持续不足以基本上去除气相中的所有的所述含金属前体的时间;(3)将衬底暴露于含氮前体或含氧前体,同时,启动等离子体以在衬底上形成金属氮化物或金属氧化物膜;(4)从气相清扫含氮前体;以及(5)重复(1)至(4)。在某些实施方式中,所述含金属前体是TMA。在一些实施方式中,所述清扫是通过使清扫气体(诸如,例如,氮气)流动进行的。在某些实施方式中,含氮前体是氨。在许多实施方式中,等离子体的射频(RF)功率可以是介于约13.56MHz和约40MHz之间。对于300mm晶片而言,RF功率的范围可以从每站约0千瓦至每站约2.5千瓦。在许多实施方式中,等离子体具有介于约0瓦/cm2衬底和约3.54瓦/cm2衬底之间的RF功率密度。于2011年4月11日提交的美国专利申请No.13/084,399以及2011年9月1日提交的美国专利申请No.13/224,240提供了在保形膜沉积(CFD)工艺中使用等离子体的例子,该两个专利申请的全部内容通过引用并入本发明。
在图1中所示的方法可以使用其它的化学过程实施。在操作101中的第一前体的例子包括含金属化合物,例如含铝前体,如烷基铝化合物,如三甲基铝(TMA)或氢化二甲基铝。在一些实施方式中,含铝前体是乙酸铝、烷氧基铝、或卤化铝。一般情况下,含金属前体包括有机金属化合物,如烷基金属化合物以及在沉积条件下具有高蒸气压的金属卤化物。这样的化合物以蒸气状态存在并很容易输送到衬底和吸附在其上。本发明描述的一些方法可以适用于各种金属系统的涉及有机金属或卤化物前体和氨/水(NH3/H2O)或作为半反应物的臭氧(O3)的热ALD。金属系统的实例包括钛(Ti)、铪(Hf)、锆(Zr)、锰(Mn)、钨(W)和钽(Ta)。在操作103和107所使用的清扫气体的实例包括氮气(N2)、氩(Ar)、氦(He)、氢气(H2)、氧气(O2)、以及其它。在操作105中的第二前体的例子包括含氮前体,如氨(NH3)、或叔丁胺(TBA)。第二前体的其它实例包括含氧前体,如臭氧(O3)、水蒸气(H2)、甲醇(CH4O)、乙醇(C2H6O)、过氧化物、以及其它。可与前体气体一起流动的载气的实例包括氩(Ar)、氦(He)和氮气(N2)。
装置
图3A示出了具有用于维持低气压环境的处理室主体302的原子层沉积(ALD)处理站300的实施方式的示意图。多个ALD处理站300可以被包括在共同的低压处理工具环境中。例如,图4描述了多站处理工具400的实施方式。在一些实施方式中,ALD处理站300的包括在下面详细讨论的硬件参数在内的一个或多个硬件参数可以通过编程方式由一个或多个计算机控制器350调整。
ALD处理站300与反应物输送系统301a流体地连通以输送工艺气体至分配喷头306。反应物输送系统301a包括用于混合和/或调整输送到喷头306的工艺气体的混合容器304。一个或多个混合容器入口阀320可对工艺气体导入到混合容器304进行控制。
图3B示出了用于输送反应物至喷头306的一种替代的反应物输送系统301b的示意图。一些反应物,如三甲基铝(TMA),可以以液体形式保存,然后在向处理室主体302输送时汽化并随后输送到处理室主体302。在图3B中,可以将包含在贮存器370中的工艺液体的蒸气从顶部空间372抽吸到限制器362,限制器362可以将具有载气的反应物提供到处理室主体302。贮存器可包括测量仪器365。在一些实施方式中,载气可以在工艺液体贮存器370上游,使得载气将在贮存器370中的最初从顶部空间372抽取的工艺液体的蒸气通过导管推向限制器362及随后推向室主体302。在许多实施方式中,载气可以首先流经质量流量控制器360,然后将蒸气从顶部空间372运载到限制器362。相比于不使用载气的实施方式,在这些使用载气来推动蒸气的实施方式中,蒸气流到室302中的流率可以是较高的,并且蒸气可以从顶部空间372直接抽吸到混合容器304,并且到达室主体302。
例如,图3A的实施方式包括用于汽化拟被供给到混合容器304的液体反应物的汽化点303。在一些实施方式中,汽化点303可以是加热蒸发器。从这些蒸发器产生的饱和反应物蒸气会在下游的输送管路凝结。将不兼容的气体暴露于冷凝的反应物会产生小颗粒。这些小颗粒会堵塞管路、阻碍阀门操作、污染衬底等。解决这些问题的一些方法涉及清扫和/或抽空输送管路以去除残留的反应物。然而,清扫输送管路可能会增加处理站的循环时间,降低处理站的产率。因此,在一些实施方式中,可热跟踪汽化点303下游的输送管路。在一些实例中,也可以热跟踪混合容器304。在一个非限制性的例子中,汽化点303下游的管道具有从约100℃延伸至在混合容器304的约150℃的递增的温度分布。
在一些实施方式中,液态前体或液体反应物可在液体喷射器被汽化。例如,液体喷射器可注入液体反应物的脉冲到混合容器上游的载气流中。在一个实施方式中,液体喷射器可通过从较高压到较低压使液体闪蒸而汽化反应物。在另一实例中,液体喷射器可雾化液体成分散的微滴,该分散的微滴随后在加热输送管汽化。较小的液滴比较大的液滴会汽化得较快,从而减少液体注入和完全汽化之间的延迟。较快的汽化可以减少汽化点303下游的管路长度。在一种情况下,液体喷射器可直接安装到混合容器304。在另一个方案中,液体喷射器可直接安装到喷头306。
在一些实施方式中,汽化点303上游的液体流量控制器(LFC)可以被提供来对液体的质量流的汽化以及向处理站300的输送进行控制。例如,LFC可包括位于LFC下游的热质量流量计(MFM)。然后该LFC的柱塞阀可响应于由与该MFM电气通信的比例-积分-微分(PID)控制器提供的反馈控制信号进行调节。然而,它可能需要一秒或更多时间来使用反馈控制以稳定液流。这会延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间进行动态切换。在一些实施方式中,这可以通过禁用LFC和PID控制器的传感管进行。
喷头306朝向衬底312分配工艺气体。在图3A所示的实施方式中,衬底312位于喷头306的下方,并显示被搁置在基座308上。喷头306可具有任何合适的形状,并且可以具有用于分配工艺气体到衬底312的任何合适数量和布置的端口。
在一些实施方式中,微体积307位于喷头306下方。在微体积中而不是在处理站的整个体积中实践所公开的实施方式可以减少反应物暴露和清扫时间,可以减少用于改变工艺条件(如压力,温度等)的时间,可以限制处理站的机器手暴露于工艺气体等。示例性的微体积尺寸包括,但不限于,介于0.1升和2升之间的体积。这也影响生产的产率。在一些实施方式中,所公开的实施方式不在微体积中执行。
在一些实施方式中,基座308可以升高或降低,以暴露衬底312给微体积307和/或改变微体积307的体积。例如在衬底转移阶段,基座308可以被升高以将衬底312定位在微体积307内。在一些实施方式中,微体积307可以完全包绕衬底312以及基座308的一部分,以创建高流量阻抗区域。
任选地,可将基座308在工艺的部分的期间降低和/或升高以调节微体积307内的处理压强、反应物浓度等。在处理室主体302在处理过程中保持在基本压强的一种情况下,降低基座308可使得微体积307能被抽空。微体积比处理室体积的示例性比率包括,但不限于,介于1:500和1:10之间的体积比。应理解的是,在一些实施方式中,基座高度可以经由合适的计算机控制器350通过编程方式进行调整。
在另一种情况下,调整基座308的高度可以使得等离子体密度在包含于工艺中的等离子体活化和/或处置循环期间能够变化。在该工艺阶段结束时,基座308可以在另一衬底转移阶段被降低以使得衬底312能从基座308移走。
虽然在本发明描述的示例性微体积变化指的是高度可调的基座,但应该理解的是,在一些实施方式中,喷头306的位置可以相对于基座308被调整以改变微体积307的体积。此外,应当理解的是,基座308和/或喷头306的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方式中,基座308可包括用于旋转衬底312的方向的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调整中的一个或多个可以通过一个或多个适当的计算机控制器350以编程方式执行。
在可以如上所述使用等离子体的一些实施方式中,喷头306和基座308电连通射频(RF)电源314和匹配网络316以激励等离子体。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、射频源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF电源314及匹配网络316可在任何合适的功率下进行操作,以形成具有自由基物质的所期望的组合物的等离子体。合适的功率的例子包括在上文中。同样地,RF电源314可以提供任何适当频率的RF功率。在一些实施方式中,RF电源314可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括,但不限于,介于50kHz和500kHz之间的频率。示例性的高频RF频率可以包括,但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调制以提供用于表面反应的等离子体能量。在一个非限制性实例中,等离子体功率可以间歇地施以脉冲,以相对于连续激励的等离子体减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或一个以上的电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子密度和/或工艺气体的浓度可以由一个或多个光发射谱传感器(OES)来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调整。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其他工艺特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,用于控制器350的指令可以经由输入/输出控制(IOC)测序指令来提供。在一个示例中,用于设置工艺阶段的条件的指令可被包括在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或一个以上的反应器参数的指令可以被包括在配方阶段中。例如,第一配方阶段可以包括用于设置惰性气体和/或反应气体(例如,第一前体,如TMA)的流率的指令、用于设置载气(如氮气)的流率的指令、以及用于第一配方阶段的时延指令。后续的第二配方阶段可包括用于调节或停止惰性气体和/或反应气体的流率的指令、用于调节载气或清扫气体的流率的指令、以及用于第二配方阶段的时延指令。第三配方阶段可以包括:用于设置惰性气体和/或反应气体的流率的指令,该气体与在第一配方阶段使用的气体相同或不同(例如,第二前体,如氨);用于调节载气的流率的指令;以及用于第三配方阶段的时延指令。第四配方阶段可以包括用于调节或停止惰性气体和/或反应气体的流率的指令、用于调节载气或清扫气体的流率的指令、以及用于第四配方阶段的时延指令。应当理解,这些配方阶段可进一步以在本公开的范围内的任何合适的方式细分和/或重叠。
在一些实施方式中,基座308可通过加热器310进行温控。另外,在一些实施方式中,对于处理站300的压力控制可通过蝶形阀318来提供。如图3的实施方式中所示,蝶形阀318节流由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,处理站300的压力控制也可以通过改变引入到处理站300的一种或多种气体的流率进行调整。
如上所述,一个或更多个处理站可以被包括在多站式处理工具中。图4示出了具有入站加载锁402和出站加载锁404的多站式处理工具400的实施方式的示意图,入站加载锁402和出站加载锁404中的任一者或两者可包括远程等离子源。在大气压下,机械手406被配置为将晶片从经由吊舱408加载的盒通过大气端口410移动到入站加载锁402中。晶片由机械手406置于入站加载锁402中的基座412上,关闭大气端口410,并且抽空加载锁。其中,入站加载锁402包括远程等离子源,晶片可以暴露于加载锁中的远程等离子体处理中,然后被引入到处理室414。进一步,晶片也可以在入站加载锁402中被加热,例如,以除去水分和吸附的气体。接着,打开通向处理室414的室传输端口416,而另一个机械手(未示出)将晶片放置到反应器中在反应器中所示的第一站的基座上以进行处理。尽管图4中所描绘的实施方式包括加载锁,但应理解的是,在一些实施方式中,可使晶片直接进入处理站。
所描述的处理室414包括四个处理站,在图4所示的实施方式中编号从1到4。每个站具有加热基座(对于站1以418显示)以及气体管线入口。应当理解,在一些实施方式中,每一个处理站可以具有不同的用途或多个用途。例如,在一些实施方式中,处理站可以在ALD工艺模式和等离子体增强ALD工艺模式之间切换。附加地或替代地,在一些实施方式中,处理室414可以包括一个或多个匹配的成对的ALD处理站和等离子体增强ALD处理站。虽然所描绘的处理室414包括四个站,但是应当理解,根据本公开的处理室可以具有任何适当的数目的站。例如,在一些实施方式中,处理室可具有五个或更多个站,而在其它实施方式中,处理室可以具有三个或更少的站。
图4示出了用于处理室414内传送晶片的晶片搬运系统490的一个实施方式。在一些实施方式中,晶片搬运系统490可以在各种处理站之间和/或在处理站和加载锁之间传送晶片。应当理解,任何合适的晶片搬运系统可以采用。非限制性实例包括晶片旋转式传送带和晶片搬运机械手。图4还示出了用于控制处理工具400的工艺条件和硬件状态的系统控制器450的一个实施方式。系统控制器450可包括一个或多个存储器设备456、一个或多个大容量存储设备454以及一个或多个处理器452。处理器452可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。
在一些实施方式中,系统控制器450控制处理工具400的所有的活动。系统控制器450执行存储在大容量存储设备454中、加载到存储器设备456、以及在处理器452上执行的系统控制软件458。可替代地,控制逻辑可被硬编码在控制器450中。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列,或FPGA)等可用于这些目的。在下面的讨论中,在使用“软件”或“代码”的任何地方,也可以使用功能上相当的硬编码逻辑件。系统控制软件458可包括用于控制时序、气体的混合物、亚饱和气流的量、室和/或站的压力、室和/或站的温度、晶片的温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或底座的位置、以及通过处理工具400执行的特定工艺的其它参数的指令。系统控制软件458可以以任何合适的方式进行配置。例如,各种处理工具组件子程序或控制的对象可以被写入以控制执行各种处理工具的进程所需要的处理工具组件的操作。系统控制软件458可以以任何合适的计算机可读编程语言进行编码。
在一些实施方式中,系统控制软件458可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中可以采用存储在与系统控制器450相关联的大容量存储设备454和/或存储器设备456上的其它计算机软件和/或程序。用于此目的程序或程序段的实例包括衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序以及等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座418上并控制衬底和处理工具400的其它部件之间的间隔。
工艺气体控制程序可包括用于控制气体组成(例如,TMA,氨,和如本文所述的清扫气体)和流率以及任选地用于使气体在沉积之前进入一个或多个处理站以稳定在处理站中的压力的代码。压力控制程序可以包括用于通过例如在处理站的排放系统中的节流阀调节流进处理站中的气体流量等控制处理站内的压力的代码。
加热器控制程序可包括用于控制用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦)朝向衬底上的传送。
根据本发明的实施方式,等离子体控制程序可包括用于设置施加到一个或多个处理站中的处理电极的RF功率电平的代码。
根据本发明的实施方式,压力控制程序可以包括用于保持在反应室中的压力的代码。
在一些实施方式中,可能存在与系统控制器450相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器450调整的参数可以涉及处理条件。非限制性实例包括工艺气体组成和流率、温度、压力、等离子体条件(例如,RF偏置功率电平)、压强、温度等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器450的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具400的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器、压力传感器(例如压力计)、热电偶等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器450可以提供用于执行上述沉积工艺的程序指令。所述程序指令可以控制多种工艺参数,如DC功率电平、RF偏置功率电平、压力、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方式操作膜堆栈的原位沉积。
该系统控制器通常将包括一个或多个存储器设备和被配置成执行所述指令的一个或多个处理器以使该装置将执行根据本发明所述的方法。可以将包含用于控制根据本发明的处理操作的指令的机器可读介质耦合到系统控制器。
用于执行本发明所公开的方法的适当装置在下述专利中有进一步的描述和讨论:于2011年4月11日提交的、名称为“PLASMA ACTIVATEDCONFORMAL FILM DEPOSITION”的美国专利申请No.13/084,399;以及2011年4月11日提交的、名称为“SILICON NITRIDE FILMS ANDMETHODS,”的美国专利申请No.13/084,305,这些专利申请中的每一个的全部内容并入本发明。
本发明所描述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地,但不必然地,此类工具/工艺将与普通的制造设施一起使用或执行。光刻图案化膜通常包括以下操作中的一些或全部,每个操作能够使用多种可用的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件(如衬底)上;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使光致抗蚀剂显影以便使用诸如湿式台之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助刻蚀工具将抗蚀剂图案转移到下伏膜或工件;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
实验
实验1
进行实验,以评估使用所公开的实施方式的方法沉积的特征的台阶覆盖率。在该实验中,在350℃和0.1乇下,使用7.5秒的三甲基铝(TMA)的暴露、2秒的清扫、30秒的氨(NH3)暴露、以及6秒的清扫的循环,将氮化铝层沉积在具有特征的金属电介质衬底上。该反应是完全热反应的并且没有激励等离子体。
台阶覆盖率的测量结果和计算结果示于下表1中。
表1.台阶覆盖率
图5是在衬底上沉积的氮化铝膜的图像。氮化铝的平均沉积速率为每循环约并且实现了100%的保形性。
实验2
进行实验,以评估是否因为实施所公开的实施方式而具有图案加载。在该实验中,在350℃和0.1乇下,使用7.5秒的三甲基铝(TMA)的暴露、2秒的清扫、30秒的氨(NH3)暴露、以及6秒的清扫的循环,将氮化铝层沉积在具有特征的金属电介质衬底上。该反应是完全热反应的并且没有激励等离子体。在具有2.5:1和6:1的深宽比的衬底和场式(field)或者空白的(blanket)衬底进行实验。台阶覆盖率的测量结果和计算结果示于下表2中。
表2.图案加载
图5是在2.5:1的深宽比特征上沉积的氮化铝膜的图像。图6A是在在6:1的深宽比特征上沉积的氮化铝膜的图像。图6B描绘了在场式衬底上所沉积的氮化铝膜的图像。使用所公开的实施方式沉积的层对具有大到约1:6的深宽比的特征仍然保形,并且没有观察图案加载效果。
实验3
进行实验,以评估根据不同实施方式所沉积的膜的膜质量。在该实验中,在350℃和0.1乇下,使用7.5秒的三甲基铝(TMA)的暴露、2秒的清扫、30秒的氨(NH3)暴露、以及6秒的清扫的循环,将氮化铝层沉积在具有特征的金属电介质衬底上。该反应是完全热反应的并且没有激励等离子体。图5是在湿法蚀刻测试或浸渍之前沉积的氮化铝膜的图像。
接着使用标准清洁溶液对衬底进行SC2湿法蚀刻试验,在50℃下浸渍25秒。SC2标准蚀刻剂/清洁溶液的组合物包括组成比为1:1:5的HCl、H2O2和H2O。台阶覆盖率的测量结果和计算结果示于下表3中。
表3.膜的质量
图7是湿法蚀刻试验后的经蚀刻的氮化铝膜的图像。如所示出的,蚀刻在特征的整个表面都是均匀的。计算出的侧壁与顶部的蚀刻率比为约0.97。膜质量与传统ALD的膜质量相当。即使在湿法刻蚀浸渍后,所沉积的膜的台阶覆盖率仍为约100%,从而表明膜蚀刻均匀且蚀刻速率对于整个所沉积的膜是一致的。结果还表明,尽管在沉积期间具有类CVD反应,但在侧壁上的膜的质量等同于在该结构的顶部和底部的场区中的膜的质量。这表明,在表面和在气相下产生的膜质量是等同的或相似的。
结论
虽然为了清晰理解的目的,已经在一定程度上详细描述了上述实施方式,但显而易见,可以在所附权利要求的范围内实行某些变化和改变。应当注意,有许多实现本发明的实施方式的工艺、系统和装置的替代方式。因此,本发明的实施方式应被视为说明性的,而不是限制性的,并且本发明并不限于本文给出的细节。

Claims (19)

1.一种在反应室中处理具有特征的半导体衬底的方法,该方法包括:
(a)将所述衬底暴露于含铝前体持续足以使其基本上吸附到所述衬底的表面上的时间;
(b)从所述反应室清扫所述含铝前体持续不足以从气相基本上去除所有的所述含铝前体的时间;
(c)将所述衬底暴露于含氮前体持续足以驱动热介导的反应以在所述衬底的所述表面上形成氮化铝层的时间,其中,所述氮化铝层对于所述衬底基本上是保形的并具有约或更大的厚度;
(d)从所述反应室清扫气相中的所述含氮前体;以及
(e)重复(a)至(d)。
2.根据权利要求1所述的方法,其中所述氮化铝层具有至少约80%的台阶覆盖率。
3.根据权利要求1所述的方法,其中在介于约250℃和约450℃之间的工艺温度下处理所述衬底。
4.根据权利要求1所述的方法,其中在介于约0.01乇和约10乇之间的压强下处理所述衬底。
5.根据权利要求1-4中任意一项所述的方法,其中所述含铝前体是三甲基铝(TMA)。
6.根据权利要求1-4中任意一项所述的方法,其中所述含氮前体是氨(NH3)。
7.根据权利要求1-4中任意一项所述的方法,其中清扫所述含铝前体进一步包括使氮气(N2)流动并且清扫所述含氮前体进一步包括使氮气(N2)流动。
8.根据权利要求1-4中任意一项所述的方法,其中所述含铝前体被清扫持续约2秒。
9.根据权利要求1-4中任意一项所述的方法,其中所述衬底暴露于所述含铝前体持续约7.5秒至约30秒。
10.根据权利要求1-4中任意一项所述的方法,其中所述衬底暴露于所述含铝前体的时间比清扫所述含铝前体的时间的比率介于约3.75:1和约15:1之间。
11.根据权利要求1-4中任意一项所述的方法,其中处理显示实质上无图案加载。
12.根据权利要求1-4中任意一项所述的方法,其中,在(a)至(d)的循环期间沉积的氮化铝的量为至少约
13.根据权利要求1-4中任意一项所述的方法,其中,在(a)至(d)的循环期间沉积的氮化铝的量为至少约
14.根据权利要求1-4中任意一项所述的方法,其中所述衬底的所述特征具有至少约2:1的深宽比。
15.根据权利要求1-4中任意一项所述的方法,其中所述衬底的所述特征具有小于约100nm的开口。
16.一种用于在衬底表面上沉积膜的装置,该装置包括:
反应室,其包括用于保持所述衬底的基座;
用于耦合到真空的至少一个出口;
耦合到两个或更多个前体源的一个或多个工艺气体入口;和
用于控制所述装置中的操作的控制器,其包括用于下述操作的机器可读指令:
(a)将第一前体引入所述反应室持续足以使所述第一前体基本上吸附到所述衬底的所述表面上的时间;
(b)清扫所述反应室持续不足以从气相基本上去除所有的所述第一前体的时间;
(c)将第二前体引入所述反应室持续足以在所述衬底表面上形成层的时间,其中,所述层对于所述衬底基本上是保形的并具有约或更大的厚度;
(d)清扫所述反应室持续足以从气相去除所述第二前体的时间;以及
(e)重复(a)至(d)。
17.根据权利要求16所述的装置,其中,所述控制器还包括用于执行(a)持续的时间比用于执行(b)持续的时间长约3.75至约15倍。
18.根据权利要求16和17中任意一项所述的装置,其中引入所述第一前体包括将所述第一前体从所述第一前体的贮存器的顶部空间抽吸到所述室。
19.根据权利要求18所述的装置,其中引入所述第一前体还包括使带有所述第一前体的载气在对所述顶部空间的所述第一前体的所述抽吸的下游以及在所述反应室的上游流动。
CN201510086588.1A 2014-02-18 2015-02-17 用于保形氮化铝的高增长速率的工艺 Active CN104851796B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/183,287 US9214334B2 (en) 2014-02-18 2014-02-18 High growth rate process for conformal aluminum nitride
US14/183,287 2014-02-18

Publications (2)

Publication Number Publication Date
CN104851796A true CN104851796A (zh) 2015-08-19
CN104851796B CN104851796B (zh) 2017-10-24

Family

ID=53798704

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510086588.1A Active CN104851796B (zh) 2014-02-18 2015-02-17 用于保形氮化铝的高增长速率的工艺

Country Status (6)

Country Link
US (2) US9214334B2 (zh)
JP (1) JP6038975B2 (zh)
KR (1) KR101701024B1 (zh)
CN (1) CN104851796B (zh)
SG (2) SG10201606551TA (zh)
TW (1) TWI646212B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108603288A (zh) * 2015-11-16 2018-09-28 库伯斯股份有限公司 生产氧化铝和/或氮化铝的方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9190489B1 (en) 2014-09-08 2015-11-17 Lam Research Corporation Sacrificial pre-metal dielectric for self-aligned contact scheme
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
JP6436887B2 (ja) * 2015-09-30 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN110224020A (zh) * 2019-05-28 2019-09-10 苏州汉骅半导体有限公司 制造高质量和高均匀性iii族氮化物外延结构的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218293B1 (en) * 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US20050208718A1 (en) * 2004-03-16 2005-09-22 Lim Jae-Soon Methods of forming a capacitor using an atomic layer deposition process
KR100734748B1 (ko) * 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
CN103137864A (zh) * 2011-11-22 2013-06-05 爱思开海力士有限公司 半导体器件及其制造方法

Family Cites Families (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6689844B2 (en) 2001-05-29 2004-02-10 Rohmax Additives Gmbh Process for synthesis of polymer compositions with reduced halogen content, polymer composition with reduced halogen content as well as use of this composition
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US7645484B2 (en) * 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
KR102098682B1 (ko) 2010-11-10 2020-05-22 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
JP6065840B2 (ja) * 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5843318B2 (ja) * 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
JP6125247B2 (ja) * 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8846550B1 (en) * 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218293B1 (en) * 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US20050208718A1 (en) * 2004-03-16 2005-09-22 Lim Jae-Soon Methods of forming a capacitor using an atomic layer deposition process
KR100734748B1 (ko) * 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
CN103137864A (zh) * 2011-11-22 2013-06-05 爱思开海力士有限公司 半导体器件及其制造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108603288A (zh) * 2015-11-16 2018-09-28 库伯斯股份有限公司 生产氧化铝和/或氮化铝的方法
CN108603288B (zh) * 2015-11-16 2020-01-14 库伯斯股份有限公司 生产氧化铝和/或氮化铝的方法

Also Published As

Publication number Publication date
KR101701024B1 (ko) 2017-01-31
TW201544619A (zh) 2015-12-01
US20150235835A1 (en) 2015-08-20
KR20150097410A (ko) 2015-08-26
SG10201501134UA (en) 2015-09-29
CN104851796B (zh) 2017-10-24
JP2015159282A (ja) 2015-09-03
SG10201606551TA (en) 2016-09-29
US20160064211A1 (en) 2016-03-03
JP6038975B2 (ja) 2016-12-07
US9214334B2 (en) 2015-12-15
TWI646212B (zh) 2019-01-01

Similar Documents

Publication Publication Date Title
CN104851796B (zh) 用于保形氮化铝的高增长速率的工艺
US10629429B2 (en) Selective deposition of silicon oxide
US20200219725A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10490413B2 (en) Selective growth of silicon nitride
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
CN105316651B (zh) 抑制喷头背面寄生等离子体的方法和装置
US9478438B2 (en) Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US20200299838A1 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN105390369A (zh) 使用原子层沉积的Ti膜来调整TiOx化学计量的方法
CN111886689A (zh) 无倒角通孔集成方案
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
CN108630524B (zh) 氮化硅的选择性生长

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant