KR20150006390A - 이온 빔 에칭 시스템 - Google Patents

이온 빔 에칭 시스템 Download PDF

Info

Publication number
KR20150006390A
KR20150006390A KR1020140085526A KR20140085526A KR20150006390A KR 20150006390 A KR20150006390 A KR 20150006390A KR 1020140085526 A KR1020140085526 A KR 1020140085526A KR 20140085526 A KR20140085526 A KR 20140085526A KR 20150006390 A KR20150006390 A KR 20150006390A
Authority
KR
South Korea
Prior art keywords
extractor plate
substrate
ion extractor
chamber
material removal
Prior art date
Application number
KR1020140085526A
Other languages
English (en)
Inventor
하미트 싱
알렉스 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150006390A publication Critical patent/KR20150006390A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

개시된 실시예들은 기판으로부터 재료를 제거하는 방법들 및 장치에 관한 것이다. 다양한 구현예들에서, 도전성 재료가 반도체 기판 상의 트렌치, 홀 또는 필러와 같은 이전에 에칭된 피처의 측벽으로부터 제거된다. 본 명세서에서의 교시사항들을 실시할 시에, 그를 통해서 형성된 개구들을 갖는 콜러게이션된 이온 추출기 플레이트에 의해서 상부 플라즈마 생성 챔버와 하부 프로세싱 챔버로 분할되는 반응 챔버 내로 기판이 제공된다. 이온 추출기 플레이트는 플라즈마 시스가 이온 추출기 플레이트의 형상을 따르도록, 이온들이 기판에 대해서 경사지게 하부 프로세싱 챔버 내로 진입하도록 콜러게이션된다. 이로써, 프로세싱 동안에, 이온들은 이전에 에칭된 피처들 내로 침투하여서 기판의 이러한 피처들의 측벽들을 때릴 수 있다. 이러한 메카니즘을 통해서, 피처들의 측벽들 상의 재료들이 제거된다.

Description

이온 빔 에칭 시스템{ION BEAM ETCHING SYSTEM}
반도체 생산 시에 자주 채용되는 일 동작은 에칭 동작이다. 에칭 동작 시에, 하나 이상의 재료들이 부분적으로 제조된 집적 회로로부터 부분적으로 또는 전체가 제거된다. 특히 관련된 기하구조들이 작거나, 고 종횡비들이 사용되거나, 정확한 패턴 전사가 필요한 경우에는 플라즈마 에칭이 때로 사용된다.
평면형 트랜지스터 구조물에서 3차원 트랜지스터 구조물 (예를 들어서, 로직 디바이스를 위한 FinFET 게이트 구조물) 로 그리고 자기저항 랜덤 액세스 메모리 (MRAM) 및 저항성 랜덤 액세스 메모리 (ReRAM) 와 같은 진보된 메모리 구조물들로 이동함에 따라서, 플라즈마 에칭 프로세스들은 품질이 양호한 제품들을 생산하기 위해서 점점 정밀해지고 균일해질 필요가 있다. 통상적인 에칭 기법들이 갖는 일 문제점은 에칭 부산물들이 스위핑 제거 (swept away) 되는 대신에, 퇴적이 바람직하지 않는 표면들 상에 때로 다시 퇴적되는 것이다. 예를 들어서, 부산물들은 기판들 상에, 특히 피처들이 측벽들 상에 다시 퇴적될 수 있다. 이 부산물들은 때로 금속성이거나 금속이 풍부한 막들이다. 이러한 재퇴적 현상 및 이와 관련된 불완전한 또는 비수직 측벽 에칭의 문제점은, 때로 MRAM, ReRAM, 크로스 포인트 (cross point) 메모리 디바이스 등과 같은 진보된 디바이스들을 제조할 때에 그러한, 비휘발성 물질들을 에칭할 때에 특히 문제가 된다. 이러한 에칭 프로세스들은 이온으로부터의 스퍼터링에 의해서 주로 이루어지는데, 이 방법에서는 통상적으로 어느 정도의 양이 피처 측벽들 상에 다시 퇴적되는 결과를 낳는다.
기판 상으로의 원하지 않는 이러한 에칭 부산물의 퇴적은 불량한 에칭 결과들 및 표준에 이르지 못한 디바이스들을 야기하는 등 다수의 문제점을 야기할 수 있다. 예를 들어서, 이러한 퇴적은 비수직 에칭 프로파일 또는 다른 에칭 불균일성을 야기할 수 있다. 또한, 이러한 퇴적은 특히 에칭되는 구조물이 유전체 및 도전성 막들인 인터리빙 층들 (interleaving layers) 을 갖는 경우에 전기적 문제점들을 야기한다. 몇몇 경우들에서, 원하지 않는 금속성 재료가 에칭된 스택의 측벽 상에 퇴적되고 이로써 전기적으로 절연되어야 할 층들 간에 전기 접속을 형성할 수도 있다. 이러한 전기 접속은 이 스택 내에서 단락을 생성하고 디바이스 고장 원인이 된다. 이러한 타입의 디바이스 고장 중 일 실례는 MRAM 디바이스의 자기 터털 접합 (MTJ) 베리어 양단 간에 형성되는 단락이다.
따라서, 기판이 에칭된 후에 원하지 않는 재료를 반도체 기판으로부터 제거 (특히, 재료를 에칭된 피처의 측벽으로부터 제거) 할 수 있게 하는 개선된 반도체 제조 방법들 및 장치가 필요하다.
본 명세서에서의 특정 실시예들은 에칭 이후에 기판으로부터 원하지 않는 재료를 제거하는 방법들 및 장치에 관한 것이다. 다양한 실시예들에서, 기판은 부분적으로 제조된 반도체 기판이며, 원하지 않는 재료는 에칭된 피처의 측벽 상의 금속성 퇴적물들을 포함한다. 이 재료는 피처 측벽들로부터 원하지 않는 금속성 퇴적물들을 스퍼터링 제거하도록 경사진 이온 빔들을 사용하여서 제거될 수 있다. 경사진 이온 빔들은 이온들이 기판의 표면에 대해서 다양한 각도로 기판 프로세싱 챔버로 진입하게 하는 콜러게이션된 (corrugated) 이온 추출기 플레이트를 사용하여서 달성될 수 있다.
본 명세서에서의 실시예들의 일 양태에서, 반도체 디바이스 구조물들 내의 피처들 (features) 의 측벽들로부터 재료를 제거하는 방법이 제공되며, 이 방법은 (a) 반응 챔버 내에서 기판을 수용하는 단계로서, 상기 반응 챔버는 이온 추출기 플레이트에 의해서 플라즈마 생성 서브-챔버 (sub-chamber) 및 프로세싱 서브-챔버로 분할되며, 상기 이온 추출기 플레이트는 적어도 부분적으로 콜러게이션되며 (corrugated) 개구들 (apertures) 을 가지며, 상기 개구들은 상기 개구들을 통과한 이온들이 상기 기판에 대해서 복수의 각도들 (angles) 로 향하게 하도록 설계 또는 구성되는, 상기 기판을 수용하는 단계; (b) 플라즈마 생성 가스를 상기 플라즈마 생성 서브-챔버 내로 유동시키고 플라즈마를 상기 플라즈마 생성 서브-챔버 내에서 생성하는 단계; 및 (c) 이온들을 상기 플라즈마 생성 서브-챔버로부터 상기 이온 추출기 플레이트를 통해서 상기 프로세싱 서브-챔버 내로 복수의 각도로 상기 기판을 향해서 가속시켜서 복수의 방향으로 대향하는 피처 측벽들로부터 재료를 제거하는 단계를 포함한다.
몇몇 경우들에서, 상기 반도체 디바이스 구조물의 상기 피처들은 2 개의 에칭된 도전성 층들 간에 위치한 에칭된 절연성 층을 포함한다. 피처는 다양한 구현예들에서 트렌치, 홀 또는 필라일 수 있다. 상기 개구들 중 적어도 일부는 통상적으로 상기 기판에 대해서 비수직 각도 (non-perpendicular angle) 로 배향된 중앙 축을 갖는다. 몇몇 실시예들에서, 실질적으로 어떠한 플라즈마도 상기 프로세싱 서브-챔버 내에 존재하지 않는다. 달리 말하면, 프로세싱 서브-챔버는 플라즈마가 실질적으로 없다.
몇몇 구현예들에서, 이 방법은 프로세싱 동안에 상기 이온 추출기 플레이트를 단일 방향으로 360°이하로 회전시키는 단계를 더 포함한다. 상기 이온 추출기 플레이트는 상기 기판의 국소적 (local) 부분들이 복수의 개별 타입의 세그먼트들 각각으로부터 발생하는 이온들에 노출되는 정도로 회전된다. 몇몇 실시예들에서, 상기 이온 추출기 플레이트 및 상기 기판의 중앙을 통해서 연장하는 축을 따라서 상기 이온 추출기 플레이트가 이동한다. 단일 에칭 동작 동안에, 다중-단계 에칭 프로세스에서의 상이한 단계들 간에, 또는 상이한 기판들을 프로세싱하는 사이에 회전 및/또는 병진이 발생할 수 있다. 이러한 또는 다른 실시예들에서, 기판 홀더는 프로세싱 동안에 정지할 수 있다. 다양한 구현예들에서, 이 방법은 상기 (a) 단계 이전에 상기 기판 내에 상기 피처들을 에칭하는 단계를 더 포함한다. 이러한 경우들에서, 이 방법은 상기 (a) 단계 이전에 상기 기판을 상기 에칭하는 단계가 수행되는 장치로부터 상기 반응 챔버 내로 이동시키는 단계를 더 포함할 수 있다. 또한, 몇몇 실시예들에서, 이 방법은 바이어스를 상기 이온 추출기 플레이트에 인가하는 단계를 더 포함한다.
본 명세서에서의 실시예들의 다른 양태에서, 반도체 디바이스 표면 상의 피처들의 측벽들로부터 재료를 제거하는 장치가 제공되며, 이 장치는 (a) 반응 챔버; (b) 상기 반응 챔버 내에 위치하여서, 상기 반응 챔버를 플라즈마 생성 서브-챔버 (sub-chamber) 및 프로세싱 서브-챔버로 분할하는 이온 추출기 플레이트로서, 상기 이온 추출기 플레이트의 적어도 일부는 콜러게이션되며 (corrugated), 상기 이온 추출기 플레이트는 자신을 통해서 이온들의 통과를 가능하게 하도록 설계 또는 구성된 개구들을 갖는, 상기 이온 추출기 플레이트; (c) 상기 플라즈마 생성 서브-챔버 (sub-chamber) 로의 하나 이상의 가스 유입구들; (d) 상기 반응 챔버로의 하나 이상의 가스 유출구들; (e) 상기 플라즈마 생성 서브-챔버 (sub-chamber) 내에서 플라즈마를 생성하도록 설계 또는 구성된 플라즈마 생성 소스; 및 (f) 기판 지지부를 포함한다.
이 장치는 또한 제어기를 포함할 수 있다. 이러한 경우에서, 제어기는 상기 플라즈마 생성 서브-챔버 내에서 플라즈마를 생성하고, 바이어스를 상기 이온 추출기 플레이트에 인가하며, 상기 이온 추출기 플레이트를 단일 방향으로 측정된 약 360°이하만큼 회전시키도록 설계 또는 구성된다. 또한, 상기 제어기는 상기 기판의 상기 측벽들로부터 재료를 제거하는 동안에 상기 이온 추출기 플레이트를 시계방향 및 반시계방향으로 회전시키도록 더 설계 또한 구성된다.
이 장치는 또한 상기 이온 추출기 플레이트를 상기 기판 지지부에 접속시키는 RF 스트랩들을 더 포함하며, 상기 RF 스트랩들은 상기 이온 추출기 플레이트에 인가된 바이어스에 대응하는 바이어스를 상기 기판 지지부에 제공하도록 설계 또는 구성된다. 다양한 실시예들에서, 상기 개구들의 중앙들을 통과하여 연장된 축들은 상기 개구들이 위치하는 상기 이온 추출기 플레이트의 국소적 표면부들 (local surfaces) 에 대해서 수직으로 배향되며, 이로써 상기 이온 추출기 플레이트의 상기 국소적 표면부들에 대해서 대체적으로 수직인 방향으로 이온들이 통과되게 한다. 몇몇 경우들에서, 상기 개구들 중 적어도 일부는, 단일 개구를 고려할 경우에, 상기 프로세싱 서브-챔버를 마주보는 상기 이온 추출기 플레이트의 측면에서의 개구 개방 면적이 상기 플라즈마 생성 서브-챔버를 마주보는 상기 이온 추출기 플레이트의 측면에서의 개구 개방 면적보다 크도록, 콘 형상 (cone-shape) 으로 된다.
이러한 또는 다른 경우들에서, 상기 이온 추출기 플레이트의 상기 콜러게이션된 부분은 복수의 콘 형상 피처들 (cone-shaped features) 을 포함하며, 상기 개구들은 상기 기판 지지부에 대해서 경사진 상기 콘 형상 피처들의 표면부들 (surfaces) 을 통과해서 연장되도록 위치된다. 상기 이온 추출 플레이트는 복수의 콜러게이션된 세그먼트들을 포함하며, 콜러게이션의 배향은 인접하는 상기 콜러게이션된 세그먼트들 간에서 상이하다. 몇몇 구현예들에서, 적어도 2 개의 개별 타입의 콜러게이션된 세그먼트들이 사용된다. 제 1 개별 타입의 콜러게이션된 세그먼트는 상기 기판의 프로세싱 면에 대해서 수직인 방향으로부터 방사상으로 오프셋된 (radially offset) 방향으로 이온들을 향하게 하도록 설계 또는 구성된다. 제 2 개별 타입의 콜러게이션된 세그먼트는 상기 기판의 프로세싱 면에 대해서 수직인 방향으로부터 방사상으로 방위각적으로 (azimuthally offset) 방향으로 이온들을 향하게 하도록 설계 또는 구성된다. 몇몇 경우들에서, 콜러게이션의 각도는 약 1 내지 75°일 수 있다. 또한, 몇몇 경우들에서, 콜러게이션의 적어도 2 개의 각도들이 사용된다.
상기 개구를 통과하는 이온들의 방향은 상기 개구의 중앙을 통해서 연장되는 축을 중심으로 코니컬 방식으로 분포된다 (conically distributed). 다른 경우들에서, 이온들의 방향은 덜 코니컬 방식으로 분포되고 보다 콜리메이션된다 (collimated). 상기 기판이 상기 기판 지지부 상에 존재할 때에, 상기 이온 추출기 플레이트 (또는 이의 콜러게이션된 부분) 의 평균 위치와 기판의 플레이팅 면 (plating face) 간의 거리가 존재한다. 몇몇 실시예들에서, 이 거리는 약 10 cm보다 작다. 다양한 경우들에서, 상기 이온 추출기 플레이트는 상기 이온 추출기 플레이트의 중앙을 통해서 연장되는 축을 중심으로 회전가능하다. 이 장치는 상기 기판의 프로세싱 동안에 상기 이온 추출기 플레이트를 상기 기판 지지부를 향해서 그리고 상기 기판 지지부로부터 멀어지게 이동시키기 위한 병진 액추에이터 (translation actuator) 를 더 포함한다. 병진 액추에이터는 기판과 평행한 방향으로 이온 추출기 플레이트를 이동시키도록 설계 또는 구성될 수 있다. 이러한 또는 다른 경우들에서, 상기 이온 추출기 플레이트의 인접하는 콜러게이션 피크들 (corrugation peaks) 간의 거리는 적어도 약 2 mm이다. 몇몇 경우들에서, 상기 이온 추출기 플레이트의 인접하는 콜러게이션 피크들 (corrugation peaks) 간의 거리는 약 5 내지 20 mm이다. 몇몇 경우들에서, 개구 위치 패턴들은 인접하는 콜러게이션 피처들 간에서 변한다. 상기 반응 챔버는, 상기 콜러게이션된 이온 추출기 플레이트가 존재하지 않는 때에 수직 방향으로 기판을 에칭하고, 상기 콜러게이션된 이온 추출기 플레이트가 존재하는 때에 복수의 경사진 방향들로 기판을 에칭하도록 구성된다.
이러한 특징들 및 다른 특징들은 관련 도면들을 참조하여서 이하에서 기술될 이다.
도 1은 개시된 실시예에 따른 경사진 (angled) 플라즈마 에칭 반응기의 단면도이다.
도 2a 내지 도 2D는 프로세싱의 다양한 스테이지들 동안의 부분적으로 제조된 집적 회로의 스택 구조도이다.
도 3a는 본 명세서에서의 실시예들을 실시하는 방법을 설명하는 흐름도이다.
도 3b는 개시된 기법들이 사용될 수 있는 보다 넓은 반도체 제조 방법을 설명하는 흐름도이다.
도 3c는 개시된 기법들이 사용될 수 있는 다른 보다 넓은 반도체 제조 방법을 설명하는 흐름도이다.
도 3d는 도 3c에서 개시된 생성의 다양한 스테이지들에서의 부분적으로 제조된 반도체 디바이스를 도시한다.
도 4a 내지 도 4d는 본 명세서에서의 특정 실시예에 따른 이온 추출기 그리드의 다양한 실시예들을 예시한다.
도 5a 내지 도 5d는 이온 궤적에 대한 개구들의 형상/크기의 효과를 구체적으로 강조하는, 이온 추출기 그리드의 콜러게이션 피처들을 도시한다.
도 6a 내지 도 6d는 이온 궤적에 대한 콜러게이션 스케일의 길이의 효과를 구체적으로 강조하는, 이온 추출기 그리드의 콜러게이션 피처들을 도시한다.
도 7은 특정 개시된 실시예에 따른 경사진 플라즈마 에칭 반응기를 도시한다.
본원에서, 용어 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호교환가능하게 사용된다. 본 기술 분야의 당업자는 용어 "부분적으로 제조된 집적 회로"가 실리콘 웨이퍼 상에서의 집적 회로 제조의 다양한 스테이지들 중 임의의 스테이지 동안의 실리콘 웨이퍼를 지칭함을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 직경 200 mm, 300 mm 또는 450 mm를 갖는다. 다음의 상세한 설명은 본 발명이 웨이퍼 상에서 구현됨을 가정한다. 그러나, 본 발명은 이로 한정되지 않는다. 작업 피스 (work piece) 는 다양한 형상, 크기 및 재료를 가질 수 있다. 반도체 웨이퍼들 이외에, 본 발명을 이용할 수 있는 다른 작업 피스들은 인쇄 회로 기판 등과 같은 다양한 물체들을 포함한다.
다음의 설명 부분에서, 다수의 특정 세부 사항들이 제공된 실시예들의 철저한 이해를 제공하기 위해서 제안된다. 개시된 실시예들은 이러한 특정 세부 사항 전부 또는 일부 없이도 실시될 수 있다. 다른 경우들로서, 잘 알려진 공정 동작들을 개시된 실시예들을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다. 개시된 실시예들은 특정 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 한정하려고 하는 것이 아님이 이해될 것이다.
또한, 본 설명은 때로 "하부" 요소들 및 "상부" 요소들 (또는 마찬가지로 "상단" 및 "하단", "좌측" 및 "우측" 등) 을 참조하지만, 이러한 용어들은 오직 명료성을 위해서 비한정적 방식으로 사용된다. 다른 구성이 역시 가능함이 본 기술 분야의 당업자는 이해할 것이다. 특정 실시예들에서, 본 명세서에서 "상부" 및 "하부"로서 기술되는 요소들은 예를 들어서 "하부" 요소 및 "상부" 요소 또는 "좌측" 요소 및 "우측" 요소가 될 수 있다.
본 명세서에서의 실시예들은 전반적으로 반도체 프로세싱 장치 및 방법들에 관한 것이다. 보다 구체적으로, 실시예들은 에칭된 피처의 측벽들로부터 금속성 퇴적물들과 같은 원하지 않는 재료들을 제거하기 위한 방법들 및 장치에 관한 것이다. 개시된 방법들은 통상적으로 기판이 플라즈마 에칭된 후에 실시된다. 특정 실시예들에서, 에칭된 피처는 트렌치 또는 다른 오목형상부이다. 이 방법은 이전의 에칭 프로세스가 발생한 시간 이후로 (예를 들어서 이온 추출기 플레이트를 부가함으로써) 적절하게 수정된 에칭 모듈 또는 개별 모듈에서 실시될 수 있다.
개시된 기법들을 실시할 시에, 기판이 프로세싱 챔버 내에 제공된다. 도 1은 적합한 프로세싱 장치 (100) 의 간략화된 단면도이다. 기판 (101) 은 반응 챔버 (103) 내의 기판 지지부 (105) 상에 배치된다. 다수의 구현예들에서, 기판 지지부는 고정된다 (즉, 회전하지 않거나 이와 달리 이동하지 않는다). 특정 경우들에서, 기판 지지부 (105) 는 정전 척이다. 이온 추출기 플레이트 (ion extractor plate) (107) 는 기판 (101) 위에 위치하며 회전형 액추에이터 (115) 에 연결된 지지부들 (111) 에 의해서 지지된다. 몇몇 실시예들에서, 이온 추출기 플레이트 (107) 는 추가 캐이지 부분 (cage portion) 을 포함한다. 이 캐이지는 이온 추출기 플레이트로부터 수직 하향으로 연장된 원통형 측벽들 (132) 및 이 측벽들 (132) 로부터 내측으로 연장된 편평한 환상 표면 (133) 을 포함한다. 이온 추출기 플레이트 (107) 는 케이지의 천장부로서 기능할 수 있다. 원통형 측벽들 (132) 및 편평한 환상 표면 (133) 은 도전성일 수 있으며, 지지부 (111) 는 비도전성일 수 있다. 도 7에 도시된 실시예와 같은 다른 실시예들에서, 이 캐이지 구조체는 존재하지 않는다. 이온 추출기 플레이트 (107) 는 프로세싱 챔버 (103) 를 상부 플라즈마 생성 챔버 (123) 및 하부 프로세싱 챔버 (125) 로 분할한다. 챔버들 (123 및 125) 은 때로 각기 상부 서브-챔버 및 하부 서브-챔버 (sub-chamber) 로서 지칭된다.
이온 추출기 플레이트 (107) 는 (도 1에서 도시된 바와 같이, 일측으로부터 보여질 때에) 비-평면형 단면 (non-planar cross-section) 을 갖는다. 도 1의 실시예에서, 이온 추출기 플레이트 (107) 의 단면은 콜러게이션 (corrugation) 된 표면을 형성하는 일련의 피크들 (peaks) (127) 및 밸리들 (valleys) (129) 을 갖는다.
콜러게이션 (corrugation) 의 몇몇 기본적인 (basic) 유닛들이 명료성을 위해서 이제 규정될 것이다. 표면부 (surface) 는 콜러게이션의 기초적인 (fundamental) 유닛으로 간주된다. 표면부는 2 개의 버텍스들/에지들 (vertices/edges) 에 의해서 경계가 정해지는 콜러게이션 피처 (corrugation feature) 의 연속하는 부분이다 (예를 들어서, 크레스트 (crest) 와 밸브 (valley) 간의 표면부). 실례들은 다음으로 한정되지 않지만 삼각/피라미드형 구조체의 일 레그 (leg) 및 원뿔 (cone) 의 경사진 부분 (기저면이 아닌 부분) 의 편평한 표면부를 포함한다. 표면부는 다수의 개구들을 포함할 수 있다.
콜러게이션 피처는 통상적으로 복수의 상이하게 경사진/배향된 (angled/oriented) 표면부들로 이루어진다. 콜러게이션 피처는 집합적으로 콜러게이션 세그먼트 (segment) (또는 잠재적으로 개별 세그먼트들이 사용되지 않는 경우에는 플레이트의 전체 콜러게이션) 을 구성하는 잠재적으로 반복하는 피처들의 그룹 내의 단일 유닛을 제공한다. 피처는 통상적으로 단면으로서 보여질 때에 통상적으로 서로 상이하게 배향된 2 개의 구성요소 (constituent) 표면부들을 서로 분리하는 버텍스 (vertex) (예를 들어서, 에이펙스 (apex), 나디어 (nadir), 크레스트 (crest) 또는 밸리 (valley) 를 통상적으로 포함한다. 콜러게이션 피처들의 실례들은 아코디언 (accordion) 형 콜러케이션 패턴에서의 크레스트로부터-크레스트까지의 또는 밸브로부터-밸리까지의 단일 스팬 (single span), 단일 콘 (single cone), 단일 미파미드, 단일 반구 (single hemisphere) 등을 포함한다. 예를 들어서, 콘 형상 또는 반구 형상 콜러게이션 피처의 경우에, 콜러게이션 피처의 표면부는 실제로 단일 연속형 표면부일 수 있다고 이해된다. 그럼에도불구하고, 단면으로서 보여질 때에, 이러한 피처들은 2 개의 상이하게 배향된 표면부들을 분리하는 버텍스를 갖는 것으로 간주될 수 있다. 콘, 피라미드, 반구 등은 모두가 개별 타입의 콜러게이션 피처들로 간주될 수 있다. 다른 타입의 개별 콜러게이션 피처는 교번하는 상향 경사진 편평한 표면부와 하향 경사진 편평한 표면부를 갖는 아코디언 형상 피처이다.
콜러게이션된 세그먼트는 단일 타입의 콜러게이션 피처를 갖는 이온 추출기 플레이트의 영역이다. 예시적으로, 세그먼트는 (a) 오직 콘들 (cones) 만을 갖거나, (b) 오직 반구들만을 갖거나, (c) 버텍스들 (즉, 크레스트들 및 밸리들) 이 제 1 방향에서 서로 평행하고 정렬된 오직 아코디언 형상 피처들만을 갖거나, (d) 버텍스들이 제 2 방향에서 서로 평행하고 정렬된 오직 아코디언 형상 피처들만을 가질 수 있다. 도 4a를 참조하면, 오직 하나의 콜러게이션된 세그먼트만이 존재한다. 이 세그먼트는 다수의 콜러게이션 피처들 (콘들) 을 포함한다. 도 4b를 참조하면, 8 개의 개별 콜러게이션된 세그먼트들이 존재하지만, 서로 교번하는 오직 2 개의 개별 타입의 세그먼트들 (404,406) 만이 존재한다. 세그먼트 (404) 는 단일 대략 삼각 피라미드-형상의 콜로게이션 피처이며, 세그먼트 (406) 는 복수의 아코디언 형상의 피처들을 포함한다. 이러한 도면들이 이하에서 더 기술될 것이다.
본 명세서에서 사용되는 바와 같이, 용어 "콜러게이션된"은 측면에서 볼 때에 상향 및 하향으로 교번하여 경사진 부분들을 갖는 피처들/세그먼트들/플레이트들을 기술하는 것이다. 콜러게이션되는 것으로 간주되지 않는 플레이트의 실례는 내측의 편평한 영역 주위에 외측의 경사진 영역들이 존재하며 이 외측의 경사진 영역들은 모두 기판의 중앙에 대하여 대략 내측 방향으로 마주보고 있는 플레이트이다. 이러한 경우에, 플레이트는 (측면에서 볼 때에) 사다리꼴 형상의 단변 부분을 가질 것이며 상향 및 하향으로 교번하여 경사진 표면부들을 가지지 않기 때문에 콜러게이션된 것으로 간주되지 않는다.
이온 추출기 플레이트 (107) 는 플레이트 (107) 의 두께를 통과하여 연장된 개구들 (109) 을 갖는다. 이 개구들 (109) 은 이들을 통과하는 축들이 이온 추출기 플레이트 (107) 의 국소 표면부 (local surface) 에 대해 수직이도록 배향된다. 표면부가 비-평면형이기 때문에, 개구들 (109) 모두 또는 상당수가 기판의 플레이팅 표면 (plating face) 에 대해서 수직이지 않는 각도들로 배향될 수 있다.
프로세싱 동안에, 플라즈마 생성 가스가 가스 유입구 (117) 내로 들어간다. 플라즈마를 생성 또는 유지하는데 사용되는 임의의 가스 또는 가스의 혼합은 플라즈마 생성 가스로서 지칭될 수 있다. 플라즈마는 플라즈마 생성 디바이스 (121) 에 의해서 주로 또는 오직 플라즈마 생성 챔버 (123) 내에서 생성된다. 도 1의 실시예에서, 유도 결합형 플라즈마가 유전체 윈도우 (131) 에 의해서 플라즈마 생성 챔버 (123) 로부터 분리된 코일들 (121) 을 사용하여서 생성된다. 다양한 실시예들에서, 이온 추출기 플레이트 (107) 가 프로세싱 챔버 (125) 에는 플라즈마가 실질적으로 존재하지 않도록 플라즈마 생성 챔버 (123) 내로 플라즈마를 한정한다. 다양한 실시예들에서, 이온 추출기 플레이트 (107) 의 외측 에지와 챔버 벽 (103) 은 일정거리만큼 이격된다. 이러한 이격 거리는 이온 추출기 플레이트 (107) 가 이하에서 기술되는 바와 같이 적합하게 바이어스되는 것을 보장하는 것을 지원한다.
바이어스가 이온 추출기 플레이트 (107) 에 인가될 수 있다. RF 스트랩들 (113) 이, 특정 실시예들에서, 이온 추출기 플레이트 (107) 에 인가된 바이어스와 기판 지지부 (105) 에 인가된 바이어스가 동일하도록, 이온 추출기 플레이트 (107) 를 기판 지지부 (105) 에 접속시킨다. 플라즈마 생성 챔버 (123) 내에 존재하는 이온들은 이온 추출기 플레이트 (107) 내의 개구들 (109) 을 통과한다. 개구들 (109) 의 경사진 배향 및 이온 추출기 플레이트 (107) 에 인가된 바이어스로 인해서, 이온들이 프로세싱 챔버 (125) 내로 기판 (101) 에 대해서 경사지게 향하게 된다. 이온들이 기판 (101) 의 표면과 충돌하여서 원하지 않은 물질들을 스퍼터링 제거한다. 이온들이 기판의 표면에 대해서 경사지게 이동하기 때문에, 일부 이온들은 피처들의 측벽들로부터 재료들을 스퍼터 제거하도록 기판 상의 에칭된 피처들 내로 이동할 수 있다. 다양한 실시예들에서, 회전형 액추에이터 (115) 는 이온 추출기 플레이트 (107) 로 하여금 프로세싱 동안에 회전하게 한다. 이러한 회전은 에칭된 피처들을, 이온 추출기 플레이트 (107) 상의 다수의 상이하게 배향된 개구들로부터 제공된 각도 범위들로터 향해지는 이온들에 노출시킨다. 몇몇 경우들에서, 이온 스퍼터링/활성화와 반응성 화학물질의 조합이 원하지 않는 재료들을 제거하는데 사용될 수 있다. 반응성 화학적 에천트들이 반응 챔버 (103) 내로 도입되는 프로세스 가스 내에 제공되거나, 몇몇 경우들에서는 하부 프로세싱 챔버 (125) 내로 바로 도입될 수 있다.
도 2a 내지 도 2D는 본 명세서에서의 실시예에 따른 생성 프로세스에서의 상이한 시점들에서의 부분적으로 제조된 집적 회로를 도시한다. 도 2a는 기판이 에칭되기 전에 기판을 도시한다. 여기서, 기판은 제 1 층 (202), 제 2 층 (204), 제 3 층 (206) 및 패터닝된 마스크 층 (208) 을 갖는다. 기판은 도 2b에 도시된 에칭된 기판을 산출하도록 통상적인 기법들에 따라서 에칭될 수 있다. 에칭 프로세스 동안에, 원하지 않는 재료 (210) 가 에칭된 피처의 측벽들 상에 축적될 수 있다. 이러한 원하지 않는 재료는 에칭된 피처를 개선하고 디바이스 고장 가능성을 줄이기 위해서 제거되어야 한다. 디바이스 고장은, 예를 들어서 제 1 층 (202) 및 제 3 층 (206) 이 도전성이고 제 2 층 (204) 이 절연성인 경우에 특히 가능성이 있다. 이러한 경우에, 절연층 (204) 의 일 목적은 제 1 층 (202) 과 제 3 층 (206) 을 서로 전기적으로 분리시키는 것 일수 있다. 그러나, 도전성일 수 있는 원하지 않는 재료 (210) 가 제 1 층 (202) 과 제 3 층 (206) 간에서 단락을 형성하여서 디바이스 고장으로 이어질 수 있다. 따라서, 이러한 원하지 않는 재료 (210) 는 후속 프로세싱 이전에 제거되어야 한다.
도 2c는 본 명세서에서 개시된 다양한 실시예들에 따른, 이온들에 의한 충격을 받은 에칭된 기판을 도시한다. 이온들의 궤적이 화살표로 도시된다. 이온들은 도 1에 도시된 이온 추출기 플레이트 (107) 상의 개구들 (109) 의 경사진 배향으로 인해서 경사지게 이동한다. 이온들은 기판과 상호작용하여서 피처들의 측벽들로부터 원하지 않는 재료 (210) 를 스퍼터링한다. 도 2d는 기판이 본 개시된 방법들에 따라서 처리된 후의 기판을 도시한다. 원하지 않는 재료 (210) 가 제거되고 에칭 프로파일이 개선된다.
개시된 기법들을 구현하는데 사용될 수 있는 플라즈마 반응기의 보다 상세한 실례가 도 7을 참조하여서 이하에서 기술된다. 이온 추출기 플레이트로서 사용되기에 적합한 플레이트 구조들의 실례가 도 4a 내지 도 4c 및 도 5 및 도 6을 참조하여서 이하에서 기술된다.
방법들
도 3a는 다양한 개시된 실시예들에 따른, 피처의 측벽으로부터 재료를 제거하기 위한 프로세스 (300A) 를 도시하는 흐름도이다. 몇몇 경우들에서, 피처는 약 10 내지 60 nm 폭을 갖거나 약 5 내지 100 nm 폭을 갖는 트렌치이다. 다른 경우들에서, 피처는 약 5 내지 100 nm 직경을 갖거나 약 10 내지 60 nm 직경을 갖는 필러 (pillar) 또는 홀 (hole) 일 수 있다. 프로세스 (300A) 는 블록 (301) 에서 시작되며, 이 블록에서 에칭된 기판이 반응 챔버 내에서 수용된다. 상술한 바와 같이, 반응 챔버는 이온 추출기 플레이트에 의해서 상부 플라즈마 생성 챔버 및 하부 프로세싱 챔버로 분할된다. 기판은 하부 프로세싱 챔버에 제공되며, 통상적으로 정전 척과 같은 기판 지지부에 의해서 지지된다.
블록 (303) 에서, 플라즈마 생성 가스가 플라즈마 생성 챔버 내로 유동된다. 플라즈마가 플라즈마 생성 가스로부터 생성된다. 블록 (305) 에서, 바이어스가 이온 추출기 플레이트로 인가된다. 다양한 실시예들에서, 이온 추출기 플레이트는 기판을 지지하는 정전 척에 전기적으로 접속된다. 이러한 접속은 도전성 재료로 구성된 RF 스트랩들을 통해서 이루어질 수 있다. 이로써, 기판이 이온 추출기 플레이트와 동일한 RF 전위로 바이어스될 수 있다.
블록 (307) 에서, 상부 플라즈마 생성 챔버 내의 이온들이 이온 추출기 플레이트 내의 개구를 통과하고 하부 프로세싱 챔버 내로 이동하여서 블록 (309) 에서 기판의 표면과 상호작용한다. 다수의 경우들에서, 플라즈마는 플라즈마 생성 챔버 내로 한정되고 하부 프로세싱 챔버로 누설되지 않는다.
이온들은 인가된 바이어스에 의해서 가속될 수 있다. 이온들 상당수 또는 모두가 기판의 면에 대해서 경사지게 이동할 수 있다. 이온들은 본 명세서에서 어느 곳에서도 언급되는 바와 같이 이온 추출기 그리드 내의 개구들의 경사진 배향 및 크기로 인해서 경사진 속도를 갖는다. 이온들의 경사진 속도로 인해서, 상당수의 이온들이 에칭된 피처 내로 침투하여서 피처의 측벽을 때릴 수 있다. 에칭된 피처의 측벽들 때린 이온들은 그 상에 퇴적된 원하지 않는 재료를 제거하는 것을 지원한다. 이온들의 궤적이 경사지지 않고 수직이라면, 에칭된 피처 내로 침투한 이온들은 측벽 대신에 피처의 하단 바닥을 때릴 가능성이 크다. 또한, 수직 궤적을 갖는 일부 이온들이 측벽을 때릴 것이지만, 높은 입사 각으로 인해서 제거 프로세스는 매우 느려질 수 있다. 경사진 이온 궤적들을 사용함으로써, 피처들 내로 침투한 이온들은 보다 작은 입사 각으로 해서 측벽들을 때릴 수 있으며, 이로써 그 상의 재료들의 신속하게 제거될 수 있다. 몇몇 경우들에서, 이온들은 원하지 않는 재료를 스퍼터링 제거할 수 있다. 다른 경우들에서, 이온들은 표면 (예를 들어서, 에칭된 피처의 측벽) 을 활성화하고 이어서 반응성 화학물질이 사용되어서 원하지 않는 재료를 활성화된 표면으로부터 제거할 수 있다. 원하지 않는 재료는 진공 소스에 연결된 유출구를 통해서 반응 챔버 외부로 스위핑될 수 있다.
도 3b는 개시된 기법들로부터 이점을 취할 수 있는 예시적인 반도체 제조 프로세스를 예시한다. 특히, 도 3b는 도 3a에 기술된 경사진 에칭 프로세스가 발생할 수 있는 보다 넓은 제조 상황에 대한 것이다. 이러한 보다 넓은 반도체 제조 방법의 실례는 그 전체 내용이 본 명세서에서 참조로서 인용되는 미국 특허 번호 6,689,283 "DRY ETCHING METHOD, MICROFABRICATION PROCESS AND DRY ETCHING MASK" 에서 더 기술 및 개시된다.
프로세스 (300B) 는 블록 (302) 에서 시작되며, 이 블록에서 도전성 재료 층과 절연성 재료 층의 교번하는 층들을 갖는 스택이 기판 상에 증착된다. 일 실시예에서, 이 스택은 서로 교번하는 도전성 재료 층과 절연성 재료 층으로 이루어질 수 있다. 다양한 경우들에서, 스택이 증착된 기판은 반도체 웨이퍼이다. 다음으로, 블록 (304) 에서, 레지스트 층이 교번하는 층들의 스택 상에 증착된다. 레지스트 층은 리소그래피 기법을 사용하여서 미세-패터닝될 수 있다. 특정 경우에서, 패터닝된 레지스트 층은 예를 들어서 스핀-코팅 법에 의해서 증착되고 UV 또는 전자-빔 노광 장비를 사용하여서 패터닝되는 포지티브 타입 레지스트이다. 블록 (306) 에서, 마스크 층이 패터닝된 레지스트 층 상에 증착된다. 몇몇 경우들에서, 마스크 층은 티타늄 질화물 (TiN) 로 이루어지며 이는 반응성 스퍼터링 법에 의해서 증착될 수 있다.
다음으로, 블록 (308) 에서, 패터닝된 레지스트 층이 제거되어서 패터닝된 마스크 층이 형성된다. 몇몇 실시예들에서, 기판을 용매 조 내에 담그고 초음파 에너지를 인가하여 패터닝된 마스크를 제거함으로써 리프트-오프 (lift-off) 법에 의해서 제거가 이루어질 수 있다. 이어서, 기판 상의 스택이 에칭된 스택을 형성하게 블록 (310) 에서 에칭될 수 있다. 에칭은 예를 들어서 플라즈마 에칭 기법들을 사용하여서 발생할 수 있으며, 이는 때로 어느 정도의 양의 원하지 않는 재료가 에칭된 피처들의 측벽들 상에 남게 한다. 이어서, 블록 (312) 에서, 본 명세서에서 기술된 경사진 이온 빔 에칭 기법들이 사용되어서 에칭된 피처의 측벽들로부터 원치 않은 재료들을 제거한다. 예를 들어서, 도 3a에서 도시되고 나타난 프로세스 (300A) 가 동작 (312) 에서 구현될 수 있다. 도 3c 및 도 3d는 개시된 기법들을 사용할 수 있는 다른 예시적인 반도체 제조 프로세스를 도시한다. 도 3c는 주 프로세싱 동작들을 설명하는 흐름도이며, 도 3d는 도 3c의 각 스테이지 이후의 부분적으로 제조된 반도체 디바이스를 도시한다. 이러한 반도체 제조 방법의 실례는 그 전체 내용이 본 명세서에서 참조로서 인용되는 미국 재발행 특허 번호 RE40,951 "DRY ETCHING METHOD FOR MAGNETIC MATERIAL"에서 기술된다.
프로세스 (300C) 는 블록 (351) 에서 시작되며, 이 블록에서 도전성 층과 절연성 층의 교번하는 층들의 스택이 증착된다. 일 실례에서, 이 층들은 Ta (370), Al (371), Ta (372), PtMn (373), CoFe (374), Al2O3 (375), CoFe (376), NiFe (377), 및 Ta (378) 을 (웨이퍼로부터 가장 가까이 있는 것에서 가장 멀리 있는 것 순서대로) 포함한다. 층들 (374-376) (CoFe/Al2O3/CoFe 세트) 이 도전성 층과 절연성 층의 교번하는 층들의 스택을 구성한다. 상부 CoFe 층 (376) 은 자유 층 (free layer) 으로서 지칭될 수 있으며, 하부 CoFe 층 (374) 은 고정 층 (pinned lyaer) 으로서 지칭될 수 있다. PtMn 층 (373) 은 안티페로마그네틱 층 (antiferromagnetic layer) 이다. 이어서, 블록 (353) 에서, 레지스트 층 (379) 이 알려진 기법에 따라서 증착 및 패터닝된다. 이어서, 블록 (355) 에서, Ta (378) 의 최상부 층이 패터닝된 마스크 층 (378) 을 형성하도록 에칭된다. 이로써, 레지스트 층 상의 패턴이 마스크 층으로 전사될 수 있다. Ta는 CoFe 층들 (374 및 376), Al2O3 층 (375), 및 PtMn 층 (373) 을 포함하는 자기 층들을 에칭할 때에 마스크 층으로서 사용되기에 적합하다. 이 제 1 에칭 절차에서 마스크 층이 에칭/패터닝된 후에, 층들 (370-377) 은 에칭되지 않고/연속적이며, 층들 (378-379) 은 에칭/패터닝된다. 일 실시예에서, 이 제 1 에칭 절차는 CF4 가스를 사용하여서 수행된다. 포토레지스트 층 (379) 가 블록 (355) 에서의 제 1 에칭 절차 후에 제거된다.
이어서, 블록 (357) 에서, 제 2 에칭 절차가 수행되어서 층들 (373 내지 377) 이 에칭된다. 몇몇 경우들에서, 제 2 에칭 절차는 에천트로서 메탄올을 사용한다. 제 2 에칭 절차 후에, 층들 (372) 및 하위 층들이 에칭되지 않고/연속적이며, 층들 (373) 및 보다 상위 층들이 에칭/패터닝된다. Ta 층 (372) 은 그 아래의 층들을 보호하기 위한 마스크 역할을 하며, 패터닝된 Ta 층 (378) 은 층 (378) 이 존재하는 영역들에서 그 아래의 층들을 보호하기 위한 마스크 역할을 한다. 이러한 프로세스를 사용함으로써, 터널링 자기저항 구조물 (TMR) 이 형성될 수 있다. 증착된 재료 층 (380) 은 이 제 2 에칭 절차 동안에 에칭된 스택의 측벽들 상에 형성될 수 있다. 또한, 도 3d의 블록 (357) 에서의 스택은 완벽하게 수직 에칭 프로파일을 갖는 것으로 도시되었지만, 이는 그러지 않을 수도 있으며 측벽들은 도 2c 및 도 2d에서 도시된 바와 같이 경사질 수도 있다. 증착된 재료 층 (380) 은 CoFe 층들 (374와 376) 간에서 단락을 형성할 수 있다. 이러한 문제를 처리하기 위해서, 본 명세서에서 개시된 기법들이 블록 (359) 에서 수행되어서 경사진 이온 에칭을 수행하여서 측벽들 상에 퇴적된 재료를 제거하여서 디비이스를 개선시킬 수 있다.
에칭 메카니즘
몇몇 경우들에서, 에칭된 피처의 측벽으로부터 원하지 않는 재료의 제거는 이온 스퍼터링만을 사용하여서 이루어질 수 있다. 다른 실시예들에서, 반응성 화학물질이 재료 제거를 용이하게 하기 위해서 이온 노출과 함께 사용된다. 반응성 화학물질이 사용되는 경우에, 이온들의 일 목적은 반응할 표면을 활성화시키는 것일 수 있다.
본 명세서에서의 실시예들은 다양한 재료들을 에칭하는데 사용될 수 있다. 예를 들어서, 몇몇 구현예들에서, 에칭될 재료들은 다음의 원소들 또는 이들의 화합물들 및 합금들을 갖는 막 또는 다수의 막들을 포함하는 스택을 포함할 수 있다:
Ta, Ni, Fe, Co, Ru, Pt, Mn, Ir, Si, Cu, Mg, Zr, Pb, O, N, 등. 이 리스트는 한정적이지 않으며 단지 예시적일 뿐이다. 몇몇 실시예들에서, 에칭될 기판은 부분적으로 제조된 MRAM 또는 ReRAM 디바이스이다. 또한, 에칭될 재료는 기판 상에 증착된 재료들의 스택일 수 있다. 이 스택은 교번하는/인터리빙하는 (alternating/interleaving) 유전체 재료 층과 도전성 재료 층일 수 있다. 특정 실시예에서, 이 스택은 적어도 하나의 도전성 층-유전체 층-도전성 층의 샌드위치 구조를 갖는다 (즉, 유전체 재료 층이 2 개의 도전성 재료 층들 간에 위치함).
플라즈마 생성 가스는 상부 플라즈마 생성 챔버에 전달되는 가스이다. 이 가스는 이 공간 내에서 플라즈마를 생성 및/또는 유지하는데 사용된다. 통상적으로, 플라즈마의 역할은 하부 프로세싱 챔버 내로 주입될 이온들의 소스일 것이다. 이러한 이온들은 불활성이거나 반응성일 수 있다.
사용될 수 있는 불활성 가스의 실례는 헬륨 (helium), 네온 (neon), 아르곤 (argon), 크립톤 (krypton) 및 제논 (zenon) 을 포함한다. 사용될 수 있는 반응성 가스의 실례들은 다음으로 한정되지 않지만 수소 (H2), 산소 (O2), 질소 (N2), 메탄 (CH4), CF4 (carbon tetrafluoride), Cl2 (chlorine), HBr (hydrogen bromide), NH3 (ammonia) , PF3 (phosphorus trifluoride), COF2 (carbonyl fluoride), CO (carbon monoxide), NO (nitric oxide), CH3OH (methanol), C2H5OH (ethanol), C5H8O2 (acetylacetone), C5H2F6O2 (hexafluoroacetylacetone), SOCl2 (thionyl chloride), SOF2 (thionyl fluoride), CH3COOH (acetic acid), C5H5N (pyridine), 및/또는 HCOOH (formic acid) 를 포함한다. 다양한 실시예들에서, 이러한 에칭 반응물들의 조합이 사용된다. 예를 들어서, 몇몇 경우들에서, CO + NO 조합이 사용된다. 다른 경우에서, CO2 + NO2 조합이 사용된다. 다른 경우에서, 피리딘 (pyridine) 이 티오닐 클로라이드 (thionyl chloride) 및/또는 티오닐 플루오라이드 (thionyl fluoride) 와 조합된다. 다른 조합들도 역시 가능하다. 플라즈마를 생성하는데 사용되는 가스는 사용되는 에칭 화학물질 및 에칭될 재료에 부분적으로 기초하여서, 반응 챔버 내에서 원하지 않은 반응들을 저감 또는 제거하도록 선택될 수 있다. 이러한 재료들 및 반응들은 단지 예시적이며 본 실시예들을 어떠한 방식으로도 한정하지는 않는다. 본 기술 분야의 당업자는 본 명세서에서의 기법들이 다양한 재료들 및 반응들과 함께 사용될 수 있음을 이해할 것이다.
반응성 가스가 사용되는 경우에, 이 가스는 플라즈마 생성 가스의 일부로서 전달되거나 별도로 전달될 수 있다. 예를 들어서, 일 실시예에서, 반응성 가스는 플라즈마 생성 챔버로 (불활성 플라즈마 생성 가스와 함께 또는 없이) 전달된다. 다른 실시예에서, 반응성 가스는 하부 프로세싱 챔버로 바로 전달되고, 다른 가스 스트림이 플라즈마 생성 가스를 상부 플라즈마 생성 챔버로 전달한다.
피처의 측벽들로부터 원치 않는 재료를 제거하는 메카니즘은 플라즈마 생성 챔버 및 프로세싱 챔버에 전달된 가스 선택 사항에 의존할 것이다. 불활성 가스가 플라즈마 생성 챔버에 전달되고 어떠한 반응성 가스도 사용되지 않는 경우에, 제거는 주로 이온 에칭에 의해서 이루어질 수 있다. 이와 대조하여서, 플라즈마 생성 가스들이 반응성 종들을 포함하는 경우에, 제거는 반응성 이온 에칭에 의해서 영향을 받거나 이에 의해서 주로 이루어질 수 있다. 또한, 플라즈마 생성 가스가 불활성 이온들을 포함하고 별도의 에천트 소스가 하부 프로세싱 챔버에 바로 전달되는 경우에서는, 상이한 제거 메카니즘이 지배할 수 있다.
이온 에칭은 다양한 구현예들에서 사용될 수 있다. 이온 에칭은 일반적으로 불활성 가스로의 물리적 스퍼터링에 의해서 원자들을 제거하는 것을 말한다. 물리적 스퍼터링은 이온들과 이온들이 충돌하는 재료들 간의 모멘텀 교환 (momentum exchange) 에 의해서 구동된다. 충격 시에, 입사 이온들은 목표물 내에서의 충돌 캐스케이드 (collision cascade) 를 개시한다 (set off). 이러한 충돌 캐스케이드가 되튀고 (recoil) 표면 바인딩 에너지보다 큰 에너지로 목표 표면에 도달하면, 원자는 스퍼터링으로서 알려진 바와 같이 배출된다 (ejected).
다른 실시예들에서, 반응성 이온 에칭은 원치 않는 재료를 제거하는데 사용된다. 이 경우에, 화학적으로 활성인 이온들 및/또는 라디칼들이 원치 않는 재료와 반응하여서 이 재료가 제거되는 것을 지원한다. 몇몇 경우들에서, 이온 스퍼터링과 라디칼 유도된 반응 간의 조합이 사용된다.
에천트 가스가 하부 프로세싱 챔버로 직접적으로 바로 전달되고 플라즈마 생성 가스가 플라즈마 생성 챔버 내로 전달되는 경우에, 제거 메카니즘은 다소 상이할 수 있다. 임의의 이론 또는 작용 메카니즘에 한정되지 않고서, 이온 충격 (ion bombardment) 은 에칭될 금속 또는 다른 재료 상에 댕글링 본드 (dangling bonds) 및/또는 다른 물리화학적으로 민감한 피처들 (physicochemically receptive features) 를 생성함으로써 기판 상에 활성 자리들을 생성할 수 있다. 이온 충격에 의한 활성화는 통상적으로 플라즈마로의 노출을 통해서 달성된다. 이러한 통상적인 애플리케이션들에서, 플라즈마는 통상적으로 기판 바로 위의 영역에서 생성되며, 기판을 지지하는데 사용되는 정전 척이 기판의 표면 상으로 이온들을 끌어당기도록 바이어스될 수 있다.
본 실시예들에서, 이온 충격은 상이한 방식으로 발생한다. 예를 들어서, 플라즈마는 이온 추출기 플레이트에 의해서 (기판이 위치한) 프로세싱 챔버로부터 분리된 상부 플라즈마 생성 챔버 내에서 주로 또는 오직 생성될 수 있다. 따라서, 다양한 구현예들에서, 기판은 플라즈마 분위기에 직접적으로 노출될 수 없다. 이온 추출기 플레이트 및 정전 척이 이온들을 상부 플라즈마 생성 챔버로부터 이온 추출기 플레이트를 통해서 하부 프로세싱 챔버 내로 가속시켜서 기판과 충돌하여서 상술한 바와 같이 표면을 활성화 및/또는 스퍼터링하도록 바이어스될 수 있다.
몇몇 경우들에서, 에칭 프로세스 동안에 하부 서브-챔버에 공급된 가스는 에천트 가스뿐만 아니라 하나 이상의 다른 가스들을 포함한다. 추가 가스는 예를 들어서 산소, 수소 또는 질소일 수 있다. 이 가스는 기판의 표면과 반응하여서 예를 들어서 금속 산화물, 금속 하이드라이드 (hydride) 또는 금속 질화물을 형성한다. 이러한 산화물/하이드라이드/질화물 재료는 몇몇 경우들에서 순수 금속보다 에칭되기 더 용이할 수 있다. 추가 가스는 연속적으로 또는 단속적으로 (in pulse) 공급될 수 있다. 단속적으로 공급되는 경우에, 제 2 프로세스 가스가 단속 공급되는 에칭 가스와 단속 공급되는 제 2 프로세스 가스의 교번하는 반복 사이클로 공급될 수 있다.
다른 실시예에서, 산화물/하이드라이드/질화물 형성을 구현하는 재료가 이온화된 형태로 해서 상부 서브-챔버로부터 하부 서브-챔버로 전달된다. 몇몇 구현예들에서, 기판의 표면은 화학적으로 불활성인 이온들 및 화학적으로 반응성인 이온들의 교번하는 스트림에 노출된다.
가스들의 상부 플라즈마 생성 챔버 및 하부 프로세싱 챔버로의 전달은 임의의 다양한 메카니즘들에 의해서 달성될 수 있다. 일 실시예에서 유입 노즐들이 상부 챔버 및 하부 챔버에 위치할 수 있다. 각 챔버에 복수의 유입구들이 존재할 수 있다. 일 구현예에서, 다수의 유입구들이 프로세스 가스들의 균일한 유동을 제공하도록 서브-챔버들의 둘레부에 걸쳐서 배치된다. 다른 실시예에서, 이온 추출기 플레이트가 상부 서브-챔버 및 하부 서브-챔버 중 하나 또는 양자로 가스들을 제공하기 위한 샤워헤드 역할을 할 수 있다. 다른 경우들에서, 가스들은 각 서브-챔버 내로 연장된 중앙 노즐을 통해서 공급될 수 있다. 플라즈마 생성 가스는 플라즈마 생성 챔버에 전달되고, 추가 가스 (예를 들어서, 에천트 가스) 는 플라즈마 생성 챔버 아니면 프로세싱 챔버로 전달될 수 있다. 상이한 형태의 가스 전달이 개시된 실시예들의 범위 내에서 사용될 수 있음을 본 기술 분야의 당업자는 이해할 것이다.
플라즈마 생성
플라즈마가 상부 플라즈마 생성 챔버 내에서 생성된다. 플라즈마를 생성하는데 사용되기에 적합한 가스들은 에칭 메카니즘 부분에서 상술되었다. 다양한 타입의 플라즈마 생성 기법들이 플라즈마 생성 챔버 내에서 플라즈마를 생성하는데 사용될 수 있다. 일 실시예에서, 플라즈마는 예를 들어서 플라즈마 생성 챔버 위에 위치한 코일들을 사용하여서 생성되는 유도 결합형 플라즈마이다. 다른 실시예에서, 플라즈마는 용량 결합형 플라즈마, 마이크로웨이브 플라즈마 또는 전자 사이클로트론 공진 (ECR) 플라즈마이다. 유도 결합형 플라즈마의 경우에, 넓은 범위의 여기 주파수들이 사용될 수 있다. 특정 경우들에서, 여기 주파수들은 무선 주파수들 또는 마이크로웨이브 주파수들이다. 본 명세서에서의 교시사항들에 따라서 수정될 수 있는 플라즈마 생성 반응기의 실례는 미국 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수가능한 Kiyo 반응기이다.
몇몇 구현예들에서, 플라즈마 생성 챔버 내의 압력은 매우 낮다 (예를 들어서, 약 20 mTorr 이하, 또는 약 5 mTorr 이하, 또는 약 1 내지 2 mTorr 임). 낮은 압력 플라즈마를 사용하는 한가지 이유는 이온들이 플라즈마 내에서 가스와 충돌할 가능성을 줄이기 위한 것이다. 이온들이 가스 원자들/분자들과 충돌하는 경우에, 이온들은 지향성 및 모멘텀을 상실하며 이로써 기판으로부터의 원하지 않는 퇴적물들을 제거할 시에 덜 유용하게 된다. 저 압력 플라즈마를 사용하는 다른 이유는 하부 프로세싱 챔버 내에서 플라즈마를 형성하는 가능성을 줄이기 위함이다. 몇몇 경우들에서 (프로세싱을 위해서 사용될 수 있는 보다 많은 개수의 이온들을 갖는 플라즈마와 같은) 고 밀도 플라즈마를 사용하는 것이 바람직하지만, 플라즈마가 그리드를 통해서 하부 프로세싱 챔버 내로 누설되도록 플라즈마 밀도는 그렇게 크지 말아야 한다. 몇몇 실시예들에서, 플라즈마 생성 챔버 내에서의 플라즈마 밀도는 약 5e9 cm-3 내지 1e12 cm-3, 또는 약 1e10 cm-3 내지 5e11 cm3 일 수 있다.
특정 실시예들에서, 이온 추출기 플레이트는 플라즈마 생성 시에 큰 역할을 하지 않는다. 그러나, 이온 추출기 플레이트는 플라즈마를 상부 플라즈마 생성 챔버로 한정하고 하부 프로세싱 챔버로 전달할 종들을 필터링하는데 중요한 역할을 한다.
반응기 내에서의 이온 추출기 플레이트의 위치
이온 추출기 플레이트가 반응 챔버 내에 위치하여서, 반응 챔버를 상부 플라즈마 생성 챔버와 하부 프로세싱 챔버로 분할한다. 이러한 챔버들은 또한 때로 상부 챔버 또는 하부 챔버 또는 상부 서브-챔버 또는 하부 서브-챔버로 지칭된다. 본 명세서에서 개시된 이온 추출기 플레이트를 포함하도록 수정되기에 적합한 반응 챔버의 실례는 미국 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수가능한 Kiyo 반응기이다. 문맥상, 다음의 설명은 위에서 더 상술된 도 1을 참조하여서 고려될 수 있다.
몇몇 실시예들에서, 이온 추출기 플레이트의 주변부는 반응 챔버의 주변부와 동일한 외연(外延)을 가지며 (또는 거의 동일한 외연을 가지며), 이 반응 챔버의 주변부는 처리되는 기판의 주변부와 대략 (예를 들어서, 약 5 퍼센트 내에서 또는 약 10 퍼센트 내에서) 동일한 외연을 갖는다. 그러나, 몇몇 경우들에서, 이온 추출기 플레이트의 주변부 및 반응 챔버의 주변부는 기판의 주변부를 넘어서 연장된다. (에칭된 피처의 방사상 내측 측벽들이 이온들에 의해서 보다 철저하게 공격을 받을 수 있도록) 반응 챔버/이온 추출기 플레이트를 기판보다 더 크게 설계하는 것이 바람직하지만, 이러한 대형 장치의 이점은 이러한 장치가 차지하는 실질적으로 증가된 공간 및 플라즈마 생성 챔버의 증가된 공간 내에서 보다 많은 양의 플라즈마를 유지하는데 요구될 수 있는 실질적으로 증가된 전력의 양이라는 단점들에 비해서 가치가 있어야 한다. 이로써, 몇몇 실시예들에서, 처리되는 기판의 직경은 이온 추출기 플레이트의 직경의 약 50 내지 90 퍼센트, 또는 약 60 내지 90 퍼센트이다. 몇몇 구현예들에서, 챔버 벽이 접지 상태로 유지되고 이온 추출기 플레이트가 바이어스되는 때에 발생할 수 있는 아크 발생 위험을 최소화하기 위해서, 추출기 플레이트의 외측 에지는 반응 챔버 벽의 내측 표면으로부터 분리된다. 일 실시예에서, 이온 추출기 플레이트의 외측 에지는 적어도 약 3 cm 갭만큼 챔버 벽으로부터 분리된다.
기판의 플레이팅 면과 이온 추출기 플레이트의 콜러게이션된 부분의 최저 표면부 (lowest surface) (또는 몇몇 경우들에서는 이온 추출기 플레이트의 콜러게이션된 부분의 평균 위치) 간의 거리는 상대적으로 작아야 한다 (예를 들어서, 약 10 cm 이하, 또는 약 8 cm 이하, 또는 약 5 cm 이하임). 이러한 상대적으로 작은 이격 거리는 플라즈마가 하부 프로세싱 챔버 내에서 유지되지 않도록 플레이트와 척 간의 전계가 약하게 되는 것을 보장하는 것을 지원한다. 이러한 또는 다른 구현예들에서, 이온 추출기 그리드는 상부 플라즈마 생성 챔버의 천장부로부터 약 10 내지 25 cm 떨어져서 위치할 수 있다.
그러나, 이온 추출기 플레이트는 기판에 너무 가깝게 위치하지 말아야 하는데, 너무 가까우면 플레이트의 표면 패턴이 웨이퍼의 면에 프린팅 (printing) 될 수 있기 때문이다. 달리 말하면, 이온 추출기 플레이트의 개구들의 패턴이 프로세싱 후에 바람직하지 않게 기판의 면에서 나타날 수 있으며, 이는 상당한 에칭 불균일성을 야기한다. 다수의 애플리케이션들에서, 기판의 상단으로부터 이온 추출기 플레이트의 하단까지의 적어도 약 1 인치의 거리이면 이러한 프린팅 현상을 방지하는데 충분하다.
이온 추출기 플레이트 설계
이온 추출기 플레이트를 구현하는데 다양한 설계들이 사용될 수 있다. 예시적인 이온 추출기 플레이트들이 도 4a 내지 도 4d에 도시되며 이하에서 더 기술된다. 전반적으로, 이온 추출기 플레이트는 이 플레이트의 두께를 통해서 연장되는 개구들을 갖는 콜러게이션된 표면부 (corrugated surface) 를 포함할 것이다. 다양한 실시예들에서, 단일 추출기 플레이트가 사용된다. 개구들 전부 또는 상당수가 이온 추출기 플레이트의 국소적 표면에 대해서 수직이고 기판의 면에 대해서는 경사지게 배향될 수 있다.
개구들의 직경 또는 다른 주요 치수는 충분한 양의 이온들이 상부 챔버로부터 하부 챔버로 전달될 수 있도록 충분하게 커야 한다. 개구의 주요 치수는 이온 추출기 플레이트의 국소적 표면에 대하여 평행한 방향으로 존재하며 개구 내에서 이 방향에서의 최장 선형 경로에 걸쳐 있다. 이 주요 치수 (principal dimension) 는 상부 플라즈마 생성 챔버를 마주보는 이온 추출기 플레이트의 측면에서 측정된다. 개구의 주요 치수가 너무 작거나/작고 플레이트에 불충분한 개수의 개구들이 존재하면, 상대적으로 적은 개수의 이온들이 하부 프로세싱 챔버 내로 진입하여서 기판과 상호작용할 것이다. 플라즈마 생성 서브-챔버 내에서 생성된 이온들의 높은 비율이 개구의 측벽 또는 이온 추출기 플레이트의 상단과 충돌할 것이며, 따라서 이러한 이온들은 유용하지 않게 된다. 몇몇 경우들에서, 개구들의 직경 또는 다른 주요 치수는 적어도 약 0.5 mm 또는 적어도 약 1 mm이다. 이온 추출기 플레이트가 (홀들을 갖는 플레이트 대신에) 미세한 메시 (fine mesh) 로 이루어진 경우에, 개구들의 크기는 보다 작아질 수 있다. 이하에서 기술되는 바와 같이, 허용가능한 개구 수치에 대해서는 상한이 존재한다. 개구 주요 치수의 적합한 범위는 약 0.5 내지 5 mm 일 수 있다.
개구들의 직경 또는 다른 주요 치수는 개구/플레이트에 걸쳐서 연속하는 플라즈마 시스 (sheath) 를 유지하도록 충분하게 작아야 한다. 개구들이 너무 크면, 플라즈마 시스가 개구 주변을 랩핑 (wrapping) 하거가 개구 가까이서 크게 디핑 (dipping) 하거나 이와 달리 개구의 근처에서 매우 불균일하게 될 수 있다. (예를 들어서, 개구가 너무 커서 플라즈마 시스가 개구의 에지들 주변을 랩핑하는 경우에) 플라즈마 시스가 불연속적으로 되게 되면, 플라즈마 생성 챔버 내의 플라즈마가 플라즈마 시스 내의 개방부를 통해서, 그리고 플레이트의 개구를 통해서 하부 프로세싱 챔버 내로 누설될 가능성이 있다. 이는 다수의 실시예들에서, 하부 프로세싱 챔버에는 플라즈마가 실질적으로 존재하지 말아야하기 때문에 문제가 될 수 있다.
개구 근처에서의 플라즈마 시스의 형상은, 플라즈마 시스의 형상/배향이 이온 추출기 플레이트를 통해서 하부 프로세싱 챔버 내로 향해지는 이온들의 궤적을 결정하기 때문에 중요하다. 특히, 이온들은 플라즈마 시스의 국소적 에지/경계의 방향에 대해서 수직인 각도로 향하는 경향을 갖는다. 개구 근처에서의 플라즈마 시스의 어느 정도의 디핑 (dipping) 은 특정 실시예들에서 허용가능하지만, 허용불가능한 양의 이온들이 개구들을 통해서 이동할 때에 플레이트/측벽들을 충돌하게 될 정도로 디핑/딤플 (dip/dimple) 은 크지 말아야 한다. 개구 크기가 플라즈마 시스에서의 상대적으로 작은 정도의 디핑을 허용하도록 설계되는 구현예들에서, 이온들의 궤적은 다소 덜 콜리메이션되며 (collimated) 보다 분산되게 될 수 있다. 플라즈마 시스에서의 작은 정도의 디핑은 기판에 걸친 보다 광범위한 이온 입사 각도를 달성할 시에 유리할 수 있다. 몇몇 실시예들에서, 개구의 직경 또는 다른 주요 수치는 약 5 mm 이하 또는 약 3 mm 이하이다. 특정 경우들에서, 플라즈마 시스의 두께는 약 200 ㎛ 내지 1 mm이다.
개구들을 특성화하는 다른 방식은 플라즈마 시스의 두께에 대한 개구의 주요 치수의 비이다 (예를 들어서, Dap/Ts, 여기서 Dap는 개구의 직경 또는 다른 주요 치수이며 Ts는 플라즈마 시스의 두께이다). 이 비는 시드 대 개구 비로서 지칭되며 몇몇 실시예들에서 약 0.5 내지 15 또는 약 0.5 내지 4이다.
도 5a 내지 도 5c는 상술한 개구 크기 개념들을 예시한다. 이 도면들은 반드시 축척대로 도시된 것은 아니며 단지 명료성을 위해서 제공된 것이다. 이 도면들 각각에서, 화살표들은 이온들의 궤적을 나타낸다. 이온 추출기 플레이트 (501) 의 오직 하나의 콜러게이션 피크 (corrugation peak) 만이 도시된다. 도 5a는 이온 추출기 플레이트 (501) 내의 경사진 개구 (502A) 를 도시한다. 도 5a에서, 개구 (502A) 의 직경은, 허용가능한 개수의 이온들이 상부 플라즈마 생성 챔버 (505) 로부터 통과하여서 하부 프로세싱 챔버 (506) 내의 기판 (미도시) 과 접촉하도록 적합하게 된다. 또한, 플라즈마 시스 (510A) 는 연속적이며 개구에 걸쳐서 매우 균일하다. 도 5b는 너무 작은 직경을 갖는 개구 (502B) 를 도시한다. 플라즈마 시스 (510A) 의 형상은 허용가능하지만, 너무 많은 이온들이 개구 (502B) 의 측벽들과 충돌하게 되어서 불충분한 개수의 이온들이 하부 프로세싱 챔버 (506) 내로 통과하게 된다. 도 5b에서 도시된 바와 같은, 너무 작은 직경을 갖는 개구는 기판으로부터 원치 않는 재료의 불충분한 제거를 낳는다. 이어서, 도 5c는 직경이 너무 큰 개구 (502C) 를 도시한다. 여기서, 플라즈마 시스 (510A) 의 형상은 개구 근처에서 불균일하며 따라서 상당한 비율의 이온들이 적합한 지향성을 가지고 인도되지 않을 수 있다. 특히, 이온들이 플라즈마 시스 (510A) 의 국소적 표면/경계에 수직인 방향으로 향하게 될 것이다. 이러한 국소적 표면/경계는 이온 추출기 플레이트를 통한 개구의 방향과 수직이지 않기 때문에, 이온들은 개구의 측벽과 충돌할 가능성이 크다. 몇몇 실시예들에서, 개구 상방에서 한정된 근소하게 딤플된 (dimpled) 시스 경계를 갖는 것이 바람직하다. 이는 개구를 통한 이온 방향들의 콘형 (conical) 분포 또는 스프레이 (spray) 분포를 생성하며, 이로써 기판의 국소 영역에서의 트렌치 측벽들에 충돌하는 각도 범위를 증가시킨다.
도 5d는 개구 (502D) 가 절두된 (truncated) 콘 형상을 갖는 실시예를 도시한다. 달리 말하면, 개구의 면적은 이온 추출기 플레이트 (501) 의 하부 표면에서의 개구의 면적 (프로세싱 챔버 (506) 를 마주보는 면에서의 개구의 면적) 보다 이온 추출기 플레이트 (501) 의 상부 표면에서의 개구의 면적 (플라즈마 생성 챔버 (505) 를 마주보는 면에서의 개구의 면적) 이 작다. 이러한 구성에 의해서, 이온들은 개구 (502D) 의 측벽과 덜 충돌하게 되는데 그 이유는 이온들이 플레이트 (501) 를 통과할 때에, 이온들은 그 통과하는 체적/면적량이 증가하기 때문이다. 이로써, 그렇지 않으면 측벽과 충돌할 이온들이 하부 프로세싱 챔버 (506) 내로 통과할 수 있게 된다. 또한, 이온 추출기 플레이트의 상부 표면에서의 개구의 면적이 상대적으로 작기 때문에, 플라즈마 시스의 형상이 매우 균일할 것이다. 이러한 타입의 콘형 개구 설계로 인해서 이온들은 하부 프로세싱 챔버 (506) 내로 보다 덜 콜리메이션되고 보다 "스프레이" 형으로 전달된다. 전술한 바와 같이, 이는 기판에 걸친 넓은 범위의 이온 입사 각도를 달성하는데 유리하다.
개구의 크기 및 형상은 목표된 이온 궤적 세트를 제공하도록 맞춤화될 수 있다. 몇몇 경우들에서, 개구들은 개별 개구들 모두 또는 일부로부터 이온들의 조밀한 (tight) 콜리메이션된 (collimated) 공급을 제공하도록 설계된다. 이러한 또는 다른 경우들에서, 개구들 중 일부 또는 전부는 일정 범위의 방향들에 걸친 이온들의 스프레이형 공급을 제공하도록 설계된다. 몇몇 실시예들에서, 플레이트는 국소적 플라즈마 시스 경계에서 소형 딤플 (minor dimple) 을 생성하도록 충분하게 큰 주요 치수 및 콘형 (conical) 단면을 갖는 개구들을 갖도록 설계된다.
다양한 실시예들에서, 개구들 중 전부 또는 일부는 원형으로 형성된다. 그러나, 특정 실시예들에서, 개구들 중 일부 또는 전부는 비원형을 가질 수 있다. (개구가 위치하는 국소적 표면부에 대해 평행한 평면으로부터 보여질 때에) 예시적인 개구 형상은 타원형, 슬롯형, 다각 C형상, T 형상 등을 포함할 수 있다.
이온 콜리메이션 정도에 영향을 주는 관련 인자는 이온 추출기 플레이트의 두께이다. 일반적으로, 두께가 큰 플레이트는 보다 콜리메이션된 이온 궤적을 제공하는 반면에, 보다 얇은 플레이트는 보다 넓은 범위의 궤적들을 제공한다. 이온 추출기 플레이트는 구조적 일체성을 가지면서 그의 중간 부분 근처에서 하향으로 실질적으로 휘어 (bowing) 지지 않도록 충분한 두께를 가져야 한다. 몇몇 실시예들에서, 이온 추출기 플레이트의 두께는 적어도 약 1 mm 또는 적어도 약 3 mm일 수 있다. 또한, 이온 추출기 플레이트는 허용가능한 이온 플럭스 (flux) 가 달성되게 충분하게 얇아야 한다. 몇몇 실시예들에서, 이온 추출기 플레이트의 두께는 약 25 mm보다 작거나 약 10 mm보다 작다.
이온 추출기 플레이트는 특정량의 개방 면적을 가질 것이다. 개방 면적은 그를 통해서 상부 플라즈마 생성 챔버로부터 하부 프로세싱 챔버로의 또렷한 시선 (clear line-of-sight) 이 존재하게 되는 면적의 총량으로서 규정된다. 이온 추출기 플레이트의 콜러게이션 및 이에 대응하는 개구들의 경사 특성으로 인해서, 또렷한 시선들이 모두 동시에 단일 시점 (single vantage point) 으로부터 보일 수는 없을 것이다. 개방 면적은 이온 추출기 플레이트의 상부 측면 (즉, 플라즈마 생성 챔버를 마주보는 측면) 에서의 표면적 및 개구 면적에 기초하여서 계산된다. 몇몇 실시예들에서, 이온 추출기 플레이트의 총 개방 면적은 약 1 내지 50 퍼센트 또는 약 10 내지 40 퍼센트이다. 일 실시예에서, 개방 면적은 약 30 퍼센트이다.
도 4a 내지 도 4d를 참조하면, 이온 추출기 플레이트는 다수의 방식으로 설계될 수 있다. 전반적으로, 이온들이 에칭된 피처들 내로 최상으로 침투하여 이러한 피처들의 측벽들 상에 퇴적된 재료를 제거할 수 있도록 하는 각도들의 범위로 해서 이온들을 향하게 할 수 있으면 바람직하다. 소정의 기판 상의 측벽은 기판 상의 임의의 특정 위치에서 다수의 상이한 방향들로 배향될 수 있기 때문에, 광범위한 이온 입사 각도들을 달성하도록 매우 다양한 이온 궤적들을 사용하는 것이 유리하다. 이로써, 에칭된 피처의 각 측벽 및 모든 측벽이 그 위에 퇴적된 원치 않는 재료를 세정 제거할 수 있는 이온들에 노출되어서 우수한 제거 결과들을 낳을 수 있는 가능성이 보다 높아진다.
몇몇 실시예들에서, 플레이트는 복수의 콘들을 포함한다. 각 콘은 추출기 플레이트에서의 콘의 국소적 표면부에 대해서 수직으로 배향된 하나 이상의 개구들 (예를 들어서, 적어도 약 2 개의 개구, 적어도 약 3 개의 개구, 또는 적어도 약 4 개의 개구들) 을 포함할 수 있다. 몇몇 실시예들에서, 콘들은 높이 및/또는 직경이 변한다. 이러한 기하구조적 변화들은 이온들이 기판을 향해서 상이한 각도들로 해서 향할 수 있게 한다. 콘들 간에서 변할 수 있는 다른 인자들은 개구들의 배치/배향 및 콘당 개구의 수이다.
도 4a는 위에서 볼 때의, 이러한 타입의 개구를 구비한 콘 구조체를 갖는 이온 추출기 플레이트 (400A) 를 예시한다. 각 소형 원들 (403) 은 콘을 나타낸다. 각 콘의 중앙에 있는 흑색점 (405) 은 콘의 피크를 나타낸다. 타원들 (본 도면에서의 4 개의 타원들이 쌍으로 도시됨) 은 개구들 (407) 을 나타낸다. 개구들 (407) 은 콘들의 경사진 표면부로 인해서 이러한 관점에서는 타원형 (ovular) 단면을 갖는다. 콘들 (403) 이 추출기 플레이트의 일부 상에만 도시되었지만, 이러한 콘들은 플레이트의 전체 표면부에 걸쳐서 연장될 수 있음이 이해된다. 다른 실시예에서, 콘의 에이펙스 (apex) 가 점이기보다는 작은 편평한 표면이도록 절두된다. 이러한 애플리케이션을 위해서, 절두된 콘 구조도 달리 언급되지 않는 이상 콘 구조로서 간주된다. 단일 절두된 콘을 갖는 플레이트는 콜러게이션된 것으로 간주되지 않을 것이지만; 플레이트의 표면부에 걸쳐서 복수의 절두된 콘들을 갖는 플레이트는 콜러게이션된 것으로 간주될 것인데, 그 이유는 이러한 플레이트는 (예를 들어서, 인접하는 콘들 간의) 몇 개의 교번하는 하향 및 상향으로 경사진 표면들을 가질 것이기 때문이다. 마찬가지로, 반구형, 타원형, 및 계란형 인덴테이션들 (indentations) 도 또한 달리 언급되지 않는 이상 콘 구조로서 간주될 수 있다. 관련된 실시예에서, 이온 추출기 플레이트는 콘 구조보다는 피라미드 구조를 가질 수 있다. 피라미드 구조체의 각 면은 하나 이상의 개구들을 가질 수 있다.
다른 실시예에서, 이온 추출기 플레이트는 서로 오프셋된 복수의 콜러게이션된 부분들을 갖는다. 일 실례에서, 이 부분들은 파이 (pie) 형상을 갖는다. 다른 실례에서, 이 부분들은 직사각형이다. 도 4b는 서로 오프셋된 복수의 파이-형상의 콜러게이션된 부분들을 갖는 이온 추출기 플레이트 (400B) 를 도시한다. 이 실시예에서, 2 개의 교번하는 타입들의 파이 형상의 세그먼트들 (404 및 406) 이 있다. 개구들 (407) 이 타원형들로 표시된다. 명료성을 위해서, 개구들 (407) 은 8 개의 세그먼트들 중에서 오직 2 개의 세그먼트들에 대해서만 도시되었으며, 개구들 (407) 은 플레이트 (400B) 상의 세그먼트들 (404 및 406) 각각에 존재함이 이해된다. 두꺼운 실선들 (407) 은 파이 형상의 세그먼트들 (404 및 406) 간의 구별을 나타낸다. 얇은 실선들 (411) 은 콜러게이션된 세그먼트 내에 존재하는 피크들/크레스트들을 나타낸다. 점선들 (413) 은 피크들 간의 밸리들을 나타낸다. 달리 말하면, 얇은 실선들 (411) 은 "페이지로부터 나오는" 피처들을 나타내는 반면에, 점선들 (413) 은 "페이지로 들어가는" 피처들을 나타낸다.
상이한 개구 패턴들/각도들을 갖는 다수의 세그먼트들을 사용함으로써, 이온 입사 각도 범위가 제거 효과를 최대화시키도록 달성될 수 있다. 도 4b의 실시예에서, 2 개의 구별되는 세그먼트 패턴들이 사용된다. 일 세그먼트 패턴 (406) 은 일련의 교번하는 피크들 및 밸리들을 갖는 아코디온형이다. 개구들 (407) 이 이온 추출기 플레이트의 국소적 표면에 대해서 수직으로 배향되기 때문에, 이러한 타입의 세그먼트 (406) 내의 개구들을 통과하는 이온들은 개구 위치로부터 방사상으로 오프셋된 방향으로 (즉, 플레이트의 중앙으로부터 멀어지거나 중앙을 향하도록) 향할 것이다. 도 4b에 도시된 다른 세그먼트 패턴 (404) 은 대략 삼각 피라미드형인 상단 표면부 형상을 갖는다. 도 4b에 도시된 바와 같이, 피라미드의 피크는 반경의 중앙 지점에서보다는 플레이트의 외측을 향해서 오프셋될 수 있다. 또한, 외측 에지는 원형 플레이트 (400B) 의 곡률로 인해서 다소 곡선형으로 될 수 있다. 이 세그먼트 (404) 내의 개구들 (407) 은 이온들을 비방사상 방향으로 향하게 한다 (즉, 플레이트의 중앙을 직접적으로 향하지 않거나 플레이트의 중앙으로부터 직접적으로 멀어지지 않도록 향하게 한다). 몇몇 경우들에서, 본 세그먼트 (404) 에서의 개구들 (407) 은 방사상 방향에 수직인 방향으로 이온들을 향하게 한다. 즉, 이러한 개구들 (407) 은 개구들의 위치로부터 대체적으로 방위각적으로 오프셋된 방향으로 이온들을 향하게 한다.
도 4c는 상이한 콜러게이션된 세그먼트들을 갖는 추가 실시예를 도시한다. 이 실시예에서, 세그먼트들 (408 및 410) 은 대략 정방형이며 두꺼운 실선들 (421) 에 의해서 도면에서 분리된다. 여기서, 세그먼트들 (408 및 410) 은 서로 수직인 2 개의 콜러게이션 패턴들 간에서 교번한다. 콜러레이션의 피크들이 얇은 실선 (411) 으로 도시되며, 콜러게이션의 밸리들은 파선들 (413) 로 도시된다. 개구들 (407) 은 타원형으로 도시된다.
도 4d는 콜러게이션된 파이-형상의 세그먼트들 (427) 을 갖는 다른 실시예를 도시한다. 다시, 콜러레이션의 피크들이 얇은 실선 (411) 으로 도시되며, 콜러게이션의 밸리들은 파선들 (413) 로 도시되며, 개구들 (407) 은 타원형으로 도시된다.
도 4a 내지 도 4d에서 도시된 실시예들 각각에서, 피크의 높이, 밸리의 깊이, 이러한 피크들과 밸리들 간의 거리는 이온들이 각도들의 범위에 걸쳐서 하부 프로세싱 챔버로 향하게 되도록 변할 수 있다. 이와 달리, 피크-밸브 높이 및/또는 피크들과 밸리들 간의 수평 거리는 플레이트의 면에 걸쳐서 일정할 수 있다. 도 4a 내지 도 4d는 개시된 실시예들을 구현하는데 사용될 수 있는 콜러게이션 피처들의 비한정적 실례들을 제공한다. 이러한 애플리케이션을 위해서, 콜러게이션 피처는 상향 경사진 표면들 및 하향 경사진 표면들의 최소 세트/쌍이 되는 것으로 간주된다. 예를 들어서, 단일 콘은 (측면에서 볼 때에) 상향으로 경사진 표면 및 하향으로 경사진 표면 모두를 포함하며 따라서 콜러게이션 피처로서 간주된다. 마찬가지로, 아코디온 형상의 콜러게이션 구조체의 하나의 "피크 대 피크" 또는 "밸브 대 밸브" 구조도 역시 콜러게이션 피처로서 간주된다. 도 4b에서의 세그먼트 (404) 와 같이, 대형 피라미드형 구조체들이 사용되는 경우에, 전체 피라미드 세그먼트가 단일 콜러게이션 피처로서 간주된다.
특정 구현예들에서, 이온 추출기 플레이트는 기판에 대해서 이동가능하다. 이러한 이동은 회전 또는 병진을 통해서 발생할 수 있다. 병진 타입 이동은 예를 들어서 이온 추출기 플레이트를 Z 방향으로, 즉 기판으로 향하거나 기판으로부터 멀어지게 이동시키고/시키거나 이온 추출기 플레이트를 X 또는 Y 방향으로, 즉 기판의 면에 평행한 방향으로 이동시키거나 이들의 조합에 의해서 발생할 수 있다. 몇몇 실시예들에서, 기판 지지부 자체가 이동가능하며, 다양한 경우들에서는, 기판 지지부 및 기판은 고정 상태로 유지되는 반면에 이온 추출기 플레이트가 이동가능하게 된다. 기판 지지부가 이동하는 소정의 통상적인 설계에 비해서, 이온 추출기 플레이트가 이동하는 설계는 고려될 수 있는 설계 요소들/제약사항들이 상대적으로 적을 수 있다. 예를 들어서, 기판 지지부가 이동하는 경우에, 정전 척에 대한 임의의 전기적 접속부, 유체적 접속부 또는 다른 접속부는 이러한 이동을 실현하도록 설계되어야 한다. 이러한 실현을 위해서는 비용 및 공간이 크게 증가하게 된다.
이온 추출기 플레이트가 이동하는 것이 유리한데 그 이유는 이러한 이동은 기판에 표면에 걸친 이온들의 보다 균일한 전달을 낳기 때문이다. 이러한 이동 없이, 기판 표면의 오직 특정 부분들만이 실제로 이온들에 의해서 공격을 받게 되도록, 이온들은 이온 추출기 플레이트 내의 개구들을 떠난 후에 특정 콜리메이션된 궤적을 따를 수 있다. 이온 추출기 플레이트를 회전 및/또는 병진시킴으로써, 이온이 기판에 충돌하는 면적은 공간적으로 일정하게 되거나 이와 달리 분산될 수 있다. 또한, 인접하는 피크들/밸리들에서의 개구들 및/또는 인접하는 추출기 플레이트 세그먼트들에서의 개구들은, 이러한 이온 충격의 공간적 균일성을 달성하는 것을 지원하도록 서로 근소하게 또는 크게 오프셋될 수 있다. 이로써, 보다 균일한 이온 충돌이 달성된다. 몇몇 경우들에서, 이온 전달을 균일화시키도록 소량의 회전이 사용될 수 있다 (예를 들어서, 약 5 내지 20 °의 회전). 이러한 소량의 회전은 구별되는 각도적으로 반복되는 (angularly repeating) 추출기 플레이트 세그먼트들이 사용되지 않는 경우에 유용할 수 있다. 한편, 이러한 구별되는 각도적으로 반복되는 (angularly repeating) 추출기 플레이트 세그먼트들이 사용되는 경우에, 회전량은 보다 커질 필요가 있다. 특정 실시예들에서, 회전은 전체 범위의 콜러게이션 피처들을 기판 상의 임의의 위치로 투영하는 (project) 각도상 경로를 커버한다. 몇몇 실시예들에서, 병진 액추에이터가 이온 전달을 마찬가지로 균일화시키도록 이온 추출기 플레이트를 기판을 향하도록 및/또는 기판으로부터 멀어지도록 이동시키게 포함된다.
이온 추출기 플레이트의 회전은 다양한 수단들에 의해서 달성될 수 있다. 예를 들어서, 도 1의 실시예에서, 회전 액추에이터가 이온 추출기 플레이트에 연결된 지지부들에 연결된다. 회전 액추에이터는 지지부들의 이동을 통해서 추출기 플레이트를 이동시킬 수 있다. 다른 실시예에서, 병진 액추에이터는 이온 추출기 플레이트를 기판을 향하도록 및/또는 기판으로부터 멀어지도록 이동시키는데 사용될 수 있다. 몇몇 실시예들에서, 이온 추출기 플레이트는 제자리에서 유지되고/되거나 벨트, 기어들, 등과 같은 다른 타입의 이동 유발 요소에 의해서 이동될 수 있다.
이온 추출기 플레이트의 회전은 플레이트가 도 4b에 도시된 실시예와 같은, 반복하는 콜러게이션 세그먼트들/개구들을 포함하는 경우에 특히 유리할 수 있다. 반복하는 세그먼트들이 사용되는 경우에, 회전량은 기판의 각 부분을 각 전체 세그먼트 타입에 노출시키도록 전술한 바와 같이 충분해야 한다. 이러한 회전량은 도 4b에서 곡선형의 이중 화살표로 표시된다. 오직 2 개의 구별되는 세그먼트들만이 사용되고 각 세그먼트가 추출기 플레이트의 180°부분을 차지하는 다른 실례에서, 플레이트는 360°만큼 회전해야 한다. 마찬가지로, 플레이트가 16 개의 개별 세그먼트들 (8 개의 제 1 타입의 세그먼트가 8 개의 제 2 타입의 세그먼트와 교번함) 을 포함하고 각 세그먼트가 추출기 플레이트의 22.5°부분을 차지하는 다른 실례에서, 플레이트는 적어도 45°만큼 회전해야 한다.
몇몇 구현예들에서, 회전량은 가장 폭이 큰 세그먼트의 각량 (angular extent) 의 적어도 약 2 배이다. 그러나, 2 개보다 많은 타입의 세그먼트들이 사용되는 경우에, 회전량은 더 커져야 한다. 예를 들어서, 4 개의 반복하는 각도상 동일한 세그먼트 타입들의 3 개의 세트들이 총 12 개의 세그먼트에 대해서 사용되는 경우 (예를 들어서, A-B-C-D/A-B-C-D/A-B-C-D의 시계방향 세그먼트 순서이며, 여기서 A, B, C 및 D는 상이한 타입의 세그먼트들을 나타냄) 에, 회전량은 적어도 120°이어야 한다. 이러한 회전량은 기판의 프로세싱 면의 각 부분이 전체 A 세그먼트, 전체 B 세그먼트, 전체 C 세그먼트 및 전체 D 세그먼트로부터의 이온들에 노출되는 것을 보장한다. 보다 큰 세그먼트들을 보다 작은 개수로 사용하는 대신에 보다 작은 세그먼크들을 보다 많은 개수로 사용하는 것이 유리할 수 있다. 이렇게 구성하면 회전 각도가 보다 작아지며 이로써 반응기 설계를 단순화시킬 수 있다. 몇몇 실시예들에서, 프로세싱 동안에 회전량은 약 15 내지 180°이거나 약 20 내지 120°이거나 약 30 내지 90°이다.
몇몇 경우들에서, 구별되는 세그먼트 타입들의 개수는 약 1 내지 36 개 (예를 들어서, 약 2 내지 10 개, 또는 약 2 내지 5 개) 이다. 일 세그먼트 타입은 다른 세그먼트 타입과, 콜러게이션 피크들 및 밸리들의 설계/기하구조/위치가 이 세그먼트들 간에서 상이하거나, 개구들의 배치가 이 세그먼트들 간에서 상이하면, 서로 구별된다. 예를 들어서, 파이 형상을 갖는 아코디온 형태의 세그먼트는 다른 파이 형상을 갖는 아코디온 형태의 세그먼트와, 이 세그먼트들이 달리 동일하다 하여도, 이들 간의 피크들 및 밸리들이 서로 반전되거나 서로 교체되었으면, 구별된다.
일 특정 실시예에서, 이온 추출기 플레이트는 4 개의 구별되는 세그먼트 타입들 A, B, C 및 D를 포함한다. 세그먼트 타입 A는 도 4b에서 상부 세그먼트로서 도시된 대략 삼각 피라미드형 세그먼트와 유사하다. 세그먼트 타입 B는 도 4b에서 상부 우측 세그먼트로서 도시된 아코디온 형태의 세그먼트와 유사하다. 세그먼트 타입들 C 및 D는 각기 세그먼트 타입들 A 및 B와 동일하지만, 밸리들 대신에 피크들을 사용하고 피크들 대신에 밸리들을 사용한다. 일련의 구별되는 세그먼트 타입들은 4 번 반복된다 ((A-B-C-D/A-B-C-D/A-B-C-D/A-B-C-D) (16 개의 총 세그먼트들이 존재하며, 각 세그먼트는 도 4b에 도시된 세그먼트들의 폭의 절반의 폭을 갖는다). 이러한 설계에서, 회전량은 약 90°이며 이는 세그먼트 타입들 A 내지 D의 단일 전체 범위에 대해서 기판을 노출시키는데 충분하다. 이온 추출기 플레이트 콜러게이션에서의 피크들 및 밸리들을 세그먼트들 간에서 반전시키고 에칭 동안에 이온 추출기 플레이트를 회전시킴으로써, 보다 넓은 범위의 이온 입사 각도가 기판의 국소적 부분들에 걸쳐서 달성될 수 있다.
이온들이 기판들을 향해서 적합하게 향하게 되게 보장하는데 있어서 다른 중요한 인자는 이온 추출기 플레이트에서 사용되는 콜러게이션의 스케일이다. 도 6a는 측면에서 볼 때에 개구들 (602) 을 갖는 이온 추출기 플레이트 (601A) 의 일부의 단면도이다. 도 6a 및 도 6b에서의 실선 화살표들은 이온들의 궤적을 나타낸다 (도 6b에서의 점선 화살표는 이하에서 기술된다). 콜러게이션 스케일의 길이는 콜러게이션에서의 인접하는 피크들 간의 거리로서 규정되며 도 6a에서는 수치 LA로 표시된다. 도 6a에서 도시된 바와 같이, 이 치수는 플라즈마 시스 (610A) 가 추출기 플레이트 (601A) 의 표면 형상을 따를 수 있도록 충분하게 커야 한다.
이에 반해서, 도 6b는 매우 작은 콜러게이션 길이 수치 치 LB를 갖는 이온 추출기 플레이트 (601B) 의 일부의 단면도이다. 달리 말하면, 도 6b에서의 콜러게이션 피크들은 서로 너무 가까이에 있다. 여기서, 플라즈마 시스 (610B) 는 추출기 플레이트 (601B) 의 표면 형상을 따를 수 없다. 이로써, 플라즈마 시스는 이온들을 개구들 (602) 을 통과하도록 향하게 하지 않는다. 대신에, 이온들은 이온 추출기 플레이트의 일부 부분들에 충돌할 가능성이 높다. 상술한 바와 같이, 이온들은 대체적으로 플라즈마 시스 경계에 대해서 수직인 방향으로 향하게 된다. 이 플라즈마 시스 경계가 이온 추출기 그리드의 국소적 표면에 대해서 실질적으로 평행하지 않으면 (이로써, 이온 추출기 플레이트를 통한 개구들의 방향에 대해서 실질적으로 수직하지 않으면), 이온들은 하부 프로세싱 챔버 내로 진입하도록 통과할 가능성이 낮아지며, 원치 않는 재료의 제거가 저감될 가능성이 있다.
몇몇 실시예들에서, 이온 추출기 플레이트의 세그먼트 내에서의 인접하는 콜러게이션 피크들 간의 거리는 적어도 약 2 mm 또는 적어도 약 5 mm이다. 또한, α로서 도 6a에서 표시된 각도는 약 1 내지 60 °이며 예를 들어서 약 5 내지 45 °이다. 다른 허용가능한 각도들이 이하에서 더 기술된다.
특정 구현예들에서, 이온 추출기 플레이트는 플레이트의 전체 구역에 걸쳐서 콜러게이션된다. 달리 말하면, 이온 추출기 플레이트는 기판에 대해서 평행한 어떠한 표면부도 실질적으로 가지지 않는다. 다른 구현예들에서, 이온 추출기 플레이트는 콜러게이션된 부분 및 콜러게이션되지 않은 부분을 포함한다. 이러한 실시예들에서, 이 플레이트의 콜러게이션된 부분은 기판-형상의 평면 상으로 투영된 때에, 플레이트의 표면적의 적어도 약 50 퍼센트 또는 적어도 약 90 퍼센트를 차지한다 (즉, 콜러게이션된 면적의 퍼센티지를 계산할 시에, 기판의 총 면적 (At) 은 At = π*r2이며, r은 추출기 플레이트의 반경이며, 콜러게이션된 면적은 총 면적에서 편평한 콜러게이션되지 않은 면적을 감산한 결과치로 간주된다).
이온들이 하부 프로세싱 챔버 내로 향하게 되는 각도는 도 6a 및 도 6b에서 각도 α로 표시된 바와 같은 콜러게이션 각도에 의존한다. 특정 실시예에서, 각도 α는 기판 상으로의 상이한 이온 입사 각도들을 달성하기 위해서 콜러게이션의 상이한 부분들 간에서 변할 수 있다. 이로써, 이온 입사 각도 범위가 생성되며 충분한 개수의 이온들이 에칭된 피처들 내로 침투하여서 이 피처들의 측벽들로부터 재료를 제거할 수 있는 확률이 보다 높아지게 된다. 이온 입사 각도는 이온의 입사 궤적과 전체 기판 표면에 대해 수직인 방향 간의 각도로서 규정된다. 이온 추출기 플레이트를 떠나는 이온들이 강하게 콜리메이션되는 경우에, 콜러게이션 각도는 입사 각도와 동일하다. 이온 추출기 플레이트를 떠나는 이온들이 약하게 콜리메이션되고 보다 스프레이 형태로 되는 경우에, 달성되는 이온 입사 각도 범위는 콜러게이션 각도를 중심으로 주변에 분포한다. 간략성을 위해서, 이온 입사 각도는, 특정량의 이온들이 기판 상의 트렌치 또는 다른 피처들 내로 침투하여서 전체 기판 표면과 매우 상이하게 배향된 표면과 충돌하는 것으로 사료될지라도, (달리 명확하지 않다면), 전체 기판 표면에 대하여 규정된다.
콜러게이션의 각도는, 이온 추출기 플레이트를 통과하는 이온들이 결국에 이온 추출기 플레이트의 하단 표면과 충돌하지 않게 되도록, 콜러게이션의 스케일 및 개구들의 위치와 관련하여서 선택될 수 있다. 예를 들어서, 도 6b에서, 콜러게이션 스케일 LB가 너무 작기 때문에, (있다면) 추출기 플레이트의 개구를 통과하는 몇몇 이온들이 점선 화살표로 표시된 바와 같이 플레이트의 하단 표면과 충돌할 가능성이 커진다. 이러한 결과는 피해져야 한다. 특정 실시예들에서, 콜러게이션 각도는 약 0 내지 75 °이거나 약 1 내지 75 °이거나 약 0 내지 60 °이거나 약 1 내지 60 °이거나 약 30 내지 55 °이다. 이러한 또는 다른 실시예들에서, 콜러게이션의 각도는 약 60 °이하이며 예를 들어서 약 45 °이하이며 예를 들어서 약 15 °이하이다. 일 특정 실시예에서, 약 45 °의 콜러게이션 각도가 사용된다. 본 명세서에서 그 밖의 다른 곳에서 언급되는 바와 같이, 특정 실시예들에서, 상이한 콜러게이션 각도들의 범위는 단일 이온 추출기 플레이트에서 사용된다. 이 경우에, 가장 작은 콜러게이션 각도와 가장 큰 콜러게이션 각도 간의 차는 적어도 약 10 °이며, 예를 들어서 적어도 약 20 °이거나, 적어도 약 30 °이거나, 적어도 약 50 °이거나, 몇몇 경우들에서는 이보다 높을 수 있다.
이온 추출기 플레이트는 통상적으로 도전성 재료로 이루어진다. 적합한 플레이트 재료의 실례는 다음으로 한정되지 않지만 Al, W, Co, Mo, 저 저항 실리콘, 및 저 저항 SiC를 포함한다. 상술한 바와 같이, 이온 추출기 플레이트는 충분하게 강한 재료로 구성되어야 한다.
이온 추출기 플레이트는 대체적으로 도 1에 도시된 바와 같이 챔버의 거의 전체 수평 섹션에 걸쳐서 연장된다. 전술한 바와 같이, 몇몇 설계들에서, 아크 발생 방지를 위해서 이온 추출기 플레이트와 챔버 벽 간에 갭이 존재한다. 이 갭은 약 3 cm 보다 커야한다. 챔버가 (작업 피스 위에서 보거나 작업 피스를 마주보면서 볼 때에) 원형인 경우에, 이온 추출기 플레이트도 또한 원형일 것이다. 이로써, 이온 추출기 플레이트는 2 개의 서브-챔버들로 반응 챔버를 효과적으로 분할할 수 있다. 특정 설계에서, 이온 추출기 플레이트의 형상은 (통상적으로 그렇지만 반드시 그러한 것만은 아니지만 원형 웨이퍼인) 기판의 기하구조에 의해서 규정된다. 잘 알려진 바와 같이, 웨이퍼들은 때로 200 mm, 300 mm, 450 mm 등과 같은 다양한 크기들로 제공될 수 있다. 정방형 또는 다른 다각형 기판들 또는 보다 작거나 보다 큰 기판들에 대해서는 다른 형상들이 가능하다. 이로써, (위에서 볼 때에) 이온 추출기 그리드의 단면은 다양한 형상 및 크기를 갖는다.
몇몇 구현예들에서, 이온 추출기 플레이트는 프로빙 장치가 이온 추출기 그리드를 통해서 배치될 수 있도록 하는 피처를 포함하는 중앙 영역과 같은 영역을 갖는다. 프로빙 장치는 동작 동안에 플라즈마 프로세싱 시스템와 연관된 프로세스 파라미터들을 프로빙하도록 제공될 수 있다. 프로빙 프로세스 (probing process) 는 광학적 방사 엔드포인트 검출 (optical emission endpoint detection), 간섭계 엔드포인트 검출 (interferometeric endpoint detection), 플라즈마 밀도 측정, 이온 밀도 측정, 및 다른 계측 프로빙 동작들을 포함한다. 특정 실시예들에서, 이온 추출기 플레이트의 중앙 영역은 개방된다. 다른 실시예들에서, 이온 추출기 플레이트의 중앙 영역은 광이 이 플레이트를 통과하여서 전달될 수 있도록 광학적으로 투명한 재료 (예를 들어서, 석영, 사파이어 등) 를 포함한다.
몇몇 실시예들에서, 이온 추출기 플레이트는 이 플레이트 내에 내장된 냉각 채널들을 포함하며, 이러한 냉각 채널은 유동 또는 비유동 냉각제 물질로 충진될 수 있다. 특정 실시예들에서, 냉각 물질은 헬률 또는 다른 불활성 가스와 같은 유체, 탈이온수, 프로세스 냉각수, 3M사로부터의 fluoroinertTM과 같은 액체, 퍼플루오로카본들 (perfluorocarbons), 하이드로플루오로카본들 (hydrofluorocarbons), 암모니아 및 CO2와 같은 냉매이다. 이러한 또는 다른 실시예들에서, 이온 추출기 플레이트는 내장된 가열 요소들 및/또는 온도 측정 장치를 포함할 수 있다. 냉각 채널들 및 내장된 가열 요소들은 정밀한 온도 제어를 가능하게 하며, 이는 입자 및 벽 상태들에 대한 면밀한 제어를 가능하게 한다. 이러한 제어는 특정 경우들에서 하부 서브-챔버 내에서의 조건들을 튜닝하는데 사용될 수 있다. 예를 들어서, 하부 그리드 또는 그리드 어셈블리가 저온에서 유지되는 경우에, 웨이퍼로부터의 에칭 부산물들이 하부 그리드 상에 선호적으로 퇴적하고 이로써 하부 서브-챔버 내에서의 에칭 부산물들의 가스상 밀도를 저감시킨다. 이와 달리, 하부 그리드 또는 그리드 어셈블리가 상승된 온도에서 (예를 들어서, 80 ℃ 보다 높은 온도에서) 유지될 수 있으며 이로써 그리드 상에서의 퇴적을 저감시키고 챔버가 상대적으로 클린 상태 (clean) 로 유지될 수 있게 하고/하거나 무웨이퍼 자동 세정 (WAC) 동안에 챔버를 세정하는데 필요한 시간을 저감시킬 수 있다.
이온 추출기 플레이트 및 기판 지지부 바이어싱
이온 추출기 플레이트는 전원과의 전기적 접속부를 포함한다. 이러한 요소들은 프로세싱 동안에 이온 추출기 플레이트에 대하여 바이어스를 인가하는데 사용될 수 있다. 다양한 구현예들에서, 이온 추출기 플레이트는 유연성 RF 스트랩들을 통해서 기판 지지부에 전기적으로 접속된다. 이러한 RF 스트랩들의 존재로 인해서, 기판 지지부 (및 이로써 기판) 는 이온 추출기 플레이트와 동일한 RF 전위로 바이어싱될 수 있다. 이러한 요소들을 동일한 전위로 바이어싱함으로써, 하부 프로세싱 챔버 내에서 플라즈마를 형성할 가능성이 줄어든다.
이온 추출기 플레이트를 바이어싱하는 한가지 이유는 이온 추출기 플레이트를 떠나는 이온들에 특정 에너지 레벨을 부여하기 위함이다. 이로써, 바이어스는 이온들이 기판을 때리도록 특정 이온 에너지를 제공하도록 제어될 수 있다.
바이어스는 통상적으로 네거티브 DC 오프셋들을 갖는 RF 바이어스일 것이다. 이러한 네거티브 바이어스는 양 이온들이 이온 추출기 플레이트를 향하고 그리고 이를 통과하여서 가속되는 것을 지원한다. 특정 구현예들에서, 저 주파수 바이어스 (예를 들어서, 약 15 MHz 이하 또는 약 4 MHz 이하) 가 기판 및 이온 추출기 플레이트에 인가된다. 이러한 상대적으로 낮은 바이어스 주파수는 RF 스트랩들 내에서의 인덕턴스가 작도록 (예를 들어서, 약 50 볼트 이하가 되도록) 보장할 시에 유리할 수 있다. 이러한 또는 다른 실시예들에서, 이온 추출기 플레이트에 인가된 바이어스는 약 50 내지 750 V의 네거티브 DC 바이어스를 가질 수 있다. 일 실시예에서, 400 kHz 바이어스 주파수가 사용된다. 일 실시예에서, 각 단계에서 상이한 바이어스 전압들이 이온 추출기 플레이트에 인가되게 다수의 단계들이 사용된다. 이와 달리, 인가된 바이어스 전압은 일 설정점과 오프 상태 간에서 또는 2 개의 비제로 설정점들 간에서 펄싱될 수 있다. 이 기법은 실질적 기판 대전이 발생하는 경우에 특히 유리할 수 있다. 몇몇 애플리케이션들에서, 오직 저 에너지 이온들 (예를 들어서, 50 볼트보다 작음) 이 기판에 입사되게 바이어스 전압은 턴 오프된다.
하부 프로세싱 챔버 내의 조건들
다수의 실시예들에서, 이온 추출기 플레이트 개구로부터 나오는 이온들의 궤적이 이온 추출기 플레이트와 기판 간의 공간에서 유지되도록, 하부 프로세싱 챔버에는 프로세싱 동안에 실질적으로 어떠한 플라즈마도 존재하지 않는다. 이 공간에 플라즈마가 존재하면 이온들이 주로 수직 각도로 해서 기판들과 충돌할 것이다.
하부 프로세싱 챔버 내의 압력은 몇몇 실시예들에서는 상대적으로 낮을 수 있다 (예를 들어서, 약 20 mTorr 미만, 또는 약 5 mTorr 미만). 일반적으로, 하부 프로세싱 챔버 내의 압력은 적어도 부분적으로 이온 추출기 플레이트를 통한 매스 (mass) 전달 제한으로 인해서, 상부 플라즈마 챔버 내의 압력보다 낮을 것이다. 저압을 달성하는 일 방법은 반응 챔버에 연결된 진공 펌프 상에서 고속 펌핑 속도를 사용하는 것이다. 이러한 저압은 이온 추출기 플레이트에 의해서 플라즈마로부터 추출된 이온들이 기판 위에서 다수의 가스-이온 충돌 없이 기판 상으로 입사될 수 있는 것을 보장한다. 기판에 도달하기 이전에 가스 분자들과 충돌하는 이온들은 프로세싱 및 재로 제거 시에 유용성이 덜하게 된다.
기판 온도는 정전 클램핑 및 이 ESC와 기판 간에서 효율적 열전도를 가능하게 하는 후면측 He 가스를 사용하여서 제어될 수 있다. 피처들의 측벽들로부터 재료들을 제거하기 위해서 이온들과 반응성 화학물질의 조합을 사용할 경우에 온도를 약 80 ℃보다 높게 설정하는 것이 유리할 수 있다. 이온들만을 사용할 경우에, 기판 온도를 약 20 내지 60 ℃로 제어하는 것이 유용할 수 있다.
장치
본 명세서에서 기술된 방법들은 콜러게이션된 이온 추출기 플레이트를 갖는 임의의 적합한 플라즈마 에칭 장치에 의해서 수행될 수 있다. 적합한 장치는 챔버 및 본 명세서에서 기술된 바와 같은 에칭 조건들을 제공 및 유지하기 위한 전자적 하드웨어를 포함한다. 적합한 장치는 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함할 수 있다. 몇몇 실시예들에서, 플라즈마 에칭 장치는 다양한 다른 프로세싱 스테이션들을 갖는 대형 프로세스 툴 내에서 일 프로세스 스테이션으로서 포함될 수 있다.
도 7은 특정 실시예들에 따른 유도 결합형 플라즈마 에칭 장치 (700) 의 단면도를 제공한다. 전술한 바와 같이, 본 명세서에서의 실시예들은 역시 유도 결합형이 아닌 플라즈마들에서도 실시될 수 있다. 유도 결합형 플라즈마 에칭 장치 (700) 는 챔버 벽들 (701) 및 윈도우 (711) 에 의해서 구조적으로 구획된 전체 에칭 챔버를 포함한다. 챔버 벽들 (701) 은 통상적으로 스테인레스 스틸 또는 알루미늄 또는 애노다이징된 알루미늄으로 제조된다. 챔버 벽들 (701) 은 Y2O3, YF, CeO2 또는 다른 플라즈마 내성 코팅부들 (plasma resistant coatings) 로 코팅된다. 윈도우 (711) 는 통상적으로 석영 또는 Al2O3, AlN와 같은 다른 유전체 재료로 제조된다.
이온 추출기 플레이트 (750) 는 전체 에칭 챔버를 상부 플라즈마 생성 챔버 (702) 와 하부 프로세싱 챔버 (703) 로 분할한다. 기판 지지부 (717) 는 접속부 (754), 매칭 회로 (755) 및 접속부 (756) 를 통해서 전원 (757) 에 전기적으로 접속된다. 이온 추출기 플레이트 (750) 는 유연성 RF 스트랩들 (777) 을 통해서 기판 지지부 (717) 에 전기적으로 접속된다. 전원 (757) 은 적합한 바이어스를 이온 추출기 플레이트 (750) 및 기판 지지부 (717) 에 공급한다. 프로세싱 동안에, 대체적으로 상부 플라즈마 생성 챔버 (702) 내에서 플라즈마가 존재하고, 하부 프로세싱 챔버 (703) 에서는 실질적으로 플라즈마는 존재하지 않는다. 실링 메카니즘 (sealing mechanims) (미도시) 이 플라즈마의 하부 프로세싱 챔버 (703) 내로의 누설을 방지하기 위해서 이온 추출기 플레이트 (750) 의 주변부 근처에서 사용될 수 있다.
이온 추출기 플레이트 (750) 는 회전 또는 병진을 통해서 이동할 수 있다. 도 7의 실시예에서, 이동 유발 요소들은 도시되지 않는다. 도 1에서 도시된 실시예와 같은 몇몇 경우들에서, 이동 유발 요소는 지지부들 (111) 에 의해서 이온 추출기 플레이트 (750) 에 연결된 회전 액추에이터 (115) 이다. 이동 유발 요소가 이동 유발 요소를 보다 급하게 열화시킬 수 있는 플라즈마 분위기로부터 보호될 수 있도록 이동 유발 요소는 이온 추출기 플레이트 (750) 아래에 위치하는 것이 유리할 수 있다. 몇몇 경우들에서, 이동 유발 요소는 이온 추출기 플레이트 (750) 와 실질적으로 동일한 평면에서 위치하거나 이온 추출기 플레이트 (750) 보다 매우 위에 위치한다. 다른 잠재적 이동 유발 요소들은 벨트, 기어, 승강 메카니즘들 등을 포함한다. 이온들이 기판의 국소적 표면과 접촉하는 면적/각도상 공간을 증가시키는 방식으로 이온 추출기 플레이트 (750) 로 하여금 이동하게 하는 임의의 이동 유발 요소들이 사용될 수 있다.
척 (717) 이 하단 내측 표면 근처에서 하부 프로세싱 챔버 (703) 내에 위치한다. 척 (717) 은 에칭 프로세스가 수행되는 반도체 웨이퍼 (719) 를 수용 및 홀딩하도록 구성된다. 척 (717) 은 웨이퍼가 존재하는 경우에 웨이퍼를 지지하는 정전 척일 수 있다. 몇몇 실시예들에서, 에지 링 (미도시) 이 척 (717) 을 둘러싸며 척 (717) 상에 존재할 경우에 웨이퍼의 상단 표면과 대략 동평면에 있는 상부 표면을 갖는다. 척 (717) 은 또한 웨이퍼의 척킹 및 디척킹을 가능하게 하는 정전 전극들을 포함한다. 필터 및 DC 클램프 전원이 이를 위해서 제공될 수 있다. 척 (717) 으로부터 웨이퍼를 리프팅 (lifting) 하기 위한 다른 제어 시스템들이 또한 제공될 수 있다.
코일 (733) 이 윈도우 (711) 위에 배치된다. 코일 (733) 은 전기 도전성 재료로 구성되며 적어도 1 회의 완전한 턴 (turn) 을 포함한다. 도 7에 도시된 예시적인 코일 (733) 은 3 개의 턴을 포함한다. "X"를 갖는 코일 (733) 단면 부호는 코일 (733) 이 페이지 안으로 회전 방식으로 연장됨을 표시한다. 이와 반대로, "●"를 갖는 코일 (733) 단면 부호는 코일 (733) 이 페이지 바깥으로 회전 방식으로 연장됨을 표시한다. RF 전원 (741) 이 코일 (733) 에 RF 전력을 공급하게 구성된다. 일반적으로, RF 전원 (741) 은 접속부 (745) 를 통해서 매칭 회로 (739) 에 접속된다. 매칭 회로 (739) 는 접속부 (743) 를 통해서 코일 (733) 에 접속된다. 이로써, RF 전원 (741) 은 코일 (733) 에 접속된다. 선택적인 패러데이 차폐부 (749) 가 윈도우 (711) 바로 아래에 배치된다. 패러데이 차폐부 (749) 는 플라즈마 챔버의 유전체 윈도우 상에 금속 또는 다른 종들이 퇴적되는 것을 방지한다. 패러데이 차폐부는 2011년 8월 4일자에 출원된 미국 특허 출원 번호 13/198,683 "INTERNAL FARADAY SHIELD HAVING DISTRIBUTED CHEVRON PATTERNS AND CORRELATED POSITIONING RELATIVE TO EXTERNAL INNER AND OUTER TCP COIL"에 더 논의 및 기술되며, 이 문헌은 그 전체 내용이 본 명세서에서 참조로서 인용된다.
프로세스 가스들이 상부 플라즈마 생성 챔버 내에 위치한 메인 주입 포트 (760) 를 통해서 그리고 선택사양적으로 때로 STG로 지칭되는 측면 주입 포트 (770) 를 통해서 공급된다. 다양한 실시예들에서, 에천트 가스가 측면 주입 포트 (770) 를 통해서 공급되고, 플라즈마를 생성하는데 사용되는 가스는 메인 주입 포트 (760) 를 통해서 공급된다. 가스 배출 포트들은 도시되지 않는다. 또한, 챔버 (701) 에 연결되어서 작용성 플라즈마 프로세싱 동안에 챔버로부터 가스상 부산물들을 제거하고 진공 제어를 가능하게 하는 펌프들도 도시되지 않는다.
무선주파수 전력이 RF 전원 (741) 으로부터 코일 (733) 로 인가되어서 RF 전류가 코일 (733) 을 통과하여 흐르게 한다. 코일 (733) 을 통과하는 RF 전류는 코일 (733) 주변에 전자계를 생성한다. 전자계는 상부 플라즈마 생성 챔버 (702) 내에서 유도 전류를 생성한다. 유도 전류는 상부 플라즈마 생성 챔버 (702) 내에서 플라즈마를 생성하도록 상부 플라즈마 생성 챔버 (702) 내에 존재하는 가스에 대하여 작용한다. 이온 추출기 플레이트 (750) 가 하부 프로세싱 챔버 (703) 내로 이동할 수 있는 플라즈마 종들의 양을 한정하며 구체적으로 이온들을 하부 프로세싱 챔버 (703) 로 경사진 배향으로 제공하게 동작한다.
다양한 이온들 및 다른 종들의 웨이퍼 (719) 와의 물리적 및 화학적 상호작용들이 웨이퍼의 피처들을 선택적으로 에칭한다. 에칭 부산물들이 배출 포트 (미도시) 를 통해서 하부 프로세싱 챔버 (703) 로부터 제거된다. 이온 추출기 플레이트 (750) 의 일 다른 이점은 에칭 부산물들을 상부 플라즈마 생성 챔버 (702) 의 플라즈마 분위기로부터 차단시켜서, 특정 에칭 부산물들 (존재하는 경우에, 특히 금속-리간드 착물 (complex)) 이 비휘발성 해리 부산물들로 해리되는 가능성을 저하시키는데, 그렇지 않다면 이 비휘발성 해리 부산물들이 기판 (719) 또는 장치 (700) 상에 재퇴적될 수 있다.
통상적으로, 본 명세서에서 개시된 척은 약 20 ℃ 내지 약 250 ℃, 바람직하게는 약 20 ℃ 내지 약 150 ℃에 이르는 증가된 온도에서 동작한다. 이 온도는 사용되는 특정 레시피 및 에칭 프로세스 동작에 의존할 것이다.
도시되지는 않았지만, 챔버 (701) 는 클린 룸 또는 제조 설비에 설치된 때에 설비들에 연결된다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 분위기 입자 제어를 제공하는 배관을 포함한다. 이 설비들은 목표 제조 설비에 설치된 때에 챔버 (701) 에 연결된다. 또한, 챔버 (701) 는 로봇들로 하여금 반도체 웨이퍼를 통상적인 자동화를 통해서 챔버 (701) 내부로 그리고 외부로 전달할 수 있게 하는 전달 챔버에 연결될 수 있다.
일 실시예에서, 이온 추출기 플레이트 (750) 는 분리식이며 챔버 (701) 는 (콜러게이션된 이온 추출기 플레이트 (750) 가 제거되는 경우에) 수직으로 배향된 에칭 프로세스를 수행하고 콜러게이션된 이온 추출기 플레이트 (750) 가 존재하는 경우에) 개시된 경사진 에칭 프로세스를 수행하도록 구성된다. 몇몇 경우들에서, 복수의 개구들을 갖는 콜러게이션되지 않은 이온 추출기 플레이트가 수직으로 배향된 에칭 프로세스 동안에 사용될 수 있다. 콜러게이션되지 않은 이온 추출기 플레이트는 실질적으로 수직인 방향으로 피처를 이방성으로 에칭하기 위한 이온들을 제공하는 것을 지원한다. 구체적으로 콜러게이션 피처들에 대한 교시사항들이 아닌, 콜러게이션된 이온 추출기 플레이트의 설계에 대한 본 명세서에서의 교시사항들은 또한 콜러게이션되지 않은 이온 추출기 플레이트에 대해서도 적용된다.
시스템 제어기
몇몇 실시예들에서, 시스템 제어기 (하나 이상의 물리적 제어기 또는 논리적 제어기를 포함함) 는 에칭 챔버의 동작들 모두 또는 몇몇을 제어한다. 시스템 제어기는 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함한다. 이 프로세서는 CPU, 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 및 다른 유사한 구성 요소들을 포함한다. 본 명세서에서 개시된 바와 같은 적합한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행될 수 있다. 이러한 인스트럭션들은 시스템 제어기와 연관된 메모리 장치 상에 저장되거나 네트워크 상에서 제공될 수 있다. 소정의 실시예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 타이밍, 프로세스 가스 성분들의 혼합 (예를 들어서, 가용하는 경우에, 플라즈마 생성 가스의 조성 및 에천트 가스의 조성), 챔버 압력, 챔버 온도, 웨이퍼 온도, 척/웨이퍼/이온 추출기 플레이트 및 임의의 다른 전극들에 인가된 전류 및 전위, 웨이퍼 위치, 이온 추출기 그리드 위치, 프로세스 툴에 의해서 수행되는 특정 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 이 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수 있다. 예를 들어서, 다양한 프로세스 툴의 프로세스를 실행시키는데 필요한 프로세스 툴 구성 요소들의 동작을 제어하도록 다양한 프로세스 툴 구성 요소 서브루틴 또는 제어 객체가 기록될 수 있다. 이 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로서 코딩될 수 있다.
몇몇 실시예들에서, 시스템 제어 소프트웨어는 상술한 바와 같은 다양한 파라미터를 제어하기 위한 인스트럭션들을 시퀀싱하는 IOC (input/output control) 를 포함한다. 예를 들어서, 에칭 프로세스의 각 페이즈는 시스템 제어기에 의해서 실행되도록 하나 이상의 인스트럭션들을 포함할 수 있다. 플라즈마 생성 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 예를 들면 대응하는 플라즈마 생성 레시피 페이즈 내에 포함될 수 있다. 몇몇 실시예들에서, 에칭 레시피 페이즈는 에칭 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 구성될 수 있다.
다른 컴퓨터 소프트웨어 및/또는 프로그램들이 몇몇 실시예들에서 사용될 수 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 실례들은 기판 포지셔닝 프로그램, 플라즈마 가스 조성 제어 프로그램, 에칭 가스 조성 제어 프로그램, 가스 유입구 타이밍 제어 프로그램, 이온 추출기 플레이트 바이어스 제어 프로그램, 이온 추출기 플레이트 위치 제어 프로그램, 정전 척 바이어스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 전위/전류 전원 제어 프로그램을 포함한다. 본 명세서에서 언급된 소프트웨어/프로그램들 중 임의의 것은 에칭 동안에 관련 파라미터들을 수정하기 위한 인스트럭션들을 포함할 수 있다. 일 실례에서, 이온 추출기 플레이트 바이어스 제어 프로그램은 에칭 동안에 이온 추출기 플레이트와 정전 척에 인가되는 바이어스를 인가하고 선택적으로 수정하는 인스트럭션들을 포함할 수 있다. 이로써, 하부 프로세싱 챔버 내로 이동하는 이온들의 이온 에너지는 에칭 프로세스 동안에 수정될 수 있다.
몇몇 경우들에서, 제어기들은 다음과 같은 기능들 중 하나 이상을 제어한다: 플라즈마 생성 가스의 상부 플라즈마 생성 챔버로의 전달, 에천트 가스의 하부 프로세싱 챔버로의 전달, 플라즈마 생성 챔버 내의 플라즈마 생성 조건들, 이온 추출기 플레이트에 인가되는 바이어스 등. 예를 들어서, 가스의 서브-챔버들로의 전달은 특정 시간들에서 특정 밸브들이 개방 및 폐쇄되게 함으로써 달성될 수 있다. 이는 제어기로 하여금 가스 전달의 타이밍 및 전달된 가스들의 조성을 모두 제어할 수 있게 한다. 제어기는 예를 들어서 플라즈마 생성기 (예를 들어서, ICP 반응기의 코일들) 로 전력을 제공하는 전원을 임의의 특정 주파수들/전력 레벨들로 되게 지시함으로써 플라즈마 생성 조건들을 제어할 수 있다. 또한, 제어기는 플라즈마 생성 챔버로 진입하도록 불활성 가스 (및/또는 몇몇 실시예들에서는 반응성 가스) 의 유동을 지시하거나, 서브-챔버들 내의 압력을 제어하거나, 서브-챔버들 내의 온도를 제어함으로써 플라즈마 생성 조건들을 제어할 수 있다. 제어기들은 이러한 측면들을, (예를 들어서, 전류, 전류 밀도, 전위, 압력, 등이 특정 임계치에 도달할 때의) 센서 출력, 동작 타이밍 (예를 들어서, 프로세스에서의 소정의 시간들에서의 밸브 개방) 또는 사용자로부터 수신된 인스트럭션들에 기초하여서 제어할 수도 있다.
본 명세서에서 상술한 다양한 하드웨어 및/또는 방법 실시예들은 예를 들어서 반도체 소자, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴들 또는 공정들과 함께 사용될 수 있다. 통상적으로, 이러한 툴들 또는 공정들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다.
막 리소그래피 패터닝은 통상적으로 다음의 단계들 중 몇몇 또는 모두를 포함하며, 다음의 각 단계는 다수의 가능한 툴을 사용하여서 수행되며, 이러한 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서, 그 상에 형성된 실리콘 질화물 막을 갖는 기판과 같은 작업 대상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여서 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노광시키는 단계, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여서 포토레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여서 상기 포토레지스트 패턴을 그 아래의 막 또는 작업 대상에 전사하는 단계 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 단계를 포함할 수 있다. 몇몇 실시예들에서, 애쉬가능한 (ashable) 하드 마스크 (예컨대, 비정질 탄소 층) 및 다른 적합한 하드 마스크 (예컨대, 반사 방지 층) 이 포토레지스트를 도포하기 이전에 증착될 수 있다.
본 명세서에서 기술된 구성들 및/또는 방식들은 본질상 예시적이며 이러한 특정 실시예들 또는 실례들은 한정적으로 해석되지 말아야 하며 다수의 변형들이 가능함이 이해되어야 한다. 본 명세서에서 기술된 특정 방법들 또는 루틴들은 임의의 개수의 처리 전략들 중 하나 이상을 나타낼 수 있다. 따라서, 예시된 다양한 동작들은 예시된 순서로, 다른 순서로, 병렬도 또는 몇몇 경우에는 생략되어서 수행될 수 있다. 마찬가지로, 상술된 공정들의 순서는 변화될 수 있다.
본 개시의 주제 대상은 본 명세서에서 개시된 다양한 공정들, 시스템들, 구성들, 다른 특징들, 기능들, 동작들 및/또는 특성들 및 이들의 임의의 모든 균등 사항들의 모든 새롭고 비자명한 조합들 및 하위 조합들을 포함한다.

Claims (32)

  1. 반도체 디바이스 구조물들 내의 피처들 (features) 의 측벽들로부터 재료를 제거하는 방법으로서,
    (a) 반응 챔버 내에서 기판을 수용하는 단계로서, 상기 반응 챔버는 이온 추출기 플레이트에 의해서 플라즈마 생성 서브-챔버 (sub-chamber) 및 프로세싱 서브-챔버로 분할되며, 상기 이온 추출기 플레이트는 적어도 부분적으로 콜러게이션되며 (corrugated) 개구들 (apertures) 을 가지며, 상기 개구들은 상기 개구들을 통과한 이온들이 상기 기판에 대해서 복수의 각도들 (angles) 로 향하게 하도록 설계 또는 구성되는, 상기 기판을 수용하는 단계;
    (b) 플라즈마 생성 가스를 상기 플라즈마 생성 서브-챔버 내로 유동시키고 플라즈마를 상기 플라즈마 생성 서브-챔버 내에서 생성하는 단계; 및
    (c) 이온들을 상기 플라즈마 생성 서브-챔버로부터 상기 이온 추출기 플레이트를 통해서 상기 프로세싱 서브-챔버 내로 복수의 각도로 상기 기판을 향해서 가속시켜서 복수의 방향으로 대향하는 피처 측벽들로부터 재료를 제거하는 단계를 포함하는,
    재료 제거 방법.
  2. 제 1 항에 있어서,
    상기 반도체 디바이스 구조물의 상기 피처들은 2 개의 에칭된 도전성 층들 간에 위치한 에칭된 절연성 층을 포함하는,
    재료 제거 방법.
  3. 제 1 항에 있어서,
    상기 개구들 중 적어도 일부는 상기 기판에 대해서 비수직 각도 (non-perpendicular angle) 로 배향된 중앙 축을 갖는,
    재료 제거 방법.
  4. 제 1 항에 있어서,
    실질적으로 어떠한 플라즈마도 프로세싱 동안에 상기 프로세싱 서브-챔버 내에 존재하지 않는,
    재료 제거 방법.
  5. 제 1 항에 있어서,
    프로세싱 동안에 상기 이온 추출기 플레이트를 단일 방향으로 360°이하로 회전시키는 단계를 더 포함하는,
    재료 제거 방법.
  6. 제 5 항에 있어서,
    상기 이온 추출기 플레이트는 상기 기판의 국소적 (local) 부분들이 복수의 개별 타입의 세그먼트들 각각으로부터 발생하는 이온들에 노출되는 정도로 회전되는,
    재료 제거 방법.
  7. 제 1 항에 있어서,
    기판 홀더는 프로세싱 동안에 정지된,
    재료 제거 방법.
  8. 제 1 항에 있어서,
    상기 이온 추출기 플레이트 및 상기 기판의 중앙을 통해서 연장하는 축을 따라서 상기 이온 추출기 플레이트를 이동시키는 단계를 더 포함하는,
    재료 제거 방법.
  9. 제 1 항에 있어서,
    상기 이온 추출기 플레이트를 상기 기판과 평행한 방향으로 이동시키는 단계를 더 포함하는,
    재료 제거 방법.
  10. 제 1 항에 있어서,
    상기 (a) 단계 이전에 상기 기판 내에 상기 피처들을 에칭하는 단계를 더 포함하는,
    재료 제거 방법.
  11. 제 10 항에 있어서,
    상기 (a) 단계 이전에 상기 기판을 상기 에칭하는 단계가 수행되는 장치로부터 상기 반응 챔버로 이동시키는 단계를 더 포함하는,
    재료 제거 방법.
  12. 제 1 항에 있어서,
    바이어스를 상기 이온 추출기 플레이트에 인가하는 단계를 더 포함하는,
    재료 제거 방법.
  13. 반도체 기판 표면 상의 피처들의 측벽들로부터 재료를 제거하는 장치로서,
    (a) 반응 챔버;
    (b) 상기 반응 챔버 내에 위치하여서, 상기 반응 챔버를 플라즈마 생성 서브-챔버 (sub-chamber) 및 프로세싱 서브-챔버로 분할하는 이온 추출기 플레이트로서, 상기 이온 추출기 플레이트의 적어도 일부는 콜러게이션되며 (corrugated), 상기 이온 추출기 플레이트는 자신을 통해서 이온들의 통과를 가능하게 하도록 설계 또는 구성된 개구들을 갖는, 상기 이온 추출기 플레이트;
    (c) 상기 플라즈마 생성 서브-챔버 (sub-chamber) 로의 하나 이상의 가스 유입구들;
    (d) 상기 반응 챔버로의 하나 이상의 가스 유출구들;
    (e) 상기 플라즈마 생성 서브-챔버 (sub-chamber) 내에서 플라즈마를 생성하도록 설계 또는 구성된 플라즈마 생성 소스; 및
    (f) 기판 지지부를 포함하는,
    재료 제거 장치.
  14. 제 13 항에 있어서,
    상기 플라즈마 생성 서브-챔버 내에서 플라즈마를 생성하고, 바이어스를 상기 이온 추출기 플레이트에 인가하며, 상기 이온 추출기 플레이트를 단일 방향으로 측정된 약 360°이하만큼 회전시키도록 설계 또는 구성된 제어기를 더 포함하는,
    재료 제거 장치.
  15. 제 14 항에 있어서,
    상기 제어기는 상기 기판의 상기 측벽들로부터 재료를 제거하는 동안에 상기 이온 추출기 플레이트를 시계방향 및 반시계방향으로 회전시키도록 더 설계 또한 구성된,
    재료 제거 장치.
  16. 제 13 항에 있어서,
    상기 이온 추출기 플레이트를 상기 기판 지지부에 접속시키는 RF 스트랩들을 더 포함하며,
    상기 RF 스트랩들은 상기 이온 추출기 플레이트에 인가된 바이어스에 대응하는 바이어스를 상기 기판 지지부 상에 제공하도록 설계 또는 구성된,
    재료 제거 장치.
  17. 제 13 항에 있어서,
    상기 개구들의 중앙들을 통과하여 연장된 축들은 상기 개구들이 위치하는 상기 이온 추출기 플레이트의 국소적 표면부들 (local surfaces) 에 대해서 수직으로 배향되며, 이로써 상기 이온 추출기 플레이트의 상기 국소적 표면부들에 대해서 대체적으로 수직인 방향으로 이온들이 통과되게 하는 (direct passage of ions),
    재료 제거 장치.
  18. 제 13 항에 있어서,
    상기 개구들 중 적어도 일부는, 단일 개구를 고려할 경우에, 상기 프로세싱 서브-챔버를 마주보는 상기 이온 추출기 플레이트의 측면에서의 개구 개방 면적이 상기 플라즈마 생성 서브-챔버를 마주보는 상기 이온 추출기 플레이트의 측면에서의 개구 개방 면적보다 크도록, 콘 형상 (cone-shape) 으로 된,
    재료 제거 장치.
  19. 제 13 항에 있어서,
    상기 이온 추출기 플레이트의 상기 콜러게이션된 부분은 복수의 콘 형상 피처들 (cone-shaped features) 을 포함하며,
    상기 개구들은 상기 기판 지지부에 대해서 경사진 상기 콘 형상 피처들의 표면부들 (surfaces) 을 통과해서 연장되도록 위치되는,
    재료 제거 장치.
  20. 제 13 항에 있어서,
    상기 이온 추출 플레이트는 복수의 콜러게이션된 세그먼트들을 포함하며,
    콜러게이션의 배향은 인접하는 상기 콜러게이션된 세그먼트들 간에서 상이한,
    재료 제거 장치.
  21. 제 20 항에 있어서,
    적어도 2 개의 개별 타입의 콜러게이션된 세그먼트들이 사용되는,
    재료 제거 장치.
  22. 제 21 항에 있어서,
    제 1 개별 타입의 콜러게이션된 세그먼트는 상기 기판의 프로세싱 면에 대해서 수직인 방향으로부터 방사상으로 오프셋된 (radially offset) 방향으로 이온들을 향하게 하도록 설계 또는 구성되고,
    제 2 개별 타입의 콜러게이션된 세그먼트는 상기 기판의 프로세싱 면에 대해서 수직인 방향으로부터 방위각적으로 (azimuthally offset) 방향으로 이온들을 향하게 하도록 설계 또는 구성된,
    재료 제거 장치.
  23. 제 13 항에 있어서,
    콜러게이션의 각도는 약 1 내지 75°인,
    재료 제거 장치.
  24. 제 23 항에 있어서,
    콜러게이션의 적어도 2 개의 각도들이 사용되는,
    재료 제거 장치.
  25. 제 13 항에 있어서,
    상기 개구를 통과하는 이온들의 방향은 상기 개구의 중앙을 통해서 연장되는 축을 중심으로 코니컬 방식으로 분포되는 (conically distributed),
    재료 제거 장치.
  26. 제 13 항에 있어서,
    상기 이온 추출기 플레이트의 평균 위치와 기판의 플레이팅 면 (plating face) 간의 거리는 상기 기판이 상기 기판 지지부 상에 존재할 때에, 약 10 cm보다 작은,
    재료 제거 장치.
  27. 제 13 항에 있어서,
    상기 이온 추출기 플레이트는 상기 이온 추출기 플레이트의 중앙을 통해서 연장되는 축을 중심으로 회전가능한,
    재료 제거 장치.
  28. 제 13 항에 있어서,
    상기 기판의 프로세싱 동안에 상기 이온 추출기 플레이트를 상기 기판 지지부를 향해서 그리고 상기 기판 지지부로부터 멀어지게 이동시키기 위한 병진 액추에이터 (translation actuator) 를 더 포함하는,
    재료 제거 장치.
  29. 제 13 항에 있어서,
    상기 이온 추출기 플레이트의 인접하는 콜러게이션 피크들 (corrugation peaks) 간의 거리는 적어도 약 2 mm인,
    재료 제거 장치.
  30. 제 13 항에 있어서,
    상기 이온 추출기 플레이트의 인접하는 콜러게이션 피크들 (corrugation peaks) 간의 거리는 약 5 내지 20 mm인,
    재료 제거 장치.
  31. 제 13 항에 있어서,
    개구 위치 패턴들은 인접하는 콜러게이션 피처들 간에서 변하는,
    재료 제거 장치.
  32. 제 13 항에 있어서,
    상기 반응 챔버는, 상기 콜러게이션된 이온 추출기 플레이트가 존재하지 않는 때에 수직 방향으로 기판을 에칭하고, 상기 콜러게이션된 이온 추출기 플레이트가 존재하는 때에 복수의 경사진 방향들로 기판을 에칭하도록 구성된,
    재료 제거 장치.
KR1020140085526A 2013-07-08 2014-07-08 이온 빔 에칭 시스템 KR20150006390A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/936,930 2013-07-08
US13/936,930 US9017526B2 (en) 2013-07-08 2013-07-08 Ion beam etching system

Publications (1)

Publication Number Publication Date
KR20150006390A true KR20150006390A (ko) 2015-01-16

Family

ID=52133090

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140085526A KR20150006390A (ko) 2013-07-08 2014-07-08 이온 빔 에칭 시스템

Country Status (6)

Country Link
US (3) US9017526B2 (ko)
JP (1) JP6469374B2 (ko)
KR (1) KR20150006390A (ko)
CN (2) CN107293468B (ko)
SG (1) SG10201403639PA (ko)
TW (1) TWI638403B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180037273A (ko) * 2015-08-31 2018-04-11 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 이온 빔 디바이스에 대한 가스 주입 시스템

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP2014212310A (ja) * 2013-04-02 2014-11-13 東京エレクトロン株式会社 半導体デバイスの製造方法及び製造装置
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9522821B2 (en) * 2013-04-18 2016-12-20 Bo Cui Method of fabricating nano-scale structures and nano-scale structures fabricated using the method
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9460961B2 (en) 2014-08-05 2016-10-04 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for anisotropic metal etching
US9396965B2 (en) * 2014-08-05 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for anisotropic metal etching
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9570509B2 (en) * 2015-01-29 2017-02-14 Qualcomm Incorporated Magnetic tunnel junction (MTJ) device array
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9478399B2 (en) * 2015-03-27 2016-10-25 Varian Semiconductor Equipment Associates, Inc. Multi-aperture extraction system for angled ion beam
KR102015891B1 (ko) * 2015-05-22 2019-08-29 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
US20160365227A1 (en) 2015-06-09 2016-12-15 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus
CN104878392B (zh) * 2015-06-24 2017-05-31 安徽纯源镀膜科技有限公司 离子束清洗刻蚀设备
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
CN107924993B (zh) * 2015-09-18 2022-03-18 英特尔公司 自旋转移矩存储器(sttm)、使用易失性化合物形成元素来形成其的方法以及包括其的设备
KR101900334B1 (ko) * 2015-10-02 2018-09-20 캐논 아네르바 가부시키가이샤 이온 빔 에칭 방법 및 이온 빔 에칭 장치
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9812349B2 (en) 2015-12-01 2017-11-07 Lam Research Corporation Control of the incidence angle of an ion beam on a substrate
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US9589850B1 (en) * 2015-12-10 2017-03-07 Globalfoundries Inc. Method for controlled recessing of materials in cavities in IC devices
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US9779955B2 (en) * 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
GB201608926D0 (en) * 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
KR102390323B1 (ko) * 2016-08-26 2022-04-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
KR20180083027A (ko) 2017-01-11 2018-07-20 삼성전자주식회사 반도체 소자의 패턴 형성 방법
CN207396531U (zh) 2017-01-31 2018-05-22 杭州探真纳米科技有限公司 一种悬臂末端纳米探针
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
TWI729285B (zh) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 金屬薄膜的選擇性沈積
CN109216540A (zh) * 2017-06-30 2019-01-15 中电海康集团有限公司 Mtj器件与其制作方法
US10193066B2 (en) * 2017-06-30 2019-01-29 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for anisotropic substrate etching
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10354887B2 (en) * 2017-09-27 2019-07-16 Lam Research Corporation Atomic layer etching of metal oxide
KR102273971B1 (ko) 2017-10-20 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
JP7012347B2 (ja) * 2017-11-01 2022-02-14 国立研究開発法人産業技術総合研究所 二次元層状材料の積層体
US10276340B1 (en) * 2017-12-20 2019-04-30 Varian Semiconductor Equipment Associates, Inc. Low particle capacitively coupled components for workpiece processing
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102273084B1 (ko) 2018-06-29 2021-07-06 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US10535522B1 (en) 2018-08-21 2020-01-14 Varian Semiconductor Equipment Associates, Inc. Angular control of ion beam for vertical surface treatment
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
JP7447118B2 (ja) 2018-12-17 2024-03-11 アプライド マテリアルズ インコーポレイテッド 光学装置製造のためのイオンビーム源
US11715621B2 (en) * 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
CN109786194B (zh) * 2018-12-20 2020-10-30 丰豹智能科技(上海)有限公司 一种改变离子束方向的装置
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
JP2022544221A (ja) 2019-08-16 2022-10-17 ラム リサーチ コーポレーション ウエハ内の様々な反りを補償するために空間を調整する堆積
US11158786B2 (en) 2019-09-25 2021-10-26 International Business Machines Corporation MRAM device formation with controlled ion beam etch of MTJ
TWI750521B (zh) * 2019-10-23 2021-12-21 聚昌科技股份有限公司 磁力線遮蔽控制反應腔室磁場之蝕刻機結構
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11043394B1 (en) 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
CN111463107B (zh) * 2020-04-07 2023-04-28 北京晶亦精微科技股份有限公司 一种晶圆清洗设备
CN211957594U (zh) * 2020-05-29 2020-11-17 北京鲁汶半导体科技有限公司 一种离子束刻蚀旋转平台
US11948781B2 (en) 2020-06-16 2024-04-02 Applied Materials, Inc. Apparatus and system including high angle extraction optics
US11637242B2 (en) * 2020-08-21 2023-04-25 Tokyo Electron Limited Methods for resistive RAM (ReRAM) performance stabilization via dry etch clean treatment
US20220102139A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for multiple step directional patterning
JP2022112423A (ja) * 2021-01-21 2022-08-02 東京エレクトロン株式会社 プラズマ処理装置
US20240145252A1 (en) * 2022-11-02 2024-05-02 Applied Materials, Inc. Faraday faceplate

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) * 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JPH02131550U (ko) * 1989-03-31 1990-11-01
JP2643457B2 (ja) * 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
JPH04137727A (ja) * 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) * 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5374456A (en) * 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JP3190830B2 (ja) * 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US6007673A (en) * 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JPH1154717A (ja) * 1997-08-06 1999-02-26 Sanyo Electric Co Ltd 誘電体素子の製造方法
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20050025791A1 (en) 2002-06-21 2005-02-03 Julius Remenar Pharmaceutical compositions with improved dissolution
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
DE112006002412T5 (de) * 2005-09-09 2008-07-17 ULVAC, Inc., Chigasaki Ionenquelle und Plasma-Bearbeitungsvorrichtung
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
WO2010023925A1 (ja) * 2008-09-01 2010-03-04 独立行政法人科学技術振興機構 プラズマエッチング方法、プラズマエッチング装置及びフォトニック結晶製造方法
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (ja) * 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP3188215A3 (en) 2010-02-09 2017-09-13 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP5864879B2 (ja) * 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388491B2 (en) 2011-10-31 2019-08-20 Canon Anelva Corporation Ion beam etching method of magnetic film and ion beam etching apparatus
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
CN202633210U (zh) * 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180037273A (ko) * 2015-08-31 2018-04-11 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 이온 빔 디바이스에 대한 가스 주입 시스템

Also Published As

Publication number Publication date
TW201517162A (zh) 2015-05-01
JP2015019064A (ja) 2015-01-29
US9257295B2 (en) 2016-02-09
CN107293468A (zh) 2017-10-24
SG10201403639PA (en) 2015-02-27
TWI638403B (zh) 2018-10-11
CN104282521B (zh) 2017-07-21
US20150011093A1 (en) 2015-01-08
CN107293468B (zh) 2020-02-11
US9017526B2 (en) 2015-04-28
US20160211156A1 (en) 2016-07-21
CN104282521A (zh) 2015-01-14
US20150179465A1 (en) 2015-06-25
JP6469374B2 (ja) 2019-02-13

Similar Documents

Publication Publication Date Title
US9257295B2 (en) Ion beam etching system
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
JP6641077B2 (ja) デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御
JP6461482B2 (ja) 半導体製造用の内部プラズマグリッド
US10580628B2 (en) Differentially pumped reactive gas injector
JP6509495B2 (ja) 半導体製造用の内部プラズマグリッドの適用
TW201705277A (zh) 處理基底的設備、系統及方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid