TW201517162A - 離子束蝕刻系統 - Google Patents

離子束蝕刻系統 Download PDF

Info

Publication number
TW201517162A
TW201517162A TW103123364A TW103123364A TW201517162A TW 201517162 A TW201517162 A TW 201517162A TW 103123364 A TW103123364 A TW 103123364A TW 103123364 A TW103123364 A TW 103123364A TW 201517162 A TW201517162 A TW 201517162A
Authority
TW
Taiwan
Prior art keywords
substrate
extraction plate
ion
ion extraction
plasma
Prior art date
Application number
TW103123364A
Other languages
English (en)
Other versions
TWI638403B (zh
Inventor
Harmeet Singh
Alex Paterson
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201517162A publication Critical patent/TW201517162A/zh
Application granted granted Critical
Publication of TWI638403B publication Critical patent/TWI638403B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

所揭露之實施例係與用以自基板移除材料之方法及設備相關。在各種實施中,自半導體基板上先前已受到蝕刻之特徵部(例如:溝槽、孔洞、或柱)的側壁移除導電性材料。在實施本文的技術中,將基板設置在反應腔室中,該反應腔室藉由具有孔隙通過其中的波紋狀離子萃取板加以分隔成上部電漿產生腔室及下部處理腔室。該萃取板係波紋狀的,使得電漿鞘可依循該萃取板的形狀,因而使離子可相對於基板以一角度進入下部處理腔室。因此,在處理期間,離子能夠穿透進入先前受到蝕刻的特徵部,而撞擊基板於如此特徵部的側壁。經由此機制,特徵部側壁上的材料可被移除。

Description

離子束蝕刻系統
本發明係與一種離子束蝕刻系統相關
半導體生產中所頻繁運用的一種操作係蝕刻操作。在蝕刻操作中,一種以上的材料會自部份製造的積體電路部分或全部移除。尤其在所涉及的幾何結構係小,使用高深寬比,或需要精確圖案轉移的情況下,往往使用電漿蝕刻。
隨著從平面結構進展到3D的電晶體結構(例如:用於邏輯元件的FinFET閘極結構)及先進記憶結構(例如:磁阻性隨機存取記憶體(MRAM)、及電阻式隨機存取記憶體(ReRAM )),電漿蝕刻製程需越來越精確和均勻,以製造優質的產品。習知蝕刻技術的問題是蝕刻副產物有時會在表面上再次沉積(此類沉積係非期望的),而非被清除掉。例如,該副產物可能會在基板上重新沉積,特別是再沉積於特徵部的側壁上。此副產物往往是金屬或金屬豐富之膜。此類再沉積現象,以及相關的不完全或非垂直的側壁蝕刻問題,在將非揮發性物質蝕刻時(在製造例如MRAM、ReRAM、交叉點記憶體元件等先進元件時經常出現之情況下)會特別有問題。這些蝕刻製程可能受到離子濺鍍主導,離子濺鍍法通常在特徵部側壁造成若干量的再沉積。
基板上不想要的蝕刻副產物沉積可以導致諸多問題,包括:不良的蝕刻產物及低於標準的元件 。例如,該沉積可能會導致非垂直的蝕刻輪廓或其它的蝕刻非均勻性。又,此類沉積可能會造成電性問題,尤其是在正受到蝕刻的結構係具有交錯的介電層及導電膜層的情況下。在一些情況​​下,不想要的金屬材料可能會沉積在蝕刻堆疊的側壁上,從而在應被電絕緣的層之間形成電連接。這樣的連接在堆疊中造成短路,並可能造成元件失效。此種類型失效之一個範例係跨越MRAM元件之磁性穿隧接面(MTJ)阻礙形成的短路。
因此,需要改良半導體製造方法和設備,允許在半導體基板已加以蝕刻之後自基板移除不需要的材料(尤其是移除經蝕刻特徵部側壁上的材料)。
本文若干的實施例係與一種用以自蝕刻後的基板移除不需要材料的方法和設備相關。在各種實施例中,基板係部分製造的半導體基板,且不需要的材料包含經蝕刻的特徵部側壁上的金屬沉積物。可以藉由使用有角度的離子束自特徵部側壁濺射移除掉不需要的金屬沉積物來移除該材料。有角度的離子束可以藉由使用波紋狀離子萃取板達成,該波紋狀離子萃取板允許離子以相對於基板表面的不同的角度進入基板處理腔室。
在本文實施例的一個實施態樣中,提供一種材料移除的方法,用以自半導體元件結構中之特徵部側壁移除材料,該方法包含:(a)在反應腔室中接收基板,其中該反應腔室藉由一離子萃取板分隔成電漿產生子腔室及處理子腔室,其中離子萃取板至少部分為波紋狀且具有孔隙,該等孔隙係設計成或配置成引導穿過其中的離子相對於基板以複數個角度通過;(b)將電漿產生氣體流入電漿產生子腔室中,而在該電漿產生子腔室中產生電漿;及(c)將離子自電漿產生子腔室加速通過離子萃取板,而以複數個角度朝向基板進入處理容積中,藉此自面向複數個方向的特徵部側壁移除材料。
在一些實例中,半導體元件結構的特徵部包含位在兩個經蝕刻導電層之間的經蝕刻絕緣層。在各種實施方式中,該特徵部可以是溝槽、孔洞、或柱。至少一些的孔隙通常會具有一中心軸,該中心軸是以相對於基板的非垂直角度定向。在一些實施例中,電漿實質上不存在於處理子腔室中。換句話說,該處理子腔室可以實質上不含電漿。
在一些實施方式中,該方法更包含在處理期間轉動該離子萃取板,但在單一方向上小於或等於360°。亦可轉動離子萃取板到一定程度,使基板的局部部分暴露於來自複數個不同區段類型之每一者的離子。在一些實施例中,離子萃取板係沿著延伸通過該萃取板及基板中心的軸移動。轉動及/或平移的發生可以是在單一蝕刻操作期間,在多步驟蝕刻製程中的不同步驟之間,或在處理不同的基板之間。在這些或其它實施例中,基板固持器在處理期間可以是固定的。在不同實施方式中,該方法亦包含:在操作(a)之前,蝕刻基板中的特徵部。在這些情況下,該方法亦可以包含:在(a)之前,將基板自進行蝕刻的設備移動到反應腔室。又,在一些實施例中,該方法包含:對離子萃取板施加偏壓。
在本文實施例的另一實施態樣中,提供一種移除材料的設備,用以自半導體基板表面上特徵部的側壁移除材料,該設備包含:(a)一反應腔室中;(b)一離子萃取板,其設置在該反應腔室中,藉此將該反應腔室分隔成一電漿產生子腔室及一處理子腔室,其中離子萃取板至少部分係波紋狀的,且其中該離子萃取板具有孔隙,該等孔隙係設計成或配置成允許離子通過該等孔隙;(c)一個以上通往該電漿產生子腔室的氣體入口;(d)一個以上通往該反應腔室的氣體出口;(e)一電漿產生源,其設計成或配置成在電漿產生子腔室中產生電漿;及(f)一基板支撐部。
該設備亦可以包含一控制器。在一些實例中,該控制器係設計成或配置成:在電漿產生子腔室中產生電漿;對離子萃取板施加偏壓;及以單一方向測得之小於等於約360°的角度轉動該離子萃取板。該控制器亦可以設計成或配置成以順時鐘方向及逆時鐘方向轉動該離子萃取板,並同時自基板特徵部側壁移除材料。
該設備亦可以包含:RF帶,其連接離子萃取板及基板支撐部,其中RF帶係設計成或配置成在基板支撐部上提供偏壓,此偏壓對應施加至離子萃取板的偏壓。在各種實施例中,延伸通過孔隙中心之軸可以定向成垂直於孔隙設置於其中的離子萃取板之局部表面,藉此以實質垂直於離子萃取板局部表面的方向引導離子通過。在一些實例中,至少一些的孔隙係圓錐形的,使得當考量到一單一孔隙時,離子萃取板面向處理子腔室之側上的孔隙開放面積係大於離子萃取板面向電漿產生子腔室之側上的孔隙開放面積。
在這些或其它實例中,該離子萃取板的波紋狀部分可以包含複數個圓錐形特徵部,其中孔隙係設置成使它們伸通過相對於基板支撐部傾斜的圓錐形特徵部表面。離子萃取板亦可以包含複數個波紋狀區段,其中波紋方向在相鄰區段之間係不同的。在一些實施方式中,使用至少兩個不同的波紋狀區段類型。第一區段類型可以設計成或配置成:以與垂直基板處理面之方向徑向偏離的方向導入離子。第二區段類型可以設計成或配置成:以與垂直基板處理面之方向方位角偏離的方向導入離子。在一些實例中,波紋的角度可以是在約1-75°之間。又,在一些實例中,會使用至少兩個波紋角度。
離子穿過孔隙的方向可圍繞延伸通過該孔隙中心之軸呈圓錐形分佈。在其他實例中,離子方向係較不呈圓錐形地分佈,而是更為準直的。在離子萃取板(或其波紋狀部分)的平均位置及(當基板置於基板支撐部上時)基板電鍍面之間具有一段距離。在一些實施例中,該段距離係小於約10cm。在各種不同實例中,該離子萃取板可繞著延伸通過離子萃取板中心的軸轉動。該設備亦可以包含一平移致動器,其用以在基板處理期間移動離子萃取板朝向和遠離基板支撐部。該平移致動器亦可以係設計成或配置成以平行基板的方向移動離子萃取板。在這些或其它實例中,相鄰波紋的峰部之間的距離為至少約2mm。在一些情況​​下,此距離係在約 5-20mm之間。在一些情況下,孔隙位置的圖案會在相鄰波紋狀特徵部之間變化。該反應腔室亦可用於在波紋狀離子萃取板不存在時以垂直方向蝕刻基板,並在波紋狀離子萃取板存在時以複數個有角度的方向蝕刻基板。
這些和其他的特徵將參照相關圖示於以下詳述。
在本申請案中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部份製造之積體電路(partially fabricated integrated circuit)」可互換使用。熟習此技術領域者將能理解用語「部份製造之積體電路」可代表積體電路製造之許多階段中之任一階段期間的矽晶圓。使用在半導體裝置工業中之晶圓或基板一般具有200mm、或300mm、或450mm的直徑。下列的詳細敘述假設本發明係實現於晶圓。然而,本發明並非僅限於此。工作件可為各種形狀、尺寸、及材料。除半導體晶圓外,可以利用本發明的其他工作件係包含各種物件,例如印刷電路板等。
在下面敘述中,提出了許多特定細節以提供對於本發明實施例的全面瞭解。在沒有部份或全部此些細節的情況下亦可施行所揭露之實施例。在其他情況下,不詳細說明眾所周知的製程操作以免不必要地模糊所揭露之實施例。雖然配合特定實施例來說明所揭露的實施例,但應瞭解,這些特定實施例並不限制所揭露的實施例。
又,雖然發明說明經常提及「上部」及「下部」元件(或類似地提及「頂部」及「底部」、「左部」及「右部」等),但這些描述符號係以非限制性型式使用,僅以闡明為目的。熟習此技藝者將能理解亦可使用其他的結構。在若干實施例中,例如,本文所描述為「上部」及「下部」的元件亦可以成為「下部」及「上部」元件、或「左部」及「右部」元件。
本文的實施例一般係涉及半導體處理方法及設備。更具體而言,該等實施例係與用以自經蝕刻特徵部的側壁移除不需要材料(例如:金屬沉積物)的方法及設備相關。所揭露的方法一般是在基板已受到電漿蝕刻之後進行。在若干實施例中,經蝕刻的特徵部係溝槽或其它的凹部。該方法可以在個別的模組中進行,或在一蝕刻模組中進行,該蝕刻模組自上個蝕刻製程發生以來已適當地受到調整(例如,藉由添加離子萃取板)。
在實施所揭露的技術的操作中,基板係設置在一處理腔室中。圖1顯示一適當處理設備100的簡化剖面圖。基板101在反應腔室103中係設置在基板支撐部105上。 在諸多實施方式中,該基板支撐部係固定的(即:不會轉動或移動)。在若干情況下,基板支撐部105係一靜電夾盤。一離子萃取板107係設置在基板101上方,並受到與轉動致動器115連接之支撐部111所支撐。在一些實施例中,離子萃取板107包含一附加的籠部(cage)部分。籠部可包含:圓柱形側壁132,其自該離子萃取板垂直向下延伸;及一平坦的環形表面133,其自側壁132向內延伸。離子萃取板107本身可以用作該籠部的頂部。側壁132及平坦表面133可以是導電的,而支撐部111可以是非導電的。在其他實施例中,例如圖7中所示者,並不存在此籠部結構。離子萃取板107會將反應腔室103分隔成一上部電漿產生腔室123及一下部處理腔室125。腔室123和125有時係分別稱為上部子腔室及下部子腔室。
離子萃取板107具有非平面的剖面(自側邊觀察,如圖1所示)。在圖1的實施例中,離子萃取板107 的剖面具有形成波紋狀表面之一系列的峰部127及谷部129。
為清楚起見,此刻將定義一些基本的波紋單元。表面被視為是基本的波紋單元。表面是受到二個頂點/邊所界定的波紋特徵部的連續部分(例如:頂部及谷部之間的表面)。範例包含但非限制於:三角形/角錐狀結構之一 側邊部(leg)的平坦表面;及圓錐的傾斜部分(即:非底面部分)。表面可具有許多孔隙。
波紋特徵部一般係由複數個不同角度/方向的表面構成。一波紋特徵部在共同組成一波紋區段之潛在重複特徵部群組中提供單一單元(或可能在不使用不同區段的情形下提供板的完整波紋)。特徵部一般包含一頂點(例如:頂端、天底點、頂部、或谷部),其將二個組成面分隔開來,當以一剖面觀察時,此二組成面的方向一般係彼此不同的。波紋特徵部之範例包含:在手風琴狀波紋圖案中的頂部到頂部或谷部到谷部的單一跨度,或包含單一圓錐部、單一角錐部、單一半球部等。例如,在圓錐形或半球形波紋特徵部的情況下,吾人理解波紋特徵部的表面可實際上是一單一連續表面。儘管如此,當以一剖面觀察時,這些特徵部可被視為是具有分隔開二個不同方向表面的頂點。圓錐部、角錐部、半球部等皆被視為是不同類型的波紋特徵部。另一類型的不同波紋特徵部係手風琴狀特徵部,其具有交替向上及向下的傾斜平坦表面。
波紋狀區段係具有單一類型波紋特徵部的一離子萃取板的一區域。例如,一區段可僅具有:(a)圓錐部;或(b)半球部;或(c)手風琴狀特徵部,其中該等頂點(即:頂部和谷部)係在一第一方向上平行與對準;(d)手風琴狀特徵部,其中該等頂點係在一第二方向上平行與對準。參考圖4,僅顯示單一波紋狀區段。該區段包含許多波紋特徵部(圓錐部)。參考圖4B,具有8個個別的波紋狀區段,雖然僅有二種不同類型的區段404及406在交替。區段404係單一大致三角錐體形(triangular pyramidal-shaped)波紋特徵部,而區段406包含複數個手風琴形特徵部。這些圖形將於以下進一步地描述。
當用於本文,用語「波紋狀」係用於描述當自側邊觀察時具有交替向上及向下傾斜部分的特徵部/區段/板。不被視為是波紋狀之板的範例是其中具有圍繞內部平坦區域的一個以上外部傾斜區域,而傾斜區域皆大致面向內朝向基板中心。在此情況下,(當自側邊觀察時)板具有梯形的剖面部分,且不被視為是波紋狀的,這是因為它不具有交替向上及向下傾斜的表面。
離子萃取板107具有延伸通過板107厚度的孔隙109。孔隙109係定向成使通過孔隙109的軸係垂直於離子萃取板107的局部表面。因為該表面係非平面的,故許多或全部的孔隙109可能定向在非垂直於基板電鍍面的角度。
在處理期間,電漿產生氣體係經由氣體入口117進入。用於產生或維持電漿的任何氣體或氣體混合物可以稱為電漿產生氣體。電漿藉由電漿產生裝置121而主要地或完全地在電漿產生腔室 123中產生。在圖1的實施例中,感應耦合電漿係經由線圈121的使用而產生,線圈121藉由介電窗131而與電漿產生腔室123分隔開。在各種實施例中,離子萃取板107將電漿侷限在電漿產生腔室123,使得處理腔室125實質上係不具有電漿。在各種實施例中,萃取板107的外緣和腔室103之壁分隔了一段距離。此段分隔的距離有助於確保萃取板107係受到適當偏壓,如以下說明。
可以對離子萃取板107施加偏壓。RF帶113連接著離子萃取板107及基板支撐部105,使得在若干實施例中,施加到離子萃取板107和基板支撐部105的偏壓係相等。存在於電漿產生腔室 123中的離子會穿過離子萃取板107中的孔隙109。由於孔隙109的成角度定向,以及施加至板107的偏壓,致使離子以相對於基板101的一個角度被導入處理腔室125中。離子碰觸基板101表面以濺射且藉此移除不需要的材料。因為離子係以相對於基板表面的一個角度移動,故一部分該等離子可以移動到基板上經蝕刻的特徵部中,使得它們自該特徵部的側壁濺射移除材料。在各種實施例中,轉動致動器115使離子萃取板107在處理期間轉動。此種轉動會將經蝕刻的特徵部暴露於來自一角度範圍的離子,這些角度係由離子萃取板107上之多個不同方向的孔隙所提供。在一些情況​​下,離子的濺射/活化與反應性化學品的組合可用於移除不需要的材料。可在引入至反應腔室103的製程氣體中(在一些情形中,製程氣體是直接引入至下部處理腔室125)供給反應性化學蝕刻劑。
圖2A-D顯示在根據本文實施例之生產製程中之不同階段的部分製造積體電路。圖2A顯示受到蝕刻之前的基板。圖中,基板具有一第一層202、一第二層204、一第三層206、及一圖案化遮罩層208。該基板可根據習知技術進行蝕刻,而產生圖2B所示之經蝕刻的基板。在該蝕刻製程期間,不需要的材料210可積聚在經蝕刻的特徵部側壁上。應將此不需要的材料移除,以改善經蝕刻的特徵部,並降低元件失效的可能性。例如,在第一和第三層202及206係導電性,而第二層204係絕緣性的情況下,元件失效特別是可能的。在這種情況下, 絕緣層204的一個目的可以是為了將第一及第三層202及206電性分離。然而,不需要的材料210(其可能係導電)可能會在第一及第三層202及206之間形成短路,而導致元件失效。因此,這種不需要的材料210應在進一步的處理之前加以移除。
圖2C顯示根據本文所揭露各種實施之正受到離子轟擊的經蝕刻基板。離子軌道係以箭頭表示。由於圖1所示之離子萃取板107上孔隙109的成角度定向,離子係以一角度移動。 離子與基板交互作用,而將不需要的材料210自特徵部的側壁濺射掉。圖2D顯示受到根據揭露方法處理之後的基板。不需要的材料210係受到移除,且蝕刻輪廓係改善的。
參照圖7,將於以下描述可用於實施所揭露技術之電漿反應器的更詳細範例。參照圖4A-C 及圖5-6,將於以下描述適合用作離子萃取板之板結構的範例。方法
圖3A顯示描述製程300A的流程圖,製程300A係用以根據各種揭露實施例自特徵部側壁移除材料。在一些情況下,該特徵部係一溝槽,其係約10-60nm寬之間,或約5-100nm寬之間。在其他情況下,該特徵部可為柱或孔洞,具有在約5-100nm之間的直徑,或在約10-60nm之間直徑。製程300A 開始於方塊圖301:在一反應腔室中接收一經刻蝕的基板。如以上所述,該反應腔室係藉由一離子萃取板而分隔成上部電漿產生腔室及下部處理腔室。該基板係提供至下部處理腔室,且一般係由例如靜電夾盤的基板支撐部所支持。
在方塊圖303,將電漿產生氣體流入該電漿產生腔室 。電漿係由電漿產生氣體產生。在方塊圖305,對離子萃取板施加偏壓。在各種實施例中,離子萃取板係電耦接到支撐基板的靜電夾盤。這種連接可以藉由由導電材料所構成的RF帶完成。在此方式中,可將基板偏壓到與離子萃取板相同的RF電位。
在方塊圖307,在上部電漿產生腔室中的離子會通過離子萃取板中的孔隙,而移動到下部處理腔室中,使得它們可以與基板表面交互作用(方塊圖309)。在許多情況下,電漿是被侷限在電漿產生腔室,因此不會洩漏到下部處理腔室中。
離子可藉由所施加的偏壓加速。許多或全部的離子可相對於基板表面以一角度移動。由於離子萃取柵(grid)中孔隙的成角度定向及尺寸,離子具有成角度的速度,如本文其它處所說明。因為離子成角度的速度,許多離子能夠穿透經蝕刻的特徵部,而撞擊該特徵部側壁。撞擊蝕刻特徵部側壁的離子能夠有助移除沉積於側壁上的不需要材料。倘若離子的軌道係垂直而非有角度的,穿透經蝕刻特徵部的離子則可能會撞擊特徵部的底部,而非側壁。又,雖然一些具有垂直軌道的離子會撞擊側壁,但高入射角度會造成相當緩慢的移除過程。藉由使用有角度的離子軌道,穿透特徵部的離子將以較小的入射角度撞擊側壁,造成其上材料的較快移除。在一些情況下,離子可以濺射移除不需要的材料。在其他情況下,離子可以活化表面(例如:經蝕刻特徵部的側壁),且之後可使用反應性化學品自該經活化的表面移除不需要的材料。不需要的材料可經由與真空源連接的出口而被掃出反應腔室。
圖3B顯示可以受益於所揭露技術的示例性半導體製造製程。特別是,圖3B係與更廣泛的製造情況相關,其中可發生圖3A中所述的有角度蝕刻製程。美國專利第6689283號,專利名稱為「DRY ETCHING METHOD, MICROFABRICATION PROCESS AND DRY ETCHING MASK」中進一步地討論及描述此種更廣泛半導體製造方法的範例,且藉由參照整體納入本案揭示內容。
製程300B開始於方塊圖 302:在基板上沉積具有交替的導電材料層和絕緣材料層的堆疊。在一實施例中,此堆疊係由交替的導電材料層和絕緣材料層所製成。在各種實例中,該堆疊沉積於其上的基板係一半導體晶圓。接著,在方塊圖304,在交替層的堆疊上沉積光阻層。可以使用微影技術微圖案化此光阻層。在一特定實例中,該圖案化的光阻層係例如一正型光阻,使用旋轉-塗覆方法加以沉積,並使用UV或電子束曝光設備加以圖案化。於方塊圖306,在該圖案化的光阻層上沉積遮罩層。在一些實例中,該遮罩層係由氮化鈦(TiN)所製成,氮化鈦可藉由反應性濺鍍方法沉積。
接著,於方塊圖308,移除該圖案化光阻層,而形成一圖案化遮罩層。在一些實施例中,可以藉由將基板浸入在溶劑浴中,並施加超聲波能量來移除該圖案化光阻的剝離方法,達成該移除。接著,基板上之堆疊可被蝕刻(方塊圖310)而形成經蝕刻的堆疊。例如,該蝕刻可以藉由電漿蝕刻技術發生,如此往往會在經蝕刻特徵部的側壁上留下一些不需要的材料。接著,在方塊圖312,本文所揭露之有角度的離子束蝕刻技術可用於自該經蝕刻特徵部的側壁移除不需要的材料。例如,圖3A中所示的製程300A可在操作312中實施。圖3C-D顯示可利用所揭露技術之附加的​​示例性的半導體製造製程。圖3C係闡明主要處理操作的流程圖,而圖3D顯示在圖3C每一階段之後的部分製造的半導體元件。在美國專利第RE40,951號中描述如此半導體製造方法的範例,此專利名稱為「DRY ETCHING METHOD FOR MAGNETIC MATERIAL」,且藉由參照此案整體納入本案揭示內容。
製程300C 開始於方塊圖351:沉積具有交替的導電材料層及絕緣材料層的堆疊。在一範例中,該等層包含:(自最靠近晶圓到最遠離晶圓)Ta 370、Al 371、Ta 372、PtMn 373、CoFe 374、Al2 O3 375、CoFe 376、NiFe 377、及Ta 378。層374-376(CoFe / Al2 O3 / CoFe的集合)構成交替的導電及絕緣材料層。上部 CoFe層376可以稱為自由層(free layer),而下部CoFe層374可稱為固定層(pinned layer)。 PtMn層373係一反鐵磁性層(antiferromagnetic layer)。接著,在方塊圖353,根據已知技術沉積並圖案化光阻層 379 。接著,在方塊圖355, 將最上層Ta 378蝕刻,而形成一圖案化遮罩層378。以此方式,可以將該光阻層上的圖案轉移到該遮罩層。Ta於蝕刻磁性層時(包含:CoFe層374及376、Al2 O3 層375、及PtMn層373)係適用作一遮罩層。在該遮罩層於第一蝕刻過程中受到蝕刻/圖​​案化之後,層370-377係未受蝕刻的/連續的,而層378-379係受到蝕刻/圖​​案化。在一實施例中,該第一蝕刻過程係以CF4 氣體進行。光阻層379可以在方塊圖355的第一蝕刻過程之後被移除。
接著,在方塊圖357,進行第二蝕刻過程,其中層373-377係受到蝕刻。在一些實例中,該第二蝕刻過程利用甲醇作為蝕刻劑。在此第二蝕刻過程之後,層372和更低的層係未受到蝕刻的/連續的,而層373及更高的層係受到蝕刻/圖案化。就像圖案化的Ta層378係用作層378所存在區域中的下層的遮罩,Ta層372亦用作遮罩,以保護下層。藉由使用該製程,可以形成穿隧磁電阻結構(TMR)。一層沉積材料380可以在此第二蝕刻過程期間於經蝕刻堆疊的側壁上形成。又,雖然圖3D方塊圖357中所示的堆疊係具有完美的垂直蝕刻輪廓,但可能並非如此,且該側壁可能是更為傾斜,如圖2C-D中所示。該層沉積材料380可能在CoFe層374和376 之間形成短路。為解決這個問題,可於方塊圖359利用本文所描述技術,以進行有角度的離子蝕刻,藉此移除沉積於側壁上的材料而改善元件。
蝕刻機制
在一些實例中,可以藉由僅使用離子濺射達成自經蝕刻特徵部側壁移除不需要材料。在其他實施例中,反應性化學品係與離子暴露一同使用,以促進材料的移除。在使用反應性化學品時,離子的一個目的可為活化用於反應的表面。
本文的實施例可以用於蝕刻各種材料。例如,在一些實施方式中,欲加以蝕刻之材料係可以包含一膜、或含有多個膜的一堆疊,其具有以下元素或其化合物以及合金:Ta、Ni、Fe、Co、Ru、Pt、Mn、Ir、Si、Cu、Mg、 Zr、Pb、O、N等。以上清單非無遺漏的,且並非限制性的。在一些實施例中,欲加以蝕刻的基板係部分製造的MRAM或ReRAM元件。又,欲加以蝕刻的材料可以為沉積於基板上材料的堆疊。如此的堆疊可以具有交替/交錯的介電/導電材料層 。在一特定的實施例中,該堆疊具有至少一個導電-介電-導電層的夾層結構(即:一介電材料層係位於兩個導電材料層間)。
電漿產生氣體係輸送到上部電漿產生腔室的氣體 。該氣體係用於在此空間中產生及/或維持電漿。一般來說,電漿的作用係作為注入至下部處理腔室中的離子的來源 。這些離子可以是惰性或反應性的。
可以使用之惰性氣體的範例包含:氦、氖、氬、氪、及氙。可以使用之反應性氣體的範例包含但非限於:氫(H2 )、氧(O2 )、氮(N2 )、甲烷(CH4 )、四氟化碳(CF4 )、氯(Cl2 )、溴化氫(HBr)、氨(NH3 )、三氟化磷(PF3 )、氟化碳醯(COF2 )、一氧化碳(CO)、一氧化氮(NO)、甲醇(CH3 OH)、乙醇( C2 H5 OH)、乙醯丙酮(C5 H8 O2 )、六氟乙醯丙酮(C5 H2 F6 O2 )、亞硫醯氯(SOCl2 )、亞硫醯氟(SOF2 )、乙酸(CH3 COOH)、吡啶(C5 H5 N)、及/或甲酸(HCOOH)。 在各種實施例中,使用這些蝕刻反應劑的組合。例如,在一些實例中,使用CO+NO的組合。在另一種實例中,使用的是CO2 +NO2 的組合。在進一步的實例中,吡啶係與亞硫醯氯及/或亞硫醯氟加以組合。其他的組合亦是可能的。部分地根據所使用的蝕刻化學品以及欲加以蝕刻的材料,來選擇用於產生電漿的氣體,以在反應腔室中降低或消除不需要的反應。這些材料和反應僅是示例性的,並不意味以任何方式來限制實施例。熟悉此技術領域者將能理解本文中的技術可與各種材料及反應一同使用。
在使用的是反應性氣體的情況下,可將反應性氣體作為電漿產生氣體的一部分輸送,或者可以單獨輸送反應性氣體。例如,在一實施例中,(在具有或不具有惰性電漿產生氣體的情況下)該反應性氣體係輸送到電漿產生腔室。在另一實施例中,反應性氣體係直接地輸送到下部處理腔室,而另一氣流將電漿產生氣體輸送到上部電漿產生腔室。
自特徵部側壁移除不需要材料的機制係取決於輸送至電漿產生腔室及處理腔室之氣體的選擇 。在將惰性氣體輸送到電漿產生腔室且未使用反應性氣體的情況下,移除可由離子蝕刻主導。相反地,在電漿產生氣體係包含反應性物種的情況下,該移除可能會受到反應性的離子蝕刻影響或主導。又,在電漿產生氣體係包含惰性離子且獨立的蝕刻劑源係直接輸送到下部處理腔室的情況下,一不同的移除機制可能主導。
離子蝕刻可以用於各種實施方式中。離子蝕刻一般係指藉由以惰性氣體物理濺射移除原子。物理濺射係由離子和與其相撞的材料之間的動量交換所驅動。當撞擊時,入射離子於目標處引起碰撞串級。當此類的串級以大於表面結合能的能量反撞而到達目標表面,原子則被射出,這就是所知的濺射。
在其他實施例中,反應離子蝕刻係用於移除不需要的材料。在這種情況下, 化學活性離子及/或自由基可與不需要的材料反應,而幫助其移除。在一些實例中, 使用的是離子濺射和自由基誘導反應的組合。
在蝕刻劑氣體係直接輸送到下部處理腔室且電漿產生氣體係輸送到電漿產生腔室的情況下,移除機制可​​能會有些不同。不希望侷限於任何理論或作用機制,吾人相信離子轟擊可藉由在欲加以蝕刻之金屬或其它材料上產生懸空鍵(dangling bond)及/或其他的物理化學接納特徵部,而在基板上產生活化位。離子轟擊造成的活化在習知上係經由電漿暴露而達成。在這些習知應用中,電漿一般係在緊接基板上方的區域中產生,且用於支持基板的靜電夾盤可受到偏壓,以將離子吸引到基板的表面上。
在本實施例中, 離子轟擊以不同的方式發生。例如,電漿可以主要或完全地在上部電漿產生腔室中產生,上部電漿產生腔室係藉由離子萃取板與該處理腔室(基板係位於其中)分隔開來。因此,在各種實施方式中,基板可不直接暴露於電漿環境。可使該離子萃取板及靜電夾盤受到偏壓,以將離子自上部電漿產生腔室加速通過離子萃取柵而進入到下部處理腔室中,於此離子可以撞擊基板而活化及/或濺射所述表面。
在一些實例中,在蝕刻製程期間供給至下部子腔室的氣體不僅僅包含蝕刻劑氣體,亦包含一種以上的其它氣體。額外的氣體可以是例如氧、氫、或氮。此類氣體可以與基板表面反應,而形成例如金屬氧化物、金屬氫化物、或金屬氮化物。在一些情況下,此類氧化物/氫化物/氮化物材料可以較裸金屬更容易蝕刻。可連續性地或脈衝式地供給此額外的氣體。當以脈衝方式供給時,可以交替重複的脈衝蝕刻氣體及脈衝第二製程氣體的循環來供給第二製程氣體。
在另一實施例中,驅動氧化物/氫化物/氮化物形成的材料係以離子化形式自上部子腔室輸送至下部子腔室。在一些實施方式中,基板表面係暴露於化學惰性離子及化學反應性離子之交替流。
氣體至上部電漿產生腔室及下部處理腔室的輸送可藉由各種機構任一者加以實現 。在一實施例中,入口噴嘴係設置在上部及下部腔室中。對每一腔室而言, 可具有複數個入口。在一個實施方式中,許多入口係設置成圍繞著子腔室的週圍,以提供均勻的製程氣體流動。在另一實施例中,離子萃取板係用作噴淋頭,以提供氣體至上部及下部子腔室的其中一者或兩者。在另一實例中,氣體可經由延伸到各個子腔室的中央噴嘴來供給。電漿產生氣體係輸送到電漿產生腔室,而附加氣體(例如:蝕刻劑氣體)可以輸送至電漿產生腔室或處理腔室。熟習此技術領域者應當理解,可在所揭露的實施例之範疇中使用不同的氣體輸送形式。
電漿產生
電漿係在上部電漿產生腔室中產生。在以上蝕刻機制的段落中描述了適用於形成電漿的氣體。不同類型的電漿產生技術可用於在電漿產生腔室中產生電漿。在一實施例中,電漿係例如藉由使用設置在電漿產生腔室上方的線圈加以產生的感應耦合電漿。在另一實施例中,電漿係電容耦合電漿、微波電漿、或電子迴旋共振(ECR)電漿。在感應耦合電漿的情況下,可以使用大範圍的激發頻率。在若干實例中,激發頻率為射頻頻率或微波頻率。可以根據本文教示加以調整的電漿產生反應器的例子係Kiyo反應器,其可由Fremont, CA的Lam Research Corporation購得。
在一些實施方式中,電漿產生腔室中的壓力係相當低(例如:約20 mTorr以下,或約5 mTorr以下,或在約1-2 mTorr之間)。使用低壓電漿的一個原因是為了降低離子在電漿中與氣體碰撞的可能性。當離子與氣體原子/分子碰撞時,它們會失去方向性和動量,因而變得較無法自基板移除不需要的沉積物。使用低壓電漿的另一原因是為了降低在下部處理腔室中形成電漿的可能性。雖然在一些情況​下較佳是使用高密度電漿(此類電漿具有較多數量可用於處理的離子),但電漿密度不應該大到導致電漿洩漏通過該柵而進入下部處理腔室。在一些實施例中,電漿產生腔室中的電漿密度係在約5e9 cm-3 -1e12 cm-3 間,或在約1e10 cm-3 -5e11 cm3 間 。
在若干實施例中,離子萃取板並未在電漿的產生中起顯著的作用。然而,萃取板可對侷限電漿於上部電漿產生腔室以及過濾輸送至下部處理腔室的物種起作用。
離子萃取板於反應器中的位置
離子萃取板係設置在反應腔室中,藉此將該反應腔室分隔成一上部電漿產生腔室及一下部處理腔室。這些腔室有時亦係稱為上部腔室及下部腔室,或上部/下部子腔室。適用於修改以包含本文所述的離子萃取板之反應腔室的範例係購Fremont, CA的Lam Research Corporation的Kiyo反應器。在前後文中,以下的描述可被視為是參考圖1,其在前文中更詳細描述。
在一些實施例中,萃取板的周圍係與反應腔室的周圍共同延伸(或接近共同延伸),而該反應腔室的周圍係與正受到處理的基板周圍大致共同延伸(例如,約5%之內或約10%之內)。然而,在一些情況​​下,萃取板和反應腔室周圍延伸超出基板的周圍。雖然吾人期望設計出大於基板的反應腔室/萃取板(使得徑向向內之經蝕刻的特徵部側壁可以更充分地受到離子轟擊),但必須相對於設備佔據所實質增加的空間以及在增加的電漿產生腔室容積中維持較大量電漿所需的顯著增加功率量,與此一大設備的益處加以權衡。因此,在一些實施例中,受處理基板的直徑係離子萃取板直徑的約50〜90%或約60-90%。在一些實施方式中,將萃取板的外部邊緣與反應腔室的內部表面分隔開來,以將電弧作用的風險最小化,該電弧作用可能在腔室壁保持於接地且萃取板受到偏壓時發生。在一實例中,萃取器外部邊緣以至少約3cm的間隙與腔室壁分隔開來。
基板電鍍面及離子萃取板波紋狀部分最低面(或在一些情況​​下,萃取板的波紋部分的平均位置)之間的距離應當相對小(例如:小於約10cm,或小於約8 cm,或小於約5 cm)。此相對小的間隔距離有助於確保該板和夾盤之間的電場係為弱,使得電漿無法維持在下部處理腔室中。在這些或其它實施方式中,離子萃取柵可以設置於從上部電漿產生腔室頂部過來的約10-25 cm間。
然而,不應將萃取板設置成過於靠近基板,這是因為如此可能會導致該板表面圖案印在晶圓表面上。換句話說,萃取板中孔隙的圖案可能會不期望地出現在處理之後的基板表面上,而造成顯著的蝕刻不均勻性。對於許多應用而言,至少約1英寸從基板頂部到萃取板底部的分隔距離係足以避免如此的印痕。
離子萃取板設計
各種設計可用於實現離子萃取板。示例性的萃取板顯示於圖4A-4D,並於以下進一步地說明之。一般來說,該板包含具有延伸通過該板厚度的孔隙的一波紋狀表面。在各種實施例中,使用的是單一萃取板。許多或全部的孔隙可定向成使得它們皆垂直於萃取板的局部表面,以及使它們相對於基板面成角度。
孔隙的直徑或其它主要尺寸應足夠大,以允許足夠數量的離子自上部腔室傳送到下部腔室。孔隙的主要尺寸係在平行於萃取板局部表面之方向上,並橫跨一孔隙中此方向上的最長線性路徑。主要尺寸係在面向上部電漿產生腔室之萃取板之側加以測量。在孔隙主要尺寸係過小及/或於一板上不具有足夠數量的孔隙之情況下,相對少量的離子進入下部處理腔室與基板交互作用。電漿產生子腔室中所產生之高分率的離子會與孔隙的側壁或與該板的頂部碰撞,於此離子無法起作用。在一些情況下,孔隙的直徑或其它主要尺寸為至少約0.5mm,或者至少約1mm。在萃取板係由細網(而非由具有孔洞之板)所製成的情況下,孔隙可能更小。如以下面說明,可接受的孔隙尺寸具有上限。合適的孔隙主要尺寸範圍可以是約0.5至5mm。
孔隙的直徑或其它主要尺寸應足夠小,以在孔隙/板上維持連續性的電漿鞘。在孔隙過大的情況下,電漿鞘可能會環繞孔隙,顯著地於孔隙附近下沉,或者在孔隙附近變得過於不均勻。倘若使電漿鞘成為不連續性的(例如:在孔隙過大而使得電漿鞘環繞孔隙的邊緣),電漿產生腔室中的電漿則有可能會由該電漿鞘中的開口洩漏,通過孔隙,而進入下部處理腔室。如此情形可能會有問題的,這是因為在許多實施例中,下部處理腔室應實質上不具有電漿。
緊鄰孔隙的電漿鞘形狀是重要的,這是因為該鞘的形狀/方向係有助決定引導離子通過離子萃取板而進入下部處理腔室的軌道。尤其是,離子往往係以與電漿鞘局部邊緣/邊界的方向垂直的角度導入。雖然在若干實施例中,孔隙附近某種程度的電漿鞘下沉可能是可接受的 ,惟下沉/凹陷不應大到導致無法接受數量的離子在它們前進通過該孔隙時碰撞該板/側壁。在孔隙尺寸係設計成允許一些相對小程度電漿鞘下沉的實施方式中,離子軌道可能會稍微較不準直而更呈噴霧狀。因此,小程度電漿鞘的下沉對於在基板上達成更大範圍的入射離子角度可係有利的。在一些實施例中,孔隙的直徑或其它主要尺寸係約5mm以下,或約3mm以下。在若干實例中,該電漿鞘的厚度係在約200µm-1mm間。
另一種表示孔隙特徵的方式係孔隙主要尺寸對電漿鞘厚度的比值(例如:Dap /Ts ,其中Dap 是孔隙的直徑或其它主要尺寸,且Ts 是鞘的厚度)。此比值係稱為孔隙對鞘的比值, 且在一些實施例中,該比值係在約0.5-15間,或在約0.5-4間。
圖5A-C顯示以上所述的孔隙尺寸概念。這些圖不一定按比例繪製,而僅係為了闡明之目的而提供。在這些圖之每一者中,箭頭表示離子軌道。圖中僅顯示離子萃取板501的單一波紋峰部。圖5A顯示離子萃取板501中之有角度的孔隙502A。圖5A中,孔隙502A的直徑係適當的,使得可接受數量的離子自上部處理腔室505通過而與下部處理腔室506中的基板(圖未顯示)接觸。又,電漿鞘510A在孔隙上係連續性且相當均勻的。圖5B顯示具有過小直徑的孔隙502B。雖然電漿鞘510B的形狀是可接受的,惟過多的離子將與孔隙502B的側壁碰撞,因而能夠通過到達下部處理腔室506的離子係不足量的。如圖5B所示之過小的孔隙會導致無法自基板充分移除不需要的材料。接著,圖5C顯示過大的孔隙502C。圖中,電漿鞘510C的形狀在緊鄰孔隙處係不均勻的,因而顯著分率的離子無法被適當方向性地導入。尤其是,離子將以垂直於電漿鞘510C局部表面/界面的方向導入。因為局部表面/界面並無垂直於通過萃取板的孔隙的方向,故離子可能會與孔隙側壁碰撞。在一些實施例中,吾人期望在該孔隙上方具有有限之些微凹陷的鞘界面。如此情形會產生通過孔隙之圓錐形或噴霧狀分佈的離子方向,因而將撞擊基板局部區域中之溝槽側壁的角度範圍增加。
圖5D顯示孔隙502D形似截錐的實施例。換句話說,相較於萃取板501下部表面(面向處理腔室506)的孔隙面積,萃取板501上部表面的孔隙面積(面向電漿產生腔室505)係較小的。使用這樣的結構,離子不太可能會與孔隙502D的側壁碰撞,這是因為當​​離子移動通過板501時,它們具有增加的面積/體積量供其通過。因此,無此設計會與側壁碰撞的一些離子能夠通過進入下部處理腔室506。又,因為萃取板上部表面的孔隙面積係相對小,故電漿鞘的形狀是相當均勻的。這種類型的圓錐形孔隙設計可以造成進入下部處理腔室506的較少準直、更為「噴霧狀」的離子輸送。如以上所提,如此係有利於實現基板上一範圍之入射離子角度。
孔隙的形狀及尺寸可加以定製,以提供所期望的離子軌道集合。在一些情況下,孔隙係設計成提供自一些或全部的個別孔隙的緊密準直離子供給。在一些或其他情況下,一些或全部的孔隙係設計成提供一定範圍方向內的離子噴霧。在一些實施例中,一板係設計成具有孔隙,該等孔隙帶有圓錐形剖面部分,及足夠大能在局部鞘邊界產生輕微凹陷的主要尺寸。
在各種實施例中,一些或全部的孔隙係圓形的。然而,在若干實施例中,一些或全部的孔隙具有非圓形的形狀。孔隙形狀(自平行於孔隙所在的局部表面的平面觀察)之範例可以包含橢圓、槽狀、多邊形的C-形、T形等等。
可能會影響離子準直度的相關因素是萃取板的厚度。一般來說,較厚的板會導致較準直的離子軌道,而較薄的板會導致較大範圍的軌道。萃取板應係足夠厚,使得其具有結構完整性,且不會在接近中央處大幅度地向下彎曲。在一些實施例中,萃取板係至少約1mm厚,或至少約3mm厚。又,萃取板應該足夠薄,使得能夠實現可接受的離子通量。在一些實施例中,萃取板係小於約 25mm厚,或小於約10mm厚。
離子萃取板具有一定量的開放面積。開放面積係定義為具有從上部電漿產生腔室至下部處理腔室間的清楚視線通過其中的面積總值。由於萃取板的波紋以及對應的孔隙成角度本質,並非全部的清楚視線可從單一制高點同時觀察到。開放面積係根據離子萃取板上側(即:面向電漿產生腔室之側)的表面積及孔隙面積加以計算。在一些實施例中,離子萃取板的總開放面積係在約1%-50%之間,或在約10%-40%之間。在一實施例中,開放面積係約30%。
返回圖4A-D,離子萃取板可以多種方式設計。一般來說,較佳是具有以一範圍之角度導入的離子,使得離子能夠最佳地滲透到經蝕刻的特徵部,而移除沉積在如此特徵部側壁上材料。因為特定基板上的側壁可以在該基板上的任何特定位置處以許多不同方向加以定向,故使用多種的離子軌道達成廣泛的入射離子角度係有利的。以此方式,經蝕刻特徵部的各個側壁更可能暴露於能夠清除掉此側壁上所沉積之不需要材料的離子,藉此實現優異的移除效果。
在一些實施例中,該板包含複數個圓錐部。每個圓錐部可包含一個以上的孔隙(例如:可包含至少約2個孔隙、至少約3個孔隙、或至少約4個孔隙),此等孔隙係定向成垂直於萃取板上之圓錐部的局部表面。在一些實施例中,圓錐部的高度及/或直徑係有變化的。這些幾何變化允許離子朝向基板以不同角度導入 。可能在圓錐部之間變化的其他因素是孔隙的佈置/方向,以及每個圓錐部的孔隙數目。
圖4A顯示離子萃取板400A,當由上方觀察時,該離子萃取板具有此種類型的有孔隙之圓錐部結構。每個小圓圈 403 代表一個圓錐部。在各圓錐部中心的黑點 405 代表該圓錐部的頂點。橢圓(以4個成對顯示於圖中)代表孔隙407。由於圓錐部的有角度表面,從此觀點來看,孔隙 407具有卵形剖面。雖然圓錐部403僅顯示在萃取板的一部分上,但吾人理解如此的圓錐部可以擴展於該板的整個表面。在另一實施例中,圓錐部係截頂的,使得圓錐部的頂部為一小的平坦表面,而非一個點。為了應用之目的,將截錐結構視為是一種圓錐結構,除非另有說明。具有單一截錐部之板不被視為是波紋狀的;然而,在板表面上具有複數個截錐部的板則被視為是波紋狀的,這是因為其具有一些交替向下及向上的傾斜表面(例如:在相鄰的圓錐部間的表面)。同樣地,半球形的、橢圓形的、及蛋形的凹陷部亦可被視為是圓錐結構,除非另有說明。在相關的實施例中,萃取板可具有角錐結構,而非圓錐結構。角錐結構的每一面可具有一個以上的孔隙。
在另一實施例中,萃取板具有複數個彼此相互偏移的波紋狀區段。在一範例中,該等區段係派餅狀(pie-shaped)。在另一範例中,該等區段係矩形。圖4B顯示 具有複數個彼此相互偏移之派餅狀波紋區段的離子萃取板400B。在此實施例中,具有兩個交替類型的派餅狀區段404及406 。孔隙 407係再次以橢圓顯示。為清楚起見,孔隙 407僅顯示在八個區段之其中二者上,惟應該理解的是,孔隙407係存在於板400B上之區段404和406的每一者 。粗實線 409顯示派餅狀區段404和406之間的分隔 。細實線 411 表示波紋狀區段中存在的峰部/頂部。虛線 413 表示峰部之間的谷部。換句話說,細實線 411顯示「走出頁面」的特徵部,而虛線413顯示「進入頁面」的特徵部。
藉由使用一些具有不同孔隙圖案/角度的區段,可以達成一系列的入射離子角度,而使移除效果最大化。在圖4B的實施例中,使用的是兩個不同的區段圖案。區段圖案 406係手風琴狀,其具有一系列交替的峰部和谷部。因為孔隙 407係定向成垂直於萃取板的局部表面,故移動通過此類型區段406中之孔隙的離子會以徑向偏離於孔隙位置的方向(即: 朝向或遠離該板的中心)導入。圖4B中所示的另一區段圖案404具有大致為三角錐體的頂面形狀。如圖4B所示,角錐的峰部可以偏移朝向板的外側,而非在半徑的中心點。又,由於圓板400B的曲度,外部邊緣可稍微彎曲 。在此區段404中的孔隙 407會以非徑向方向(非直接朝向,也非直接遠離該板的中心)導入離子。在一些情況​​下,此區段404中的孔隙 407會以垂直於徑向方向的方向導入離子。也就是說,這些孔隙407可以大致方位角偏離於孔隙位置的方向導入離子。
圖4C顯示具有不同波紋狀區段的另一實施例。在此實施例中,區段 408和410大致為正方形,並在圖中以粗實線421分隔開。圖中,區段 408和410在二個彼此相互垂直的波紋圖案之間交替。細實線 411顯示波紋的峰部,而虛線413顯示波紋的谷部。孔隙407顯示為橢圓。
圖4D顯示具有波紋狀派餅狀區段427的另一實施例 。又,波紋的峰部顯示為細實線 411,而波紋的谷部顯示為虛線413,且孔隙407顯示為橢圓。
在圖4A-D所示之實施例的每一者中,可變化峰部的高度、谷部的深度、及此等峰部和谷部之間的距離,以提供一系列使離子導入到下部處理容積的角度。或者,峰部-谷部的高度及/或峰部和谷部之間的水平距離在整個板面上可以是恆定的。圖4A-D提供可用於實施所揭露實施例之波​​紋特徵部的非限制性實施例。為了應用之目的,將波紋特徵部視為是向上和向下傾斜表面之最小集合/配對。例如,(當從側邊觀察時)單一圓錐形部包含向上和向下的傾斜面,而因此被視為是一波紋特徵部。同樣地,手風琴形波紋結構的「峰部到峰部」或「谷部到谷部」亦被視為是波紋特徵部。在使用較大的角錐結構(例如:圖4B中的區段404)的情況下,整個角錐區段被視為是單一波紋特徵部。
在若干實施例中,離子萃取板係可相對於基板移動。如此的移動地可經由轉動或平移發生 。平移式移動的發生,可藉由例如以z方向(即:朝向及/或遠離基板)移動萃取板,及/或以x及/或y方向(即:以平行於基板面的方向)移動萃取板,或者這些移動的一些組合。雖然在一些實施例中基板支撐部本身可能是可移動的,但在各種不同的實例中,離子萃取板係可移動的而基板支撐部和基板係保持不動的。當與基板支撐部係可移動的若干習知設計相比時,此可移動的萃取板設計具有相對較少必須考慮的設計元件/限制。例如,在基板支撐部係可移動的情況下,應將對靜電夾盤的任何電性、流體、或其它的連接加以設計以適應此類移動。就空間和成本而言,這種調適可能是相當大規模的。
離子萃取板的移動係有利的,這是因為此類移動造成離子在基板表面上的更均勻輸送。在沒有如此移動的情況下,離子在離開萃取板中之孔隙後可能會依循特定的準直軌道,使得僅部分的基板表面實際上受到離子轟擊。藉由轉動及/或平移萃取板,離子所撞擊的基板上之面積可以在空間上被平均化或者展開。又,可將相鄰峰部/谷部中的孔隙及/或在相鄰的萃取板區段中的孔隙稍微或顯著地互相偏移,以有助實現如此離子轟擊的空間均化。以此方式,將實現更均勻的離子轟擊。在一些情況下,可以使用小的轉動角度(例如,約5-20°之間),以幫助均勻離子輸送。在沒有使用不同的角度重複萃取板區段的情況下,這樣的小轉動可係有用的。換句話說,在使用角度重複區段之情況下,轉動角度可能需要更大。在若干實施例中,此轉動涵蓋會將全範圍的波紋特徵部投影到基板上任一位置的角度路徑。 在一些實施例中,包含一平移致動器,將離子萃取板移動更靠近/更遠離基板,以同樣地使離子輸送均勻。
離子萃取板的轉動可藉由各種裝置實現。例如,在圖1的實施例中,轉動致動器係連接到支撐部,而該支撐部係連接到離子萃取板。轉動致動器能夠經由支撐部的移動來移動萃取板。在另一實施例中, 平移致動器可以用於移動離子萃取板朝向及遠離基板。在一些實施例中,萃取板係藉由另一類型之致動元件(例如皮帶、齒輪等)而加以固持在適當位置及/或移動。
在離子萃取板包含重複的波紋/孔隙區段之情況下(例如圖4B所示的實施例),該板的轉動係特別有益的。在使用重複區段的情況下,轉動的角度如所示應足以將基板的每個部分暴露於每個完整的區段類型。轉動角度係以圖 4B中彎曲的雙箭頭標記。在另一範例中 ,其中在僅使用兩個不同的區段,且每個區段佔據萃取板180°跨度的情況下,該板應轉動360°。同樣地,在該板包含16個個別區段(8個第一類型與8個第二類型交替),且每個區段佔據萃取板的22.5°跨度之情況下,該板應轉動至少約45°。
在一些實施方式中,轉動角度係最寬區段角度範圍的至少約二倍。然而,在使用大於二種之區段的情況下,轉動角度應要更大。例如,在三組之四個重複角度相等區段類型係用於共12個區段之情況下(例如:A-B-C-D/A-B-C-D/A-B-C-D的順時鐘區段順序,其中A、B、C及D表示不同區段類型),轉動角度應至少約120°。此轉動角度確保基板處理面的每一部分係暴露於來自完整A區段、完整B區段、完整C區段、 及完整D區段的離子。相對於較少量的較大區段而言,使用較大量的較小區段可係有益的。如此配置允許轉動角度更小,藉此將反應器設計簡化。在一些實施例中,處理期間的轉動角度係在約15-180°之間,或在約20-120°之間,或在約30-90°之間。
在一些情況​​下,不同區段類型的數量係在約1-36個 之間(例如:在約2-10個之間,或在約2-5個之間)。倘若波紋的峰部和谷部的設計/幾何形狀/位置在一區段與另一區段之間係不同的,或者倘若孔隙的位置在該等區段之間係不同的,則該一區段類型係不同於該另一區段類型。例如,倘若在一派餅形手風琴狀區段與另一派餅形手風琴狀區段之間的峰部和谷部係倒置/交換的,即便該等區段在其它方面係相同的,該一派餅形手風琴狀區段係不同於該另一派餅形手風琴狀區段。
在一具體的實施例中,萃取板包含四個不同的區段類型 A、B、C、和D。區段類型A相似圖4B中上部區段所示的大致三角錐區段。區段類型B相似於圖4B中右上區段所示的手風琴狀區段。區段類型C及D分別相似於類型A及B,惟以峰部取代谷部且以谷部取代峰部。該系列的不同區段類型係重複4次(A-B-C-D/A-B-C-D/A-B-C-D/A-B-C-D)(共具有16個區段, 每個區段寬度係圖4B中所示區段寬度的一半)。使用此設計,轉動角度可大約是90°,此角度足以使基板暴露於區段類型A-D的單一全範圍。藉由反轉區段間之離子萃取板波紋中的峰部和谷部,及在蝕刻期間轉動該萃取板,可以在基板的局部區域上實現更廣範圍的入射離子角度。
另一確保離子朝向基板適當導入的重要因素係離子萃取板上所使用的波紋尺度。圖6A顯示具有孔隙602之部分的離子萃取板601A的剖面圖(自側邊觀察)。圖6A-B中的實線箭頭表示離子軌道(圖6B中的虛線箭頭則於以下討論)。波紋尺度的長度係定義為在波紋中相鄰峰部之間的距離,並在圖6A中標記為尺寸LA 。如圖6A中所示,該尺寸應足夠大,使得電漿鞘610A能夠依循萃取板601A的表面形狀。
相反地,圖6B顯示具有過小波紋長度尺寸LB 之部分的離子萃取板601B的剖面圖。換言之,在圖6B 中的波紋峰部係過於靠近彼此。圖中,電漿鞘610B不能夠依循萃取板的表面。因此,電漿鞘無法將離子引導通過孔隙602 。反之,離子則更有可能與萃取板的一些部分碰撞。如以上所述,離子一般係以垂直於電漿鞘邊界的方向導入。在電漿鞘邊界非實質平行於萃取柵局部表面(且因此非實質垂直於通過萃取板之孔隙的方向)的情況下,離子可能不會通過進入下部處理腔室,而不需要材料的移除很可能弱化。
在一些實施例中,離子萃取板區段中之相鄰波紋峰部之間的距離係至少約2mm,或至少約5mm。又,圖6A中所標記的角度可在約1°-60°之間,例如在約5°-45°之間。以下將進一步討論其他允許的角度。
在若干實施方式中,離子萃取板在該板的整個區域上係波紋狀的。換句話說,該萃取板可能實質上沒有包含平行於基板的表面。在其他實施方式中,萃取板可包含波紋狀和非波紋狀的部分。在這些實施例中,萃取板的波紋狀部分當投影於基板形狀的平面時可佔據該板表面積的至少約50%,或至少約90%(即:計算波紋狀面積的百分比,基板總面積(At )視為是At = π*r2 ,其中r係萃取板的半徑,且波紋狀面積視為是總面積減去平坦非波紋狀的面積)。
離子導入至下部處理腔室中所用的角度係取決於波紋角度,在圖6A和6B中標記為角度 α。在一具體的實施例中,α會在不同波紋部分之間變化,以在基板上實現不同的離子入射角度。如此造成一系列的入射離子角度,使得足夠數量的離子更有可能能夠滲透到經蝕刻的特徵部,而移除如此特徵部側壁上的材料。入射離子的角度係定義為在離子的入射軌道和垂直整個基板表面的方向之間的角度。在離開萃取板的離子係相當準直的情況下,波紋角度係相等於入射角度。在離開萃取板的離子係較不準直及更為噴霧狀的情況下,所達成的入射離子角度範圍係集中在波紋角度下上。為簡單起見,入射離子角度是相對於整個基板表面來定義(除非是明確說明),即使吾人知道一定量的離子會滲透到基板上的溝槽或其他的特徵部,並撞擊與整個基板表面方向相當不同的表面。
應結合波紋尺度和孔隙位置來選擇波紋角度,使得通過萃取板的離子最後不會與萃取板的底面碰撞。例如,圖6B中,由於波紋尺度LB 過小,故(若有)能夠通過萃取板中之孔隙的少數離子將可能會與該板的底面碰撞,如虛線箭頭所示。吾人應加以避免此種結果。在若干實施例中,波紋的角度係在約0-75°之間,或在約1-75°之間,或在約0-60°之間,或在約1-60°之間,或在約30-55°之間。在這些或其它的實施方式中,波紋角度可以是約60°以下,例如約45°以下,或約15°以下。在一具體的實施例中,使用的是約45°的波紋角度。如本文其它地方所提及,在若干實施例中,一系列的不同波紋角度係用於單一離子萃取板。在這種情況下,最小和最大波紋角度之間的差可至少約 10°,例如至少約20°,至少約30°,至少約50°,或在某些情況​​下甚至更高。
離子萃取板一般係由導電材料製成。合適板材的範例包含但不限於Al、W、Co、Mo、低電阻矽、及低電阻SiC。如以上所述,板應由夠堅硬的材料構成。
萃取板大致上幾乎跨越腔室的整個水平剖面,如圖1所示。如以上所述,在一些設計中,萃取板和腔室壁之間具有一間隙,以避免電弧作用。該間隙應約3cm以上。在該腔室係圓形的(自上方或面相該工件觀察)情況下,該板亦是圓形的。如此允許柵有效地將反應腔室分隔成兩個子腔室。在若干設計中,萃取板的形狀是由基板的幾何形狀(基板一般但非一定是圓形的晶圓)所界定。如眾所周知,晶圓往往以各種尺寸提供,如200mm、300mm、450mm等等。對於正方形或其他多邊形基板或較小/較大基板而言,其他的形狀亦係可能的。因此,柵的剖面(自上方觀察)可以具有各種形狀和尺寸。
在一些實施方式中,萃取板具有一區域(例如:中央區域),其含有用於允許探測裝置設置通過該柵的特徵部。可設置探測裝置,以在操作期間探測與電漿處理系統相關的製程參數。探測程序可以包含:光發射終點探測、干涉終點探測、電漿密度測量、離子密度測量、及其他度量探測操作。在若干實施例中,該板的中央區域係開放的。在其它實施例中,該板的中央區域包含光學透明材料(例如:石英、藍寶石等),以允許光傳送通過該板。
在一些實施例中,萃取板可包含嵌入在該板中的冷卻通道,且該等冷卻通道可以充滿著流動的或不流動的冷卻劑材料。在若干實施例中,冷卻材料係:一流體,例如氦或其他惰性氣體,或例如去離子(DI)水、製程冷卻水、來自3M的fluoroinertTM之液體;或一製冷劑,例如全氟碳化物、氫氟碳化物、氨、及CO2 。在這些或其它實施例中,萃取板可包含嵌入式的加熱元件及/或溫度測量元件。冷卻通道和嵌入式加熱器允許精確的溫度控制,其允許嚴格控制微粒與壁的狀態。這種控制在某些情況下可以用於調整下部處理腔室中的狀態。例如,在下部柵或柵組件係維持在較冷溫度的情況下,來自晶圓的蝕刻副產物會優先沉積在下部柵上,藉此在降低下部子腔室中之氣相蝕刻副產物密度。或者,可將下部柵或柵組件維持在升高的溫度下(如:高於80℃),以降低柵上的沉積,並確保該腔室可以保持相對清潔及/或降低在無晶圓自動清潔(WAC)期間清洗腔室所需要的時間。
偏壓離子萃取板和基板支撐部
離子萃取板包含與電力供應器的電連接。這些元件可用於在處理期間施加偏壓於萃取板上。在各種實施方式中,離子萃取板係經由可撓式RF帶與基板支撐部電連接。由於該等RF帶的存在,使基板支撐部(而因此使基板)可以被偏壓到與離子萃取板相同的RF電位。藉由將這些元件偏壓到相同的電位,在下部處理腔室中形成電漿的可能性會降低。
將萃取板加以偏壓的一個原因是為了提供離開該板的離子特定的能量位準。以此方式,可控制偏壓,以提供特定的離子能量,以供離子撞擊基板。
偏壓一般係具有負DC偏移的RF偏壓。此負偏壓有助於加速正離子驅向並通過萃取板。在若干實施方式中,低頻偏壓(例如:約15MHz以下,或約4 MHz以下)係施加在基板和萃取板上。此相對低的偏壓頻率可有利於確保RF帶中的電感係為小(例如:約50V以下)。在這些或其它實施例中,施加至萃取板的偏壓可具有約50-750 V的負DC基底。在一實施例中,使用的是400kHz的偏壓頻率。在一實施例中,使用多個步驟,其中在各步驟將不同的偏壓電壓施加於萃取板。 或者,所施加的偏壓電壓可在設定點與關閉狀態之間或是在2個非零的設定點之間脈衝。此種技術在大幅基板充電發生的情況下可以是特別有益的。在一些應用中,將偏壓電壓關閉,使得僅有低能量的離子(例如:<50V)入射到基板上。下部處理腔室中的條件
在諸多實施例中,下部處理腔室在處理期間係實質不具有電漿,使得離開萃取器孔洞的離子軌道會維持在萃取板和基板之間的空間。電漿在此空間中的出現將導致離子主要以垂直角度撞擊基板。
下部處理腔室中的壓力在一些實施例中可相對低(例如:低於約20 mTorr,或低於約5 mTorr)。通常,下部處理腔室中的壓力會小於上部電漿產生腔室中的壓力,至少部分是因為通過萃取板的質量傳導限制。實現低壓的一種方式是藉由對與反應腔室連接的真空泵使用高的泵送速度。如此的低壓有助於確保可在沒有在基板上方許多離子-氣體碰撞的情況下,使藉由萃取板從電漿萃取的離子撞擊基板。在到達基板之前就與氣體分子碰撞的離子對於處理及移除材料而言可能較無用處。
可使用靜電夾持部和背側He 氣體控制基板溫度,以允許在ESC和基板之間的有效率熱傳導。當使用離子和反應性化學品之組合來從特徵部的側壁移除材料時,將溫度設定成高於約80℃可能是有益的的。當僅使用離子時,將基板溫度控制在約20-60°C 之間可係有用的。設備
可以藉由具有波紋狀離子萃取板的任何合適的電漿蝕刻設備進行本文所述的方法。合適的設備包含:腔室及電子硬體,用以提供及維持本文所描述的蝕刻條件。合適的設備亦可以包含系統控制器,其具有用於控制根據本發明製程操作的指令。在一些實施例中,電漿蝕刻設備可以被包含成為在具有各種其他處理站之較大製程工具中的一處理站。
圖7提供根據若干實施例之感應耦合電漿蝕刻設備700中的剖面圖。如以上所述,亦可以非感應耦合電漿實施本文的實施例。感應耦合電漿蝕刻設備700包含:綜合蝕刻腔室,其藉由腔室壁701及窗711結構性地界定。腔室壁701一般係由不銹鋼、或鋁、或陽極化鋁製成。可以Y2 O3 、YF、CeO2 、或其它的抗電漿塗層塗覆該腔室壁。窗711一般係由石英或其他例如Al2 O3 、AlN的介電材料製成。
離子萃取板750將該綜合蝕刻腔室分隔成一上部電漿產生腔室702及一下部處理腔室703。基板支撐部717​​係經由連接部754、匹配電路755、及連接部756電連接至電力供應器757。萃取板亦經由可撓式RF帶777與基板支撐部717 電連接。電力供應器757對萃取板750 及基板支撐部717供給適當的偏壓。在處理期間,上部電漿產生腔室702中存在有電漿,同時實質上沒有電漿存在於下部處理腔室703中。緊鄰萃取板的周圍可使用密封機構(圖未示出),以有助於避免電漿滲漏進下部處理腔室703中。
可藉由轉動或平移來移動萃取板750。在圖7的實施例中,並未示出致動元件。在一些情況下,例如圖1中所示的實施例,致動元件係藉由支撐部111與離子萃取板107連接的轉動致動器115。將致動元件設置在離子萃取柵下方可能是有益的,這使得它們可以受到保護,而免受可能會使它們更迅速劣化的電漿環境之影響。在一些其他情況​​下,致動元件係設置在與萃取板實質上相同的平面,或甚至係設置在萃取板上方。其他潛在致動元件包含:皮帶、齒輪、提升機構等。可以使用任何致動元件,此類元件促使板以會增加離子與基板局部表面接觸之面積/角度空間的方式移動。
夾盤717係設置在下部處理腔室703中接近底部內表面。夾盤717係用於接收並固持在其上進行蝕刻製程的半導體晶圓719。 夾盤717可以是靜電夾盤,用以在晶圓存在時支撐晶圓。 在一些實施例中,邊緣環(圖未示出)圍繞著夾盤 717,且具有一上表面,當晶圓存在於夾盤717上時,該上表面大致與晶圓頂面共平面。夾盤717亦包含靜電電極,以能夠進行晶片的夾緊和去夾緊。為此目的,可設置濾波器和DC嵌位電力供應器。 亦可以設置用於將晶圓從夾盤717頂起的其他控制系統。
線圈733係設置於窗711上方。 線圈 733是由導電材料製成,並且包含至少完整的一匝。圖7中所示的示例性線圈733包含三匝。具有「X」之線圈733符號的剖面表示線圈733往頁面裡旋繞延伸。相反地,具有「●」之線圈733符號表示線圈733往頁面外旋繞延伸。RF電力供應器741係用於供應RF電力至線圈733。一般來說,RF電力供應器 741係經由連接部745連接到匹配電路739。匹配電路739係經由連接部743連接到線圈733。以此方式,RF電力供應器741係連接到線圈733。將一選用性的法拉第屏蔽749設置在窗711的正下方。法拉第屏蔽件可以防止金屬或其他物種沉積在電漿腔室的介電窗上。法拉第屏蔽係進一步討論和描述在於西元2011年8月4日申請之美國專利申請案第13/198,683號,該專利名稱為「INTERNAL FARADAY SHIELD HAVING DISTRIBUTED CHEVRON PATTERNS AND CORRELATED POSITIONING RELATIVE TO EXTERNAL INNER AND OUTER TCP COIL」,且藉由參照此專利案內容整體納入本案揭示內容。
可以經由設置於上部電漿產生腔室中的主要注入口760供給,及選用性地經由側邊注入口770(有時稱為STG),將製程氣體加以供給。在不同的實施例中, 蝕刻劑氣體係經由側邊注入口770供給,而用於產生電漿的氣體係經由主要注入口760 供給。並無顯示氣體排出口。亦無顯示連接至腔室 701的泵,其用以在操作電漿處理期間允許真空控制及自該腔室移除氣態副產物。
射頻功率係從RF電力供應器741施加到線圈733,而使RF電流流過該線圈733。流過線圈 733 的RF電流會在線圈733周圍產生電磁場。電磁場會在上部電漿產生腔室702 中產生感應電流。感應電流作用在電漿產生腔室702中存在的氣體,而在該腔室中產生電漿。離子萃取板750會限制能夠遷移到下部處理腔室703中的電漿物種量,且具體來說,離子萃取板可以一成角度定向將離子提供到下部處理腔室703。
各種離子及其它物種與晶圓719的物理和化學交互作用會選擇性地蝕刻晶圓的特徵部。蝕刻副產物可以經由排出口(圖未示出)自下部處理腔室703移除。離子萃取板750的一個進一步益處是:其有助於將蝕刻副產物遮蔽而免受上部電漿產生腔室702的電漿環境影響,使得若干蝕刻副產物(特別是存在的金屬-配位子錯合物)較不可能解離成非揮發性的解離產物,不然其可能會再沉積在基板719或設備700上。
一般來說,本文中所揭露之夾盤係在約20℃及約250℃之間,較佳是在約20-150 ℃範圍的上升溫度下操作。該溫度係取決於蝕刻製程操作及正使用的特定配方。
雖然圖未顯示,但腔室701一般係耦接於裝配在無塵室中的設施、或生產設施。這些設施包含提供處理氣體、真空、溫度控制、及環境微粒控制的管路系統。當裝配在目標生產設施中時,這些設施係耦接於腔室701。此外,腔室701可耦接於傳送腔室,其使機械人得以利用典型自動化將半導體晶圓傳入且傳出腔室701。
在一實施例中,離子萃取板750係可拆卸的,且腔室701係用於(當波紋狀萃取板750被移除時)進行垂直方向的蝕刻製程,及(當波紋萃取板750存在時)進行所揭露之有角度的蝕刻製程。在一些情況​​下,可在垂直方向的蝕刻製程期間,使用具有複數個孔隙的非波紋狀離子萃取板。此非波紋狀板有助於提供離子以大致垂直的方向非等向性刻蝕特徵部。除了與波紋特徵部相關之特定教示外,與波紋狀離子萃取板設計相關之本文教示亦適用於非波紋狀離子萃取板。
系統控制器
在一些實施例中,一系統控制器(其可包含一個以上的物理或邏輯控制器)控制製程工具一些或全部的操作。該系統控制器通常包含一個以上的記憶體元件及一個以上的處理器。該處理器可以包含一中央處理單元(CPU)或計算機、類比及/或數位輸入/輸出連接端、步進式馬達控制板、及其他類似部件。用以執行合適控制操作的指令係由處理器執行。這些指令可儲存於和控制器有關之記憶體元件或可由網路提供。在若干實施例中,系統控制器係執行系統控制軟體。
系統控制軟體可以包含指令,用於控制時序、製程氣體成分的混合物(例如,在可應用時的電漿產生氣體成分和蝕刻劑氣體成分)、腔室壓力、腔室溫度、晶圓溫度、施加至夾盤/晶圓/離子萃取板及任何其他的電極的電流和電位、晶圓位置、萃取柵的位置、 及藉由製程工具執行的一特定製程的其他參數。系統控制軟體可以任何適當的方式加以設置。例如,可對各種製程工具元件子程序(subroutines)或控制物件進行編寫,以控制執行各種製程工具處理所需之製程工具元件的操作。系統控制軟體可以任何適當的電腦可讀程式化語言加以編碼。
在一些實施例中,系統控制軟體包含用以控制上述各種參數之輸入/輸出控制(IOC)定序指令。例如,蝕刻製程的每一個階段可包含由系統控制器所執行之一個以上的指令。用以設定電漿產生製程階段之製程條件的指令可被包含在對應的電漿產生配方階段中。在一些實施例中,可依序安排蝕刻配方階段,使得蝕刻製程階段的所有指令與此製程階段同時執行。
在一些實施例中,可應用其它電腦軟體及/或程式。用於此目的之程式或程式片段的範例包含:基板定位程式、電漿氣體組成控制程式、蝕刻氣體組成控制程式、氣體入口時序控制程式、離子萃取板偏壓控制程式、離子萃取板位置控制程式、靜電夾盤偏壓控制程式、壓力控制程式、加熱器控制程式、及電位/電流電力供應器控制程式。本文所提及之任何的軟體/程式可以包含用於在蝕刻期間調整相關參數的指令。在一範例中, 離子萃取板偏壓控制程式可以包含在蝕刻期間施加及選用性地調整偏壓(對萃取板和靜電夾盤所施加的偏壓)的指令。因此,移動到下部處理腔室之離子的離子能量可以蝕刻製程期間被調整。
在一些情況​​下,該控制器係控制以下功能之一者以上:電漿產生氣體至上部電漿產生腔室的輸送、蝕刻劑氣體至下部處理腔室的輸送、電漿產生腔室中之電漿產生條件、施加到離子萃取板的偏壓等。例如,氣體至子腔室的輸送可以藉由使特定的閥於特定時間開啟及關閉來實現。如此允許控制器控制氣體輸送的時序及所輸送氣體的組成。控制器可藉由例如使電力供應器以特定頻率/功率位準對電漿產生器(例如:ICP反應器的線圈)提供功率來控制電漿的產生條件。又,控制器可以藉由將惰性氣體流(及/或在一些實施例中為反應性氣體)導進電漿產生腔室中,或藉由控制子腔室中的壓力,或藉由控制子腔室中的溫度等等來控制電漿產生條件。控制器可以根據(例如當電流、電流密度、電位,壓力等達到一定的閾值時)感應器輸出、操作時序(例如,在製程中的特定時間點開啟閥),或根據自使用者接收的指令控制這些實施態樣。
描述於上文中的各種硬體及方法實施例可結合如用於半導體裝置、顯示器、LED、太陽能板等製造或生產的微影圖案化工具或製程來使用。通常,雖然非必須,這樣的工具/製程將一起使用或實施在一共同的製造設施中。
薄膜的微影圖案化通常包含部份或全部的以下步驟(各個步驟係使用一些可能的工具來執行):(1)使用旋塗或噴塗工具來將光阻塗佈在工件上(例如:具有氮化矽膜形成於其上的基板);(2)使用加熱板、爐、或其他合適的硬化工具來硬化光阻;(3)使用像是晶圓步進機的工具來將光阻曝露於可見光、或UV光或X射線光;(4)使用像是濕台(wet bench)或噴塗顯影器的工具來顯影光阻,以便選擇性地移除光阻且藉此將光阻圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具來將光阻圖案轉移至下層的薄膜或工件中;及(6)使用像是RF或微波電漿光阻去除器這類的工具來移除光阻。在一些實施例中,可在塗佈光阻之前沉積可灰化之硬遮罩(例如:非晶形碳層)及另一合適的硬遮罩(例如:抗反射層)。
應瞭解,本文中所述的設備配置及/或方法皆具有例示性的本質,此些特定的實施例或實例不應被視為是限制性的,因為其可有各種變化。本文中所述的特定子程式或方法可代表任何數目之處理策略其中一者。是以,所示的各種動作可以所示的順序、其他順序、平行方式進行或在某些情況下加以省略。類似地,可改變上述處理的順序。
本發明之專利申請標的包含在此所揭露之各種製程、系統與構造、以及其他特徵、功能、動作、及/或特性之所有新穎以及非顯而易見的組合與次組合,以及其任何與所有等效設計。
100‧‧‧處理設備
101‧‧‧基板
103‧‧‧反應腔室(腔室)
105‧‧‧基板支撐部
107‧‧‧離子萃取板(板)
109‧‧‧孔隙
111‧‧‧支撐部
113‧‧‧RF帶
115‧‧‧轉動致動器
117‧‧‧氣體入口
121‧‧‧線圈
123‧‧‧上部電漿產生腔室(腔室)
125‧‧‧下部處理腔室(腔室)
127‧‧‧峰部
129‧‧‧谷部
131‧‧‧介電窗
132‧‧‧圓柱形側壁(側壁)
133‧‧‧表面
202‧‧‧第一層
204‧‧‧第二層
206‧‧‧第三層
208‧‧‧圖案化遮罩層
301‧‧‧方塊圖
303‧‧‧方塊圖
305‧‧‧方塊圖
307‧‧‧方塊圖
309‧‧‧方塊圖
300A‧‧‧製程
300B‧‧‧製程
300C‧‧‧製程
302‧‧‧方塊圖
304‧‧‧方塊圖
306‧‧‧方塊圖
308‧‧‧方塊圖
310‧‧‧方塊圖
312‧‧‧方塊圖
351‧‧‧方塊圖
353‧‧‧方塊圖
355‧‧‧方塊圖
357‧‧‧方塊圖
359‧‧‧方塊圖
370‧‧‧Ta (層)
371‧‧‧Al (層)
372‧‧‧Ta (層)
373‧‧‧PtMn (層)
374‧‧‧CoFe (層)
375‧‧‧Al2O3(層)
376‧‧‧CoFe (層)
377‧‧‧NiFe (層)
378‧‧‧Ta (層)
379‧‧‧光阻層(層)
380‧‧‧沉積材料
400A‧‧‧離子萃取板
400B‧‧‧離子萃取板(板)
403‧‧‧圓圈(圓錐部)
404‧‧‧區段(區段圖案)
405‧‧‧黑點
406‧‧‧區段(區段圖案)
407‧‧‧孔隙
408‧‧‧區段
409‧‧‧粗實線
410‧‧‧區段
411‧‧‧細實線
413‧‧‧虛線
421‧‧‧粗實線
427‧‧‧區段
501‧‧‧離子萃取板
502A‧‧‧孔隙
502B‧‧‧孔隙
502C‧‧‧孔隙
502D‧‧‧孔隙
505‧‧‧上部處理腔室(電漿產生腔室)
506‧‧‧下部處理腔室(處理腔室)
510A‧‧‧電漿鞘
510B‧‧‧電漿鞘
510C‧‧‧電漿鞘
510D‧‧‧電漿鞘
601A‧‧‧離子萃取板(萃取板)
601B‧‧‧離子萃取板
602‧‧‧孔隙
610A‧‧‧電漿鞘
610B‧‧‧電漿鞘
700‧‧‧感應耦合電漿蝕刻設備(設備)
701‧‧‧腔室壁(腔室)
702‧‧‧上部電漿產生腔室(電漿產生腔室)
703‧‧‧下部處理腔室
711‧‧‧窗
717‧‧‧基板支撐部(夾盤)
719‧‧‧半導體晶圓(晶圓)(基板)
733‧‧‧線圈
739‧‧‧匹配電路
741‧‧‧RF電力供應器
743‧‧‧連接部
745‧‧‧連接部
749‧‧‧法拉第屏蔽
750‧‧‧離子萃取板(萃取板)
754‧‧‧連接部
755‧‧‧匹配電路
756‧‧‧連接部
757‧‧‧電力供應器
760‧‧‧主要注入口
770‧‧‧側邊注入口
777‧‧‧可撓式RF帶
圖1顯示根據所揭露實施例之有角度的電漿蝕刻反應器之剖面圖。
圖2A-2D顯示在不同處理階段期間之部分製造的積體電路的堆疊結構。
圖3A係說明實施本文實施例之方法的流程圖。
圖3B係說明可使用所揭露技術之更廣泛的半導體製造方法的流程圖。
圖3C係說明可使用所揭露技術之另一廣泛半導體製造方法的流程圖。
圖3D顯示圖3C中所揭露之不同製造階段的部分製造半導體元件。
圖4A-D顯示根據本文若干實施例之離子萃取柵之不同的實施例。
圖5A-D顯示離子萃取柵的波紋特徵部,特別是強調孔隙尺寸/形狀對離子軌道的影響。
圖6A-B顯示離子萃取柵的波紋特徵部,特別是強調波紋尺度長度對離子軌道的影響。
圖7係顯示根據若干所揭露實施例之有角度的電漿蝕刻反應器。
100‧‧‧處理設備
101‧‧‧基板
103‧‧‧反應腔室(腔室)
105‧‧‧基板支撐部
107‧‧‧離子萃取板(板)
109‧‧‧孔隙
111‧‧‧支撐部
113‧‧‧RF帶
115‧‧‧轉動致動器
117‧‧‧氣體入口
121‧‧‧線圈
123‧‧‧上部電漿產生腔室(腔室)
125‧‧‧下部處理腔室(腔室)
127‧‧‧峰部
129‧‧‧谷部
131‧‧‧介電窗
132‧‧‧圓柱形側壁(側壁)
133‧‧‧環形表面(平坦表面)

Claims (32)

  1. 一種材料移除的方法,用以自半導體元件結構中的特徵部側壁移除材料,該方法包含:     (a)在一反應腔室中接收基板,其中該反應腔室藉由一離子萃取板分隔成一電漿產生子腔室及一處理子腔室,其中該離子萃取板至少部分為波紋狀的且具有孔隙,該等孔隙係設計成或配置成引導穿過其中的離子相對於基板以複數個角度通過;     (b)將一電漿產生氣體流入該電漿產生子腔室,而在該電漿產生子腔室中產生電漿;及     (c)將離子自該電漿產生子腔室加速通過該離子萃取板,而以複數個角度朝向基板進入處理容積中,藉此自面向複數個方向的特徵部側壁移除材料。
  2. 如申請專利範圍第1項之材料移除的方法,其中: 該半導體元件結構的特徵部包含位在兩個經蝕刻導電層之間的經蝕刻絕緣層。
  3. 如申請專利範圍第1項之材料移除的方法,其中: 該等孔隙的至少一些具有一中心軸,該中心軸以相對於基板的非垂直角度定向。
  4. 如申請專利範圍第1項之材料移除的方法,其中: 在處理期間,於該處理子腔室中實質上不存在電漿。
  5. 如申請專利範圍第1項之材料移除的方法,更包含: 在處理期間轉動該離子萃取板,但在單一方向上小於或等於360°。
  6. 如申請專利範圍第5項之材料移除的方法,其中: 將該離子萃取板轉動到一程度,使基板的局部部分暴露於來自複數個不同區段類型之每一者的離子。
  7. 如申請專利範圍第1項之材料移除的方法,其中: 基板固持器在處理期間係固定的。
  8. 如申請專利範圍第1項之材料移除的方法,更包含: 將該離子萃取板沿著延伸通過離子萃取板中心及基板中心的軸移動。
  9. 如申請專利範圍第1項之材料移除的方法,更包含: 以平行於基板的方向移動該離子萃取板。
  10. 如申請專利範圍第1項之材料移除的方法,更包含: 在(a)之前,蝕刻基板中的特徵部。
  11. 如申請專利範圍第10項之材料移除的方法,更包含: 在(a)之前,將基板自進行該蝕刻步驟的設備移動到該反應腔室。
  12. 如申請專利範圍第1項之材料移除的方法,更包含: 對該離子萃取板施加偏壓。
  13. 一種移除材料的設備,用以自半導體基板表面上的特徵部側壁移除材料,該設備包含:      (a)一反應腔室;      (b)一離子萃取板,其設置在該反應腔室中,藉此將該反應腔室分隔成一電漿產生子腔室及一處理子腔室,其中該離子萃取板至少部分係波紋狀,且其中該離子萃取板具有孔隙,該等孔隙係設計成或配置成允許離子通過該等孔隙;      (c)一個以上通往該電漿產生子腔室的氣體入口;      (d)一個以上通往該反應腔室的氣體出口;      ( e)一電漿產生源,其設計成或配置成在該電漿產生子腔室中產生電漿;及      (f)一基板支撐部。
  14. 如申請專利範圍第13項之移除材料的設備,更包含: 一控制器,其設計成或配置成:在該電漿產生子腔室中產生電漿;對該離子萃取板施加偏壓;及以單一方向測得之小於等於約360°的角度轉動該離子萃取板。
  15. 如申請專利範圍第14項之移除材料的設備,其中: 該控制器進一步設計成或配置成以順時鐘方向及逆時鐘方向轉動該離子萃取板,且同時自該基板的該側壁移除材料。
  16. 如申請專利範圍第13項之移除材料的設備,更包含: RF帶,其連接該離子萃取板及該基板支撐部,其中該等RF帶係設計成或配置成在該基板支撐部上提供一偏壓,該偏壓對應施加至該離子萃取板的偏壓。
  17. 如申請專利範圍第13項之移除材料的設備,其中: 延伸通過孔隙中心之軸係定向成垂直於該等孔隙係設置於其中的該離子萃取板之局部表面,藉此以大致垂直於該離子萃取板之局部表面的方向引導離子通過。
  18. 如申請專利範圍第13項之移除材料的設備,其中: 該等孔隙的至少一些係圓錐形的,使得當考量到一單一孔隙時,離子萃取板面向該處理子腔室之側上的孔隙開放面積係大於離子萃取板面向該電漿產生子腔室之側上的孔隙開放面積。
  19. 如申請專利範圍第13項之移除材料的設備,其中: 該離子萃取板的波紋狀部分包含複數個圓錐形特徵部,其中該等孔隙係設置成延伸通過相對於該基板支撐部傾斜的該等圓錐形特徵部的表面。
  20. 如申請專利範圍第13項之移除材料的設備,其中: 該離子萃取板包含複數個波紋狀區段,其中波紋方向在相鄰的區段之間係不同的。
  21. 如申請專利範圍第20項之移除材料的設備,其中: 使用至少兩個不同的波紋狀區段類型。
  22. 如申請專利範圍第21項之移除材料的設備,其中: 一第一區段類型係設計成或配置成以與垂直基板處理面之方向徑向偏離的方向導入離子;及一第二區段類型係設計成或配置成以與垂直基板處理面之方向方位角偏離的方向導入離子。
  23. 如申請專利範圍第13項之移除材料的設備,其中: 波紋角度係在約1-75°之間。
  24. 如申請專利範圍第23項之移除材料的設備,其中: 使用至少二個波紋角度。
  25. 如申請專利範圍第13項之移除材料的設備,其中: 離子穿過該等孔隙的方向係圍繞延伸通過孔隙中心之軸呈圓錐形分佈。
  26. 如申請專利範圍第13項之移除材料的設備,其中: 介於離子萃取板的平均位置與在該基板支撐部上之基板的電鍍面之間的距離小於約10cm。
  27. 如申請專利範圍第13項之移除材料的設備,其中: 該離子萃取板係可以繞著延伸通過離子萃取板中心的軸轉動。
  28. 如申請專利範圍第13項之移除材料的設備,更包含: 一平移致動器,其用以在基板處理期間移動該離子萃取板朝向和遠離該基板支撐部。
  29. 如申請專利範圍第13項之移除材料的設備,其中: 該離子萃取板之相鄰波紋峰部之間的距離係至少約2mm。
  30. 如申請專利範圍第13項之移除材料的設備,其中: 該離子萃取板之相鄰波紋峰部之間的距離係在約 5-20mm之間。
  31. 如申請專利範圍第13項之移除材料的設備,其中: 孔隙位置圖案在相鄰波紋特徵部之間變化。
  32. 如申請專利範圍第13項之移除材料的設備,其中: 該反應腔室係配置成在波紋狀離子萃取板不存在時以垂直方向蝕刻基板,且在波紋狀離子萃取板存在時以複數個成角度方向蝕刻基板。
TW103123364A 2013-07-08 2014-07-07 離子束蝕刻系統 TWI638403B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/936,930 2013-07-08
US13/936,930 US9017526B2 (en) 2013-07-08 2013-07-08 Ion beam etching system

Publications (2)

Publication Number Publication Date
TW201517162A true TW201517162A (zh) 2015-05-01
TWI638403B TWI638403B (zh) 2018-10-11

Family

ID=52133090

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103123364A TWI638403B (zh) 2013-07-08 2014-07-07 離子束蝕刻系統

Country Status (6)

Country Link
US (3) US9017526B2 (zh)
JP (1) JP6469374B2 (zh)
KR (1) KR20150006390A (zh)
CN (2) CN107293468B (zh)
SG (1) SG10201403639PA (zh)
TW (1) TWI638403B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI630605B (zh) * 2015-10-02 2018-07-21 佳能安內華股份有限公司 Ion beam etching method and ion beam etching device
TWI669028B (zh) * 2015-05-22 2019-08-11 日商日立全球先端科技股份有限公司 電漿處理裝置及使用彼之電漿處理方法
TWI692010B (zh) * 2015-08-31 2020-04-21 美商瓦里安半導體設備公司 氣體噴射系統及對基板施加殘留物移除氣體的方法
TWI725071B (zh) * 2015-12-08 2021-04-21 美商瓦里安半導體設備公司 使用傾角離子束填孔穴的裝置與技術
TWI729629B (zh) * 2018-12-17 2021-06-01 美商應用材料股份有限公司 蝕刻裝置
TWI750521B (zh) * 2019-10-23 2021-12-21 聚昌科技股份有限公司 磁力線遮蔽控制反應腔室磁場之蝕刻機結構
TWI769351B (zh) * 2017-12-28 2022-07-01 美商美光科技公司 用於清潔形成半導體裝置之工具之組件及系統以及相關方法
TWI820468B (zh) * 2020-09-30 2023-11-01 台灣積體電路製造股份有限公司 半導體的製造方法及半導體製程系統
TWI821479B (zh) * 2018-12-17 2023-11-11 美商應用材料股份有限公司 反應性斜角離子束蝕刻系統以及處理基板的方法

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP2014212310A (ja) * 2013-04-02 2014-11-13 東京エレクトロン株式会社 半導体デバイスの製造方法及び製造装置
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9522821B2 (en) * 2013-04-18 2016-12-20 Bo Cui Method of fabricating nano-scale structures and nano-scale structures fabricated using the method
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9460961B2 (en) 2014-08-05 2016-10-04 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for anisotropic metal etching
US9396965B2 (en) * 2014-08-05 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for anisotropic metal etching
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9570509B2 (en) * 2015-01-29 2017-02-14 Qualcomm Incorporated Magnetic tunnel junction (MTJ) device array
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9478399B2 (en) * 2015-03-27 2016-10-25 Varian Semiconductor Equipment Associates, Inc. Multi-aperture extraction system for angled ion beam
US20160365227A1 (en) 2015-06-09 2016-12-15 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus
CN104878392B (zh) * 2015-06-24 2017-05-31 安徽纯源镀膜科技有限公司 离子束清洗刻蚀设备
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
CN107924993B (zh) * 2015-09-18 2022-03-18 英特尔公司 自旋转移矩存储器(sttm)、使用易失性化合物形成元素来形成其的方法以及包括其的设备
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9812349B2 (en) 2015-12-01 2017-11-07 Lam Research Corporation Control of the incidence angle of an ion beam on a substrate
US9589850B1 (en) * 2015-12-10 2017-03-07 Globalfoundries Inc. Method for controlled recessing of materials in cavities in IC devices
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US9779955B2 (en) * 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
GB201608926D0 (en) * 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
KR102390323B1 (ko) * 2016-08-26 2022-04-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
KR20180083027A (ko) 2017-01-11 2018-07-20 삼성전자주식회사 반도체 소자의 패턴 형성 방법
CN207396531U (zh) 2017-01-31 2018-05-22 杭州探真纳米科技有限公司 一种悬臂末端纳米探针
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
TWI729285B (zh) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 金屬薄膜的選擇性沈積
CN109216540A (zh) * 2017-06-30 2019-01-15 中电海康集团有限公司 Mtj器件与其制作方法
US10193066B2 (en) * 2017-06-30 2019-01-29 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for anisotropic substrate etching
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10354887B2 (en) * 2017-09-27 2019-07-16 Lam Research Corporation Atomic layer etching of metal oxide
KR102273971B1 (ko) 2017-10-20 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
JP7012347B2 (ja) * 2017-11-01 2022-02-14 国立研究開発法人産業技術総合研究所 二次元層状材料の積層体
US10276340B1 (en) * 2017-12-20 2019-04-30 Varian Semiconductor Equipment Associates, Inc. Low particle capacitively coupled components for workpiece processing
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102273084B1 (ko) 2018-06-29 2021-07-06 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US10535522B1 (en) 2018-08-21 2020-01-14 Varian Semiconductor Equipment Associates, Inc. Angular control of ion beam for vertical surface treatment
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
CN109786194B (zh) * 2018-12-20 2020-10-30 丰豹智能科技(上海)有限公司 一种改变离子束方向的装置
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
JP2022544221A (ja) 2019-08-16 2022-10-17 ラム リサーチ コーポレーション ウエハ内の様々な反りを補償するために空間を調整する堆積
US11158786B2 (en) 2019-09-25 2021-10-26 International Business Machines Corporation MRAM device formation with controlled ion beam etch of MTJ
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11043394B1 (en) 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
CN111463107B (zh) * 2020-04-07 2023-04-28 北京晶亦精微科技股份有限公司 一种晶圆清洗设备
CN211957594U (zh) * 2020-05-29 2020-11-17 北京鲁汶半导体科技有限公司 一种离子束刻蚀旋转平台
US11948781B2 (en) 2020-06-16 2024-04-02 Applied Materials, Inc. Apparatus and system including high angle extraction optics
US11637242B2 (en) * 2020-08-21 2023-04-25 Tokyo Electron Limited Methods for resistive RAM (ReRAM) performance stabilization via dry etch clean treatment
JP2022112423A (ja) * 2021-01-21 2022-08-02 東京エレクトロン株式会社 プラズマ処理装置
US20240145252A1 (en) * 2022-11-02 2024-05-02 Applied Materials, Inc. Faraday faceplate

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) * 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JPH02131550U (zh) * 1989-03-31 1990-11-01
JP2643457B2 (ja) * 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
JPH04137727A (ja) * 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) * 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5374456A (en) * 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JP3190830B2 (ja) * 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US6007673A (en) * 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JPH1154717A (ja) * 1997-08-06 1999-02-26 Sanyo Electric Co Ltd 誘電体素子の製造方法
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20050025791A1 (en) 2002-06-21 2005-02-03 Julius Remenar Pharmaceutical compositions with improved dissolution
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
DE112006002412T5 (de) * 2005-09-09 2008-07-17 ULVAC, Inc., Chigasaki Ionenquelle und Plasma-Bearbeitungsvorrichtung
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
WO2010023925A1 (ja) * 2008-09-01 2010-03-04 独立行政法人科学技術振興機構 プラズマエッチング方法、プラズマエッチング装置及びフォトニック結晶製造方法
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (ja) * 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP3188215A3 (en) 2010-02-09 2017-09-13 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP5864879B2 (ja) * 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388491B2 (en) 2011-10-31 2019-08-20 Canon Anelva Corporation Ion beam etching method of magnetic film and ion beam etching apparatus
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
CN202633210U (zh) * 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI669028B (zh) * 2015-05-22 2019-08-11 日商日立全球先端科技股份有限公司 電漿處理裝置及使用彼之電漿處理方法
TWI692010B (zh) * 2015-08-31 2020-04-21 美商瓦里安半導體設備公司 氣體噴射系統及對基板施加殘留物移除氣體的方法
TWI630605B (zh) * 2015-10-02 2018-07-21 佳能安內華股份有限公司 Ion beam etching method and ion beam etching device
TWI725071B (zh) * 2015-12-08 2021-04-21 美商瓦里安半導體設備公司 使用傾角離子束填孔穴的裝置與技術
TWI769351B (zh) * 2017-12-28 2022-07-01 美商美光科技公司 用於清潔形成半導體裝置之工具之組件及系統以及相關方法
TWI729629B (zh) * 2018-12-17 2021-06-01 美商應用材料股份有限公司 蝕刻裝置
US11810755B2 (en) 2018-12-17 2023-11-07 Applied Materials, Inc. Ion beam source for optical device fabrication using a segmented ion source having one or more angled surfaces
TWI821479B (zh) * 2018-12-17 2023-11-11 美商應用材料股份有限公司 反應性斜角離子束蝕刻系統以及處理基板的方法
TWI750521B (zh) * 2019-10-23 2021-12-21 聚昌科技股份有限公司 磁力線遮蔽控制反應腔室磁場之蝕刻機結構
TWI820468B (zh) * 2020-09-30 2023-11-01 台灣積體電路製造股份有限公司 半導體的製造方法及半導體製程系統

Also Published As

Publication number Publication date
JP2015019064A (ja) 2015-01-29
US9257295B2 (en) 2016-02-09
CN107293468A (zh) 2017-10-24
SG10201403639PA (en) 2015-02-27
TWI638403B (zh) 2018-10-11
CN104282521B (zh) 2017-07-21
US20150011093A1 (en) 2015-01-08
KR20150006390A (ko) 2015-01-16
CN107293468B (zh) 2020-02-11
US9017526B2 (en) 2015-04-28
US20160211156A1 (en) 2016-07-21
CN104282521A (zh) 2015-01-14
US20150179465A1 (en) 2015-06-25
JP6469374B2 (ja) 2019-02-13

Similar Documents

Publication Publication Date Title
TWI638403B (zh) 離子束蝕刻系統
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
JP6506915B2 (ja) 半導体製造用の内部プラズマグリッド
TWI647731B (zh) 蝕刻基板的設備及方法
JP6509495B2 (ja) 半導体製造用の内部プラズマグリッドの適用
TW201705277A (zh) 處理基底的設備、系統及方法