TWI725071B - 使用傾角離子束填孔穴的裝置與技術 - Google Patents

使用傾角離子束填孔穴的裝置與技術 Download PDF

Info

Publication number
TWI725071B
TWI725071B TW105136502A TW105136502A TWI725071B TW I725071 B TWI725071 B TW I725071B TW 105136502 A TW105136502 A TW 105136502A TW 105136502 A TW105136502 A TW 105136502A TW I725071 B TWI725071 B TW I725071B
Authority
TW
Taiwan
Prior art keywords
plasma
filling material
cavity
substrate
ions
Prior art date
Application number
TW105136502A
Other languages
English (en)
Other versions
TW201724205A (zh
Inventor
陳宗良
約翰 哈塔拉
樹榮 梁
Original Assignee
美商瓦里安半導體設備公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商瓦里安半導體設備公司 filed Critical 美商瓦里安半導體設備公司
Publication of TW201724205A publication Critical patent/TW201724205A/zh
Application granted granted Critical
Publication of TWI725071B publication Critical patent/TWI725071B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明提供一種使用傾角離子束填孔穴的設備及方法,所 述方法包含:在電漿室中產生電漿;相對於基底的平面的垂線以非零度的入射角將包括冷凝物質和惰性氣體物質中的至少一個的離子從電漿引導至基底內的孔穴。所述方法可進一步包含:使用冷凝物質沉積孔穴內的填充材料,填充材料的沉積與離子的引導同時發生,其中填充材料以第一速率累積在孔穴的下表面上,且其中填充材料以小於第一速率的第二速率累積在孔穴的側壁的上部部分上。

Description

使用傾角離子束填孔穴的裝置與技術
本發明是有關於一種基底處理,且更確切地說,是關於用於填充例如溝槽或通孔的孔穴的技術。
由於例如半導體裝置、記憶體裝置和其它裝置等的裝置縮放到較小尺寸,因此處理逐漸減小的結構的能力變得具有挑戰性。在製造例如記憶體或邏輯裝置等的裝置時,例如溝槽或通孔的孔穴可以形成於給定層或材料內並且可以隨後用另一材料填充。例如,溝槽可以形成於矽層內並且可以隨後用例如氧化矽或氮化矽的絕緣體材料填充。
用於填充溝槽的已知方法包含化學氣相沉積,例如高密度電漿(high density plasma,HDP)化學氣相沉積(chemical vapor deposition,CVD)。HDPCVD過程可以引起使用多個物質執行化學氣相沉積,所述物質例如包含矽烷、氬氣、氧氣(用於氧化)或NH3(用於氮化)。HDPCVD過程可以包含用於沉積材料的物質以及用於至少部分蝕刻材料的物質。當用於填充溝槽時,HDPCVD過程 可以提供氣體分子(例如氬氣)作為衝擊溝槽區域的離子化物質。可以另外提供例如矽烷和氧氣的物質以沉積溝槽內的介電材料。沉積在溝槽的表面上的介電材料可以同時經受氬氣物質的濺射蝕刻,其中溝槽的填充包含沉積和蝕刻。由於溝槽縮放至較小尺寸並且形成為具有較高高寬比(溝槽深度(高度)/溝槽寬度),因此HDPCVD過程在提供待填充的溝槽的理想結構時可能是低效的。例如,在填充溝槽時可以形成小面。另外,沉積材料的側壁上的增長以及從材料濺射的再沉積可以引起沿著側壁的材料懸垂。此過程可能會引起夾止並且結果在溝槽內形成內埋空隙。
相對於這些和其它考量來提供本發明。
在一個實施例中的方法可以包含:在電漿室中產生電漿;相對於基底的平面的垂線以非零度的入射角將包括冷凝物質和惰性氣體物質中的至少一個的離子從所述電漿引導至基底內的孔穴。所述方法可以進一步包含使用冷凝物質將填充材料沉積在孔穴內。沉積可以與引導離子同時發生;其中填充材料以第一速率累積在孔穴的下表面上,並且其中填充材料以小於第一速率的第二速率累積在孔穴側壁的上部部分上。
在另一實施例中的設備可以包含:電漿室;第一氣體源和第二氣體源,用於分別將惰性氣體和冷凝物質提供到所述電漿室;以及電漿產生器,用於在所述電漿室中產生包括源自所述惰性氣 體的第一離子和源自所述冷凝物質的第二離子的電漿。所述設備可以進一步包含提取組合件,用於從電漿提取離子束、第一離子和第二離子,並且用於相對於基底的平面的垂線以非零度的入射角將沉積暴露的離子束引導至基底內的孔穴。所述設備可以進一步包含用於控制一組沉積參數的控制器。所述設備還可以包含包括指令的至少一個電腦可讀儲存媒體,其中所述指令在執行時使控制器執行以下操作中的至少一個:發送第一控制信號以在沉積暴露期間調整非零度的入射角;以及發送第二控制信號以將冷凝物質的氣流調整到電漿室中。
在另一實施例中的方法可以包含:在電漿室中產生電漿;以及將包括冷凝物質和惰性氣體物質中的至少一個的離子從所述電漿引導至基底內的孔穴,其中所述孔穴包括下表面和側壁。所述方法還可以包含使用冷凝物質將填充材料沉積在孔穴內。另外,所述方法可以包含執行選擇性蝕刻,其包括相對於基底的平面的垂線以選擇非零度的入射角將蝕刻劑離子束從電漿室引導至孔穴。以此方式,可以相對於安置於孔穴的其它區域中的填充材料選擇性地清除沉積在側壁的上部部分上的填充材料。
102:裝置結構
104、314:基底
106、140、142:孔穴
108:側壁
108A:上部部分
108B:下部部分
110:下表面
112:上表面
120、202、204、224、226:離子
122:垂線
124、210:填充材料
130:電漿源
132、316:提取組合件
134、342:提取板
136、346:光束阻斷器
144、344:提取孔徑
212、232:蝕刻劑
240、242:輪廓
300:系統
302:電漿室
304、306、322、324:氣體源
308:RF功率源
310:處理室
312:基底平臺
318:偏置系統
320:離子束
326:第一氣體
328:第二氣體
330:電漿室泵
332:處理室泵
340:控制系統
340A:控制器
340B:媒體
348、350:間隙
400、500:處理流程
402、404、406、502、504、506、508:方塊
H:高度
P:平面
W:寬度
X、Y、Z:方向
θ:角度
圖1A、圖1B、圖1C、圖1D和圖1E共同地描繪根據本發明實施例的填充孔穴的實例; 圖2A、圖2B、圖2C、圖2D和圖2E共同地說明根據本發明的額外實施例填充孔穴;圖3A描繪根據本發明的實施例的示例性處理系統;而圖3B示出圖3A中所示的提取組合件的實施例的平面圖;圖4描繪根據本發明的實施例的示例性處理流程;以及圖5描繪根據本發明的其它實施例的另一示例性處理流程。
圖式未必按比例繪製。圖式僅為表示,並不意圖描繪本發明的特定參數。圖式意圖描繪本發明的示例性實施例,且因此不應被視為在範圍上受到限制。在圖式中,相似編號表示相似元件。
此外,出於清楚說明的目的,一些圖式中的某些元件可以省略或不按比例說明。此外,為了清晰起見,一些參考標號可以在某些圖式中省略。
現將在下文參考附圖來更充分地描述根據本發明的方法和設備,在附圖中示出方法和設備的實施例。方法和設備可以許多不同形式體現,且不應解釋為受限於本文中所闡明的實施例。替代地,提供這些實施例是為了使得本發明透徹且完整,且將向所屬領域的技術人員充分傳達系統和方法的範圍。
為了方便和清晰起見,例如“頂部”、“底部”、“上部”、“下部”、“垂直”、“水平”、“橫向”和“縱向”的術語在本文中可以用於描述這些元件以及其組成部分的相對放置和 定向,每一者在呈現在圖式中時相對於半導體製造裝置的元件的幾何形狀和定向。所述術語將包含具體提到的詞、其派生詞和類似意思的詞。
如本文中所使用,以單數形式敘述並且前面有詞“一”或“一個”的元件或操作應被理解為包含多個元件或操作,直到明確敘述此類排除。此外,對本發明的“一個實施例”的參考並不意圖為限制性的。額外的實施例也可以併入到所敘述的特徵中。
在各種實施例中,揭示提供基底中的孔穴的改進處理的技術和設備,例如溝槽或通孔的改進填充。具體而言,本發明涉及在孔穴填充期間傾角離子束的使用,其中相對於基底平面的垂線以非零度的入射角將離子引導至基底。
圖1A、圖1B、圖1C、圖1D和圖1E共同地描繪根據本發明實施例的填充孔穴的實例。圖1A、圖1B和圖1C中所示的情形說明處理裝置結構102的不同情況。在圖1A中,裝置結構102被示為包含孔穴106的基底104。在各種實施例中,孔穴可以為溝槽、通孔或具有側壁108、下表面110和上表面112的類似結構。基底104可以包含未示出的其它特徵並且可以包含(例如)任何數目的層,其中至少一些層由不同材料製成。基底104可以包含(例如)類似於孔穴106的多個孔穴。基底104可以由給定材料組成,其中側壁108、下表面110和上表面112由相同材料組成。實施例在此上下文中不受限制。在一些實例中,基底材料可以由單晶矽或其它形式的矽、氧化物或氮化物組成。實施例在此上下文中 不受限制。
在具體實施例中,孔穴106的尺寸沿著至少一個方向可以小於100nm。例如,孔穴106可具有溝槽結構,其中溝槽的寬度W小於100nm。在一些例子中,此溝槽的高度H可以大於100nm。在此實例中,高寬比H/W視為大於一。圖1A至1C的實施例解決了填充孔穴的挑戰(例如具有前述小尺寸的孔穴包含具有大於一的高寬比)。實施例在此上下文中不受限制。
現在轉向圖1B和圖1C,示出根據本發明的實施例的採用用於填充孔穴106的離子的情況。在一些實施例中,離子120可提供為離子束,其中離子束內的不同離子軌跡彼此平行或一般位於跨越10度或更小度數的角範圍內。實施例在此上下文中不受限制。圖1B和圖1C中執行的操作可在不同實施例中同時或依序執行。如圖1B中所示,離子120可提供為方向性離子束,其中離子軌跡被佈置成相對於基底104的平面P的垂線122形成示為角θ的非零度的入射角。如圖1B中進一步說明,可以從電漿源130提供離子120,其中電漿源130在各種實施例中可以包含電漿室。如本文中所使用,通用術語“電漿源”可以包含發電機、電漿激勵器、電漿室以及電漿本身。電漿源130可以是電感耦合電漿(inductively-coupled plasma,ICP)源、環形耦合電漿源(toroidal coupled plasma source,TCP)、電容耦合電漿(capacitively coupled plasma,CCP)源、螺旋波源、電子迴旋共振(electron cyclotron resonance,ECR)源、間熱式陰極(indirectly heated cathode,IHC) 源、輝光放電源或本領域技術人員已知的其它電漿源。在具體實施例中,電漿源130可以包含提取組合件132,所述提取組合件132包含提取板134和光束阻斷器136,且提取板134和光束阻斷器136一起定義出孔穴140及孔穴142。相對於圖3A和3B更詳細地論述這些組件的操作。
電漿源130可以用於提供包含冷凝物質以及惰性氣體物質的離子物質。如下詳述,這些物質可以交交互操作以通過增強填充材料的自下而上增長的方式控制填充材料在孔穴106內的沉積。以此方式,可以避免夾止,從而防止在孔穴106內形成不需要的空隙。
在具體實施例中,離子120可以包含惰性氣體物質和冷凝物質的混合物,而在其它實施例中,離子120可以包含冷凝物質。電漿源130中的物質的組分可以類似於用於沉積已知材料的已知HDPCVD過程的組分。例如,在沉積例如SiO2的填充材料的實例中,可以將包含矽烷(SiH4)、一氧化二氮和氬氣的物質提供到電漿源130。這些物質中的至少一些可以離子化並且可以如圖所示形成離子120。在例如使用矽烷和氧氣(O2)形成二氧化矽的其它實施例中,可以將氧氣單獨傳送到基底104,如下文所論述。在各種實施例中,除了離子120之外,可以將用於有助於形成孔穴106中的包含填充材料的中性物質(未示出)(包含沉積物質)與離子120一致地提供到基底104。在一些情況下,中性物質可以具有與離子120的軌跡不同的軌跡。
在具體實施例中,結合離子120提供到基底104的中性物質可包含反應性物質(未示出),其中在不穿過電漿室的情況下將反應性物質提供到基底104。可以選擇反應性物質與其它物質反應,所述其它物質包含形成離子120的一部分的其它中性物質或冷凝物質。反應性物質因此可以形成累積在孔穴106內的填充材料的一部分。根據本發明的實施例的填充材料124的實例包含二氧化矽、氮化矽、氧化鋁、非晶矽、一氧化碳、鉭、鎢、鋁。實施例在此上下文中不受限制。
在圖1B和圖1C的實施例中,可以通過控制離子120的方向性來控制填充材料124在孔穴106內的累積。例如,填充材料124可以立即沉積在孔穴106的不同表面上,其包含下表面110、側壁108和上表面112。同時,離子120可以配備有用於起始蝕刻的足夠能量,例如從暴露於離子120的表面的材料的再濺射。通過控制離子120的軌跡,在填充材料124沉積在孔穴106時,可以對準孔穴106的某些部分以供離子120蝕刻。填充材料124的淨累積輪廓因此可以表示孔穴106的部分的沉積和空間對準蝕刻的組合。
如圖1B和圖1C中所示,填充材料124可以第一速率累積在孔穴106的下表面110上,可以不同速率或與第一速率類似的速率累積在側壁108的下部部分108B上。另外,填充材料124可以小於第一速率的第二速率累積在側壁108的上部部分108A上。在具體實施例中,示為θ的非零度的入射角相對於垂線122可 以為30度或更小。離子120因此可以撞擊側壁108的暴露區域,從而減小填充材料124在暴露區域(例如上部部分108A)中的累積速率。在各種實施例中,離子120的離子能量可以被調諧成產生填充材料124的蝕刻,而不會對裝置102造成不必要的損壞。離子120的示例性離子能量範圍包含500eV至1500eV。由於離子120通過上表面112的遮蔽,孔穴106的下部區域可於離子120的蝕刻更少暴露。以此方式,材料在側壁108的下表面110和下部部分108B上的累積速率可以主要由形成填充材料124的沉積物質的沉積速率確定。
圖1D和圖1E說明在圖1B和圖1C的情形之後的情況下填充材料124的輪廓的演變。為了產生圖1D或圖1E中所示的填充材料124的結構,可以重複或繼續一般在圖1B和圖1C中所示的操作。如所提及,非離子化材料可以部分冷凝在孔穴106內,而離子120以非零度的入射角被引導至孔穴106中。與上部部分108A附近的區域相比,此過程引起填充材料124在下表面110附近以及在下部部分108B附近的更快繼續累積。圖1E示出在圖1D的情形之後的額外情況,其中表示最低表面的填充材料124的下表面幾乎與孔穴106的上表面112齊平。圖1A至1E中所說明的填充過程因此在填充材料124的不同沉積階段期間在填充材料124中產生非重入輪廓,從而能夠填充孔穴106而不夾止或形成空隙。
根據本發明的額外實施例,除了用於控制用於填充孔穴的填充材料的輪廓的沉積操作之外,可以採用單獨的蝕刻操作。圖 2A、圖2B、圖2C和圖2D共同地說明根據本發明的額外實施例填充孔穴。圖2A中,描繪示例性填充操作,其中相對於垂線122以非零度的入射角將離子引導至孔穴106。在此具體實施例中,離子202可以被引導在一個側壁處,而離子204被引導在相對側壁處,其中兩個側壁被示為側壁108。離子202和離子204可以與非離子化物質(未示出)同時提供到孔穴106,其中非離子化物質的至少一部分可以引起填充材料的沉積。在一些實施例中,可以將離子202和離子204同時提供到一處。
再次參考圖1B,在一個實例中,可以通過經由提取孔徑144從電漿源130提取離子作為離子束而提供離子202和離子204,其中提取孔徑144在提取組合件132內形成。具體而言,離子的第一部分(示為離子202)可以通過提取孔徑144的第一部分提取為第一離子束,而離子的第二部分(示為離子204)可以通過提取孔徑144的第二部分提取。在一個實施例中,離子202可以相對於垂線122形成第一非零度的入射角,示為角θ,而離子204相對於垂線122形成第二非零度的入射角,示為角-θ。具體而言,垂線122可以平分第一非零度的入射角θ和第二非零度的入射角-θ。對於孔穴106的對稱孔穴結構,此幾何形狀可以使離子202和離子204以相同入射角(入射角的絕對值)攔截相對側壁的相同相應部分。在這些情形下,填充材料210的對稱輪廓可以顯現。在其它實施例中,可以相對於垂線122以不同角度提供離子202和離子204。
儘管一般圖2A中所示的過程可以在發展期間產生填充 材料210的可接受輪廓,如一般在圖1B至1E中所說明,但是在一些情形下,至少一些蝕刻操作可以用於在圖2A的情形之後執行。此蝕刻可以通過自下而上過程進一步減小孔穴106的增強填充,從而避免夾止和直埋空隙。
現在轉向圖2B,示出在圖2A之後的情形,其中執行蝕刻製程以清除填充材料210的一部分。在圖2B中,蝕刻劑212被引導至孔穴106。在各種實施例中,蝕刻劑212可以是選擇性蝕刻劑,其中蝕刻劑212引起執行填充材料210的選擇性蝕刻。具體而言,可以相對於基底104的材料選擇性地清除填充材料210的一部分。蝕刻劑212可以包含用於選擇性蝕刻的已知物質。例如,在填充材料沉積在二氧化矽中並且在矽中形成孔穴106的實施例中,蝕刻劑212可以源自氟甲烷。在具體實施例中,氟甲烷可以流動到電漿源(例如電漿源130)中,並且可以至少部分離子化且提供到孔穴106。在圖2B中,在由蝕刻劑212執行選擇性蝕刻製程之後,示出填充材料210的所得輪廓。沿著側壁108的上部部分108A清除填充材料210,而不清除基底104的材料。
在各種實施例中,沉積填充材料210並且執行填充材料的選擇性蝕刻可以構成填充迴圈,其中在初始填充迴圈之後執行至少一個額外的填充迴圈。如圖2C中所說明,例如可以執行與圖2A的操作類似的隨後沉積製程以沉積額外的填充材料210。如所說明,可以朝向一對相對側壁引導離子束(示為離子224)和另一離子束(示為離子226),而非離子化物質(未示出)可以提供到 孔穴106。這可以產生如圖所示的填充材料210的輪廓。在圖2D中,可以通過在圖2C中所示的沉積之後將蝕刻劑232引導至孔穴106中來執行額外的選擇性蝕刻。在一些實施例中,蝕刻劑232可與蝕刻劑212相同。同樣,填充材料210可選擇性地從側壁108的上部部分108A清除。儘管在一些實施例中,在多次重複圖2A至2B的操作時,蝕刻劑232以及蝕刻劑212可以部分地蝕刻下表面110附近的填充材料210,但是填充材料210的總體輪廓可繼續為非重入的,從而允許在避免夾止結構時填充孔穴106。圖2E示出當多次重複圖2A至2B的操作時產生的填充材料的輪廓的演變的實例。輪廓240說明在圖2D的情形之後的第一情況下的填充材料210的填充材料輪廓,而輪廓242示出在由輪廓240表示的情況之後的第二情況。
在一些實施例中,在圖2B和圖2D的情形中,例如在相對於垂線122以非零度的入射角引導離子(類似於離子202和離子204的幾何形狀)時,可將選擇性蝕刻劑提供到孔穴106。以此方式,選擇性蝕刻劑可以僅蝕刻暴露於離子的填充材料的部分,而填充材料的其它部分,例如與下表面110相鄰的部分可以未暴露於蝕刻。此選擇性蝕刻劑的實例可以包含氟甲烷。選擇性蝕刻劑的另一實例可以是氟甲烷和氬氣混合物。在孔穴側壁的一部分在沉積製程之後保持暴露的一些實例中,離子束的離子能量可以維持為低於發生形成孔穴壁的所暴露基底材料的大量濺射的水平。
這種類型的選擇性蝕刻因此提供空間選擇性蝕刻,因為 孔穴的某些區域保持未暴露於離子,同時還提供化學選擇性,其中基底104保持未蝕刻或相對於填充材料的蝕刻以減小的速率蝕刻。以此方式,可以通過有助於填充材料朝向孔穴的下部部分的沉積,同時從側壁的上部區域清除填充材料來增強自下而上填充過程。
在又一實施例中,用於沉積填充材料的已知沉積操作可以之後跟著填充材料的選擇性蝕刻操作,其中例如一般根據圖2A中所示的幾何形狀朝向孔穴側壁引導形成選擇性蝕刻劑的離子。已知沉積操作的實例涉及HDPCVD過程,其中沿著基底平面的垂線引導離子。儘管就其自身而言,已知HDPCVD過程的使用往往會在孔穴中產生填充材料的非理想輪廓,但是在此實施例中,沉積操作可與通過使用非垂直離子的選擇性蝕刻操作交替,其中相較於下部區域,沿著側壁的上部部分優先清除填充材料。
在本發明的又另外的實施例中,可以在填充過程期間調整朝向孔穴引導的離子的軌跡。例如,再次參考圖1B至1E,可在一方面圖1B、1C的情形與圖1D的情形之間調整離子120的非零度的入射角的量值。在一個具體實例中,在圖1B和1C的情形下θ的量值可以是25度,而在圖1D的情形下θ的量值可以減小到15度。在圖1E的情形下θ的量值可以進一步減小到10度。此調整可以在不同實施例中在離散操作中或以連續方式發生。在溝槽的接受角度隨著填充材料的累積而變化時,θ的量值的調整允許在不同填充過程階段處以合適的角度引導離子。
圖3A描繪根據本發明的實施例示為系統300的示例性 處理系統。系統300可以尤其被調適成根據本文所揭示的技術執行基底中的孔穴的填充。系統300可以包含電漿室302、處理室310以及包含電漿室泵330和處理室泵332的各種已知元件。在不同實施例中,電漿室302可形成電感耦合電漿(inductively-coupled plasma,ICP)源、環形耦合電漿源(toroidal coupled plasma source,TCP)、電容耦合電漿(capacitively coupled plasma,CCP)源、螺旋波源、電子迴旋共振(electron cyclotron resonance,ECR)源、間熱式陰極(indirectly heated cathode,IHC)源、輝光放電源或本領域技術人員已知的其它電漿源的一部分。如圖3A中所提出,電漿室302可以是由RF功率源308驅動的電感耦合電漿源的一部分。系統300可以進一步包含提供前驅氣體以形成冷凝物質的氣體源304。在一個實例中,前驅氣體可以是將用於形成氧化矽(SiO2)或氮化矽(Si3N4)的矽烷。實施例在此上下文中不受限制。氣體源306可用於將例如氬氣或其它惰性氣體等的惰性氣體提供到電漿室302。例如,此惰性氣體可以形成離子120、離子202、離子204、離子224或離子226的一部分。實施例在此上下文中不受限制。在額外的實施例中,額外的氣體源(未示出)可以耦合到電漿室以提供其它氣體,例如含氧氣體或含氮氣體。
系統300可以包含偏置系統318,所述偏置系統318被佈置成相對於處理室310偏置電漿室302。在圖3A中所示的具體實例中,處理室310可以接地,同時通過偏置系統318將正電壓施加到電漿室302。
系統300可以進一步包含提取組合件316,其中提取組合件316是提取組合件132的變體。提取組合件316可以安置於在電漿室302中產生的電漿與安置於處理室310中的基底平臺312之間。基底平臺312可以耦合到基底104,並且可以沿著平行於X軸的方向移動。在一些實施例中,基底平臺312可以沿著平行於Z軸的方向移動,並且可以另外沿著平行於Y軸的方向移動。
當將氣體提供到電漿室302並且通過RF功率源308供應電力時,可以在電漿室302中產生電漿。當將偏置電壓施加到電漿室302時,離子可以通過脈衝或連續方式從電漿室302中的電漿提取並且被引導至基底314。在圖3A中所描繪的實例中,將離子束320從電漿室302引導至基底314。還參考圖1B和圖1C,在一對離子束相對於垂線122以非零度的入射角衝擊基底314時,可以引導圖3A的離子束320。在圖3A的實例中,垂線122平行於所示的笛卡爾坐標系統的Z軸佈置。
根據各種實施例,可在電漿室302中產生冷凝物質,其中冷凝物質的至少一部分形成包含於離子束320中的離子。冷凝物質可進一步包含如上所述的中性物。通過系統300產生的填充材料的所得輪廓可類似於前述圖中所示的填充材料的輪廓。
根據各種實施例,系統300可包含反應氣體組合件以在不穿過電漿室的情況下將反應氣體物質提供到基底104。在圖3A的實例中,氣體源322可將第一氣體326供應到處理室310,而氣體源324可將第二氣體328提供到處理室310。可使用繞過電漿室 302的氣體管線提供這些氣體,使得氣體不流過電漿室302。例如,為了根據一般在圖1A至2E中所示的過程沉積孔穴中的二氧化矽,可將矽烷提供到電漿室302,其中來自矽烷的冷凝物質形成離子束320的一部分。為了在孔穴內形成二氧化矽,可結合矽烷供應氧氣源。具體而言,使用氣體源322或氣體源324使氧氣直接流動到處理室310可以是有用的。這可通過在與供應矽的冷凝物質分離的增長填充材料中提供氧氣源而避免傳送管線中的任何沉積。
現在轉向圖3B,示出提取組合件316的實施例的平面圖。在此實例中,提取組合件316包含提取板342,提取板342具有相較於沿著X軸的長度具有沿著Y軸的更大寬度的細長提取孔徑344。提取組合件316還可包含鄰近於細長提取孔徑344安置的光束阻斷器346。光束阻斷器346和細長提取孔徑344可因此被佈置成提取穿過間隙348的離子束320作為第一帶狀光束,並且提取穿過間隙350的第二帶狀光束。
此類帶狀光束可用於在給定情況下暴露基底104的整個寬度。這可將跨越基底104佈置的多個孔穴106暴露於填充過程,如前述實施例中所描述。通過細長提取孔徑344提取的帶狀光束一般可具有平行於X軸的軌跡,而所述軌跡還相對於Z軸或相對於垂線122形成非零度的入射角。因此,跨越基底104的寬度沿著Y軸安置的多個孔穴(例如溝槽)可通過類似方式暴露於離子束320。因此,可跨越基底104的寬度立即提供改進的孔穴填充過程。此外,通過沿著X方向掃描基底104,基底104的目的地區 域例如整個基底104可通過依序方式暴露於改進的孔穴填充過程。
根據一些實施例,系統300可用於執行涉及(例如)在圖2A至2D中所示的交替沉積和蝕刻操作的過程。例如,為了用二氧化矽填充孔穴,沉積操作可能需要使矽烷和氬氣流動到電漿室302中以形成電漿。在用二氧化矽填充孔穴的沉積操作中,離子束320可被引導至基底104,同時氣體源324將氧氣提供到基底104。在蝕刻操作中,可將例如氟甲烷的氣體提供到電漿室302,其中氟甲烷可用於形成衝擊孔穴106的目標部分的蝕刻離子束。可以在給定沉積操作與給定蝕刻操作之間執行淨化操作以消除在用於不同操作的物質之間的任何交叉污染。
在各種實施例中,系統300可包含用於在孔穴的填充期間提供填充材料的動態輪廓控制的元件。在圖3A中進一步所示的一個實例中,系統300可包含用於控制系統300的不同元件的控制系統340。控制系統340可包含用於控制一組沉積參數以及蝕刻參數的控制器340A。控制系統340可進一步包含媒體340B,例如包含指令的至少一個電腦可讀儲存媒體,其中所述指令在執行時使控制器340A執行某些操作。在這些操作之中包含:發送第一控制信號以在沉積暴露期間調整離子束的非零度的入射角,以及發送第二控制信號以調整提供到電漿室中的冷凝物質的氣流。控制在其它操作之中的這些操作使系統300能夠提供給定孔穴內的經唯一調適的填充材料輪廓。
在不同實施例中,發送用於調整非零度的入射角的第一 控制信號可涉及將調整信號發送到RF功率源308以調整電漿室302中的電漿中的功率。在已知的電漿系統中,調整電漿功率可調整在提取孔徑處形成的電漿的彎液面的形狀,並且因此可調整從電漿的離子提取角度,從而引起入射在孔穴上的離子束的非零度的入射角的變化。如上所述,在填充過程繼續進行時,減小相對於垂線的入射角可以是有用的。因此,控制系統340可用於在沉積暴露期間週期性地或連續地調整電漿功率,以在孔穴內的填充材料的量增加時調整入射角。這可允許填充材料的演變輪廓的最佳調適以確保孔穴的適當填充而(例如)不引起夾止。
在不同實施例中,發送用於調整非零度的入射角的第一控制信號可引起發送移動信號以沿著平行於Z軸的方向調整在基底104與提取組合件316之間的間隔。調整此間隔還可影響從電漿室302提取的離子束的非零度的入射角,並且因此可用於在填充期間動態地改變離子束的入射角。
用於調整進入電漿室302中的氣流的控制信號的發送可用於在用例如二氧化矽填充孔穴期間調整矽烷流。矽烷流的此調整可用於在填充過程期間調整沉積與蝕刻的比率,並且因此可影響填充材料的所得輪廓。
圖4示出根據本發明的實施例的示例性處理流程400。在方塊402處,在電漿室中產生電漿。在一些實施例中,電漿可包含冷凝物質以及惰性氣體物質。
在方塊404處,執行將包括冷凝物質和惰性氣體物質中 的至少一個的離子從電漿引導至基底內的孔穴的操作。具體而言,可相對於基底的平面的垂線以非零度的入射角引導離子。
在方塊406處,執行使用冷凝物質沉積孔穴內的填充材料的操作。填充材料可以第一速率累積在孔穴的下表面上並且以小於第一速率的第二速率累積在孔穴的側壁的上部部分上。此輪廓可有助於孔穴的填充而(例如)不引起夾止。
圖5示出根據本發明的實施例的示例性處理流程500。在方塊502處,在電漿室中產生電漿。在方塊504處,執行將包括冷凝物質和惰性氣體物質中的至少一個的離子從電漿引導至基底內的孔穴的操作,其中孔穴具有下表面和側壁。
在方塊506處,執行使用冷凝物質沉積孔穴內的填充材料的操作。在一些實施例中,冷凝物質可以提供於相對於基底的平面的垂線以非零度的入射角引導的離子束中。
在方塊508處,執行選擇性蝕刻。所述選擇性蝕刻可涉及相對於基底的平面的垂線以選擇非零度的入射角將蝕刻劑離子束從電漿室引導至孔穴。由此可相對於安置於孔穴的其它區域中的填充材料選擇性地清除在沉積操作期間沉積在孔穴的側壁的上部部分上的填充材料。
總而言之,本發明的實施例提供優於用於填充溝槽或其它孔穴的已知技術的優點,包含提供用於防止有源設備區的損壞的更佳能力。例如,通過相對於垂線以非零度的入射角引導離子,尤其在填充溝槽的初始階段處,離子可不受撞擊溝槽底部的影響, 於溝槽底部中可定位敏感裝置元件。另外,本發明的實施例提供避免空隙形成(包含在具有較高高寬比的溝槽中)的更佳能力。
雖然已在本文中描述了本發明的某些實施例,但本發明不限於此,因為本發明在範圍上與本領域將允許的一樣寬泛,且可以同樣地來理解說明書。因此,不應將以上描述解釋為限制性。實際上,以上描述僅僅是作為具體實施例的例證。本領域的技術人員將在本文所附的權利要求書的範圍和精神內設想其它修改。
400:處理流程
402、404、406:方塊

Claims (15)

  1. 一種製造半導體設備的方法,包括:在電漿室中產生電漿;相對於基底的平面的垂線以非零度的入射角將包括冷凝物質和惰性氣體物質中的至少一個的離子從所述電漿引導至所述基底內的孔穴;以及使用所述冷凝物質於所述孔穴內沉積填充材料,沉積所述填充材料與將所述離子從所述電漿引導至所述基底內的孔穴同時發生,其中所述填充材料被所述離子蝕刻,其中所述填充材料以第一速率累積在所述孔穴的下表面上,並且其中所述填充材料以小於所述第一速率的第二速率累積在所述孔穴的側壁的上部部分上。
  2. 如申請專利範圍第1項所述的製造半導體設備的方法,其中將所述離子從所述電漿引導至所述基底內的孔穴包括通過具有細長的孔徑的提取板提取所述離子。
  3. 如申請專利範圍第2項所述的製造半導體設備的方法,其中將所述離子從所述電漿引導至所述基底內的孔穴進一步包括:提供光束阻斷器,所述光束阻斷器位於與細長的所述孔徑相鄰的所述電漿室內; 通過細長的所述孔徑的第一部分提取所述離子的第一部分作為第一離子束,所述第一部分相對於所述垂線形成第一非零度的入射角;以及通過細長的所述孔徑的第二部分提取所述離子的第二部分作為第二離子束,所述第二部分相對於所述垂線形成第二非零度的入射角,其中所述垂線平分所述第一非零度的入射角和所述第二非零度的入射角。
  4. 如申請專利範圍第1項所述的製造半導體設備的方法,其進一步包括:在不穿過所述電漿室的情況下將反應性物質提供到所述基底,所述反應性物質形成所述填充材料的一部分。
  5. 如申請專利範圍第1項所述的製造半導體設備的方法,其進一步包括於所述填充材料中產生非重入輪廓,直到所述填充材料的最低水平與所述孔穴的頂部齊平。
  6. 如申請專利範圍第1項所述的製造半導體設備的方法,其中所述非零度的入射角為30度或小於30度。
  7. 如申請專利範圍第1項所述的製造半導體設備的方法,其進一步包括:在將所述離子從所述電漿引導至所述基底內的孔穴的期間減小所述非零度的入射角的量值。
  8. 如申請專利範圍第7項所述的製造半導體設備的方法,其中減小所述非零度的入射角的所述量值包括增加所述基底與用於從所述電漿室提取所述離子的提取板之間的間隙。
  9. 如申請專利範圍第1項所述的製造半導體設備的方法,其中所述冷凝物質由引導至所述電漿室中的前驅氣體形成,所述製造半導體設備的方法進一步包括在沉積所述填充材料的期間在第一情況下使所述前驅氣體以第一速率流動到所述電漿室中;以及在沉積所述填充材料的期間在所述第一情況之後的第二情況下使所述前驅氣體以小於所述第一速率的第二速率流動到所述電漿室中。
  10. 如申請專利範圍第1項所述的製造半導體設備的方法,其中所述基底包括不同於所述填充材料的第二材料,所述製造半導體設備的方法進一步包括在沉積所述填充材料之後執行所述填充材料的選擇性蝕刻,其中相對於所述第二材料選擇性地清除所述填充材料的一部分。
  11. 一種半導體設備,包括:電漿室;第一氣體源和第二氣體源,用於分別將惰性氣體和冷凝物質提供到所述電漿室;電漿產生器,用於在所述電漿室中產生電漿,所述電漿包括源自所述惰性氣體的第一離子和源自所述冷凝物質的第二離子;提取組合件,用於從所述電漿提取離子束、所述第一離子和所述第二離子,並且相對於所述基底的平面的垂線以非零度的入射角將沉積暴露的所述離子束引導至所述基底內的孔穴,以於所述孔穴內沉積填充材料,其中所述填充材料被所述離子蝕刻; 控制器,用於控制一組沉積參數;以及包括指令的至少一個電腦可讀儲存媒體,其中所述指令在執行時使所述控制器執行以下各項中的至少一個:發送第一控制信號以在所述沉積暴露期間調整所述非零度的入射角;以及發送第二控制信號以調整進入所述電漿室中的所述冷凝物質的氣流。
  12. 如申請專利範圍第11項所述的半導體設備,其進一步包括反應氣體組合件,用於在不穿過所述電漿室的情況下將反應氣體物質提供到所述基底。
  13. 如申請專利範圍第11項所述的半導體設備,其中所述提取組合件包括:提取板,其具有細長的提取孔徑;以及光束阻斷器,其鄰近於細長的所述提取孔徑安置,所述光束阻斷器和細長的所述提取孔徑被佈置成提取作為第一帶狀光束的所述離子束以及提取第二帶狀光束,所述第一帶狀光束和所述第二帶狀光束分別相對於所述垂線界定第一非零度的角和第二非零度的角。
  14. 一種製造半導體設備的方法,包括:在電漿室中產生電漿;將包括冷凝物質和惰性氣體物質中的至少一個的離子從所述電漿引導至基底內的孔穴,所述孔穴包括下表面和側壁; 使用所述冷凝物質於所述孔穴內沉積填充材料,其中所述填充材料被所述離子蝕刻;以及執行選擇性蝕刻,包括相對於所述基底的平面的垂線以經選擇的非零度的入射角將蝕刻劑離子束從所述電漿室引導至所述孔穴,其中相對於安置於所述孔穴的其它區域中的所述填充材料選擇性地清除沉積在所述側壁的上部部分上的所述填充材料。
  15. 如申請專利範圍第14項所述的製造半導體設備的方法,其中所述離子包括相對於所述基底的平面的所述垂線的第二非零度的入射角。
TW105136502A 2015-12-08 2016-11-09 使用傾角離子束填孔穴的裝置與技術 TWI725071B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/962,642 US9997351B2 (en) 2015-12-08 2015-12-08 Apparatus and techniques for filling a cavity using angled ion beam
US14/962,642 2015-12-08

Publications (2)

Publication Number Publication Date
TW201724205A TW201724205A (zh) 2017-07-01
TWI725071B true TWI725071B (zh) 2021-04-21

Family

ID=58798561

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136502A TWI725071B (zh) 2015-12-08 2016-11-09 使用傾角離子束填孔穴的裝置與技術

Country Status (6)

Country Link
US (1) US9997351B2 (zh)
JP (2) JP7098522B2 (zh)
KR (1) KR102639549B1 (zh)
CN (1) CN108369924B (zh)
TW (1) TWI725071B (zh)
WO (1) WO2017100053A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6719416B2 (ja) * 2017-03-30 2020-07-08 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
US10629437B2 (en) * 2018-05-09 2020-04-21 Varian Semiconductor Equipment Associates, Inc. Techniques and structure for forming dynamic random-access device using angled ions
JP7471074B2 (ja) * 2019-12-02 2024-04-19 キヤノントッキ株式会社 成膜方法及び成膜装置
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11942361B2 (en) * 2021-06-15 2024-03-26 Applied Materials, Inc. Semiconductor device cavity formation using directional deposition
US11862458B2 (en) 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
TW201144475A (en) * 2010-04-15 2011-12-16 Novellus Systems Inc Plasma activated conformal film deposition
US20140272179A1 (en) * 2013-03-13 2014-09-18 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
TW201517162A (zh) * 2013-07-08 2015-05-01 Lam Res Corp 離子束蝕刻系統

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01149957A (ja) * 1987-12-07 1989-06-13 Hitachi Ltd 薄膜形成装置および薄膜形成方法
JP3031079B2 (ja) * 1992-09-21 2000-04-10 三菱電機株式会社 配線膜形成装置
JPH0794512A (ja) * 1993-09-20 1995-04-07 Hitachi Ltd 配線形成方法及び装置
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6117345A (en) * 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
JP2001338896A (ja) 2000-05-30 2001-12-07 Ebara Corp 基板の成膜・埋込方法及び装置
JP5019676B2 (ja) 2001-05-28 2012-09-05 アプライド マテリアルズ インコーポレイテッド Hdpcvd処理によるトレンチ充填
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
TWI223385B (en) 2003-09-04 2004-11-01 Nanya Technology Corp Trench device structure with single side buried strap and method for fabricating the same
US7344896B2 (en) 2004-07-26 2008-03-18 Infineon Technologies Ag Ferromagnetic liner for conductive lines of magnetic memory cells and methods of manufacturing thereof
US7217658B1 (en) * 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
JP2010278330A (ja) 2009-05-29 2010-12-09 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
SG10201607194PA (en) 2011-09-23 2016-10-28 Novellus Systems Inc Plasma activated conformal dielectric film deposition
US9190248B2 (en) 2013-09-07 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Dynamic electrode plasma system
US9530674B2 (en) 2013-10-02 2016-12-27 Applied Materials, Inc. Method and system for three-dimensional (3D) structure fill
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
TW201144475A (en) * 2010-04-15 2011-12-16 Novellus Systems Inc Plasma activated conformal film deposition
US20140272179A1 (en) * 2013-03-13 2014-09-18 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
TW201517162A (zh) * 2013-07-08 2015-05-01 Lam Res Corp 離子束蝕刻系統

Also Published As

Publication number Publication date
CN108369924B (zh) 2022-08-02
JP7098522B2 (ja) 2022-07-11
KR20180082599A (ko) 2018-07-18
JP2022141680A (ja) 2022-09-29
TW201724205A (zh) 2017-07-01
JP7425835B2 (ja) 2024-01-31
CN108369924A (zh) 2018-08-03
JP2019501489A (ja) 2019-01-17
US9997351B2 (en) 2018-06-12
WO2017100053A1 (en) 2017-06-15
US20170162384A1 (en) 2017-06-08
KR102639549B1 (ko) 2024-02-22

Similar Documents

Publication Publication Date Title
TWI725071B (zh) 使用傾角離子束填孔穴的裝置與技術
CN107735851B (zh) 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
US7829465B2 (en) Method for plasma etching of positively sloped structures
TWI603388B (zh) 3d結構半導體應用之利用圖案化自組裝單層的選擇性原子層沉積製程
US9570317B2 (en) Microelectronic method for etching a layer
US7056830B2 (en) Method for plasma etching a dielectric layer
KR20160055877A (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
TW201740459A (zh) 硬遮罩之自我限制平坦化加工
TW202127541A (zh) 對襯底進行加工的方法
US10685865B2 (en) Method and device for power rail in a fin type field effect transistor
US20170004974A1 (en) Selective deposition of silicon oxide films
JP2019501489A5 (zh)
CN110783187A (zh) 等离子体处理方法和等离子体处理装置
US20070232070A1 (en) Method and device for depositing a protective layer during an etching procedure
TW202101577A (zh) 在自對準多重圖案化中間隔物輪廓之重塑方法
CN101989576A (zh) 半导体器件的制作方法
TWI747931B (zh) 成膜方法
US11987879B2 (en) High aspect ratio taper improvement using directional deposition
JP5171091B2 (ja) プラズマ処理方法
US11658040B2 (en) Plasma processing method
JP2822945B2 (ja) ドライエッチング装置及びドライエッチング方法
JP2004140415A (ja) 半導体装置の製造方法