TW202101577A - 在自對準多重圖案化中間隔物輪廓之重塑方法 - Google Patents

在自對準多重圖案化中間隔物輪廓之重塑方法 Download PDF

Info

Publication number
TW202101577A
TW202101577A TW108144835A TW108144835A TW202101577A TW 202101577 A TW202101577 A TW 202101577A TW 108144835 A TW108144835 A TW 108144835A TW 108144835 A TW108144835 A TW 108144835A TW 202101577 A TW202101577 A TW 202101577A
Authority
TW
Taiwan
Prior art keywords
spacer
reshaping
spacer material
processes
material layer
Prior art date
Application number
TW108144835A
Other languages
English (en)
Inventor
高明輝
大久保和哉
戶島宏至
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202101577A publication Critical patent/TW202101577A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Abstract

在此描述實施例而將間隔物輪廓重塑以改善間隔物均勻性,並藉此改善在與自對準多重圖案化(SAMP)製程相關的圖案轉移期間的蝕刻均勻性。對於揭示的實施例,核心被形成在用於微電子工件之基板的一材料層上。一間隔物材料層接著被形成在核心上方。接著藉由使用一或更多定向沉積製程以沉積額外間隔物材料以及使用一或更多蝕刻製程步驟,而將間隔物材料層重塑,以形成鄰近核心的對稱間隔物。對於一示例性實施例,一或更多傾斜物理氣相沉積(PVD)製程用以沉積用於間隔物輪廓重塑的額外間隔物材料。此間隔物輪廓的重塑容許對稱間隔物被形成,藉此改善後續圖案轉移製程期間的蝕刻均勻性。

Description

在自對準多重圖案化中間隔物輪廓之重塑方法
本揭示內容係關於微電子工件的製造方法,包括在微電子工件上之圖案化結構之形成。 [相關申請案的交互參照]
本申請案主張2018年12月12日申請且題為「METHODS  TO  RESHAPE  SPACER PROFILES  IN  SELF-ALIGNED  MULTIPLE  PATTERNING」之美國臨時專利申請案第62/778,794號及2019年3月12日申請且題為「METHODS  TO  RESHAPE  SPACER PROFILES  IN  SELF-ALIGNED  MULTIPLE  PATTERNING」之美國專利申請案第16/299,623號之優先權,其係於此整體併入做為參考。
微電子工件內的元件形成通常涉及在基板上多個材料層的形成、圖案化及移除之一系列的製造技術。為了滿足目前與下一世代半導體元件的物理與電性規格,要求處理流程可縮小特徵部尺寸,同時對於各種圖案化製程能維持結構整體性。
已經發展了自對準多重圖案化(self-aligned multiple patterning, SAMP)製程,諸如自對準雙重圖案化(self-aligned double patterning, SADP)製程與自對準四重圖案化(self-aligned quadruple patterning, SAQP)製程,以縮小特徵部尺寸而超過直接地由微影製程所能達成者。對於一些SAMP製程且特別是對於SADP製程,間隔物通常被形成為鄰近基板上被處理的核心之側壁結構,並且核心材料之後會被移除。此核心移除製程通常稱為心軸抽除(mandrel pull),並且時常藉由諸如反應性離子蝕刻(reactive ion etch, RIE)製程的電漿蝕刻製程來執行。
關於習知的SAMP製程,在心軸抽除製程之後的間隔物的高度通常對於鄰近核心之間隔物的部分以及鄰近核心之間的間隙之間隔物的部分是不同的。此種間隔物的非對稱形狀在之後的蝕刻製程期間劣化了蝕刻均勻性且引進了挖鑿差異(gouging difference)。例如,這些非對稱形狀時常使得在所移除的核心下方之下伏材料層的部分以及核心之間的間隙下方之下伏材料層的部分之間形成挖鑿差異。此劣化發生在例如當間隔物形成的圖案經由蝕刻製程被轉移到一下伏層(諸如一硬遮罩層)時。
圖1(先前技藝)提供了對於SAMP製程中由於間隔物104(其在心軸抽除製程之後餘留)的頂部的非對稱形狀而在後續的圖案轉移中造成蝕刻均勻性的劣化之習知方案之一示例性實施例100的剖視圖。間隔物104的非對稱形狀與造成之蝕刻均勻性的劣化可能引進線邊緣粗糙度(line edge roughness, LER)和線寬粗糙度(line width roughness, LWR)參數的惡化。由於下伏層內之挖鑿深度的惡化,非對稱的間隔物形狀亦傾向於造成間距飄移(pitch walking)。
參照示例性實施例100,已經使用一心軸抽除製程將核心從間隔物104之間移除。間隔物104是先前地被形成在基板102上,其中該基板102可包括一或更多材料層。心軸抽除製程留下核心位置106以及與間隔物104相關的空間位置108。圖上顯示一示例性核心位置106位在虛線110的左邊,及一示例性空間位置108位在虛線110的右邊。如圖所示,在心軸抽除製程之後餘留之間隔物104具有非對稱的頂部。尤其,在鄰近核心位置106(其中核心已經被抽除)之側上的間隔物104的邊緣是比在鄰近空間位置108(其中核心之間具有間隙)之側上的間隔物104的邊緣更高的。
在後續的蝕刻處理(諸如電漿蝕刻處理)期間,與蝕刻化學品相關的粒子112被輸送到基板102。然而,這些粒子112到基板102的輸送將受到間隔物104的非對稱頂部所影響。例如,被輸送到空間位置108的粒子112將被再導引到間隔物104之間的區域內,並且被輸送到核心位置106的粒子將被再導引遠離間隔物104之間的區域。因此,與空間位置108相關之基板102內的一下伏層的表面將會比與核心位置106相關之一下伏層的表面更快地被蝕刻。此種不均勻的蝕刻將導致非期望之蝕刻輪廓的變化。
圖2A-2D(先前技藝)提供在習知方案中非對稱性間隔物導致缺乏蝕刻均勻性之一示例性實施例的剖視圖。
圖2A(先前技藝)提供在已經沉積一間隔物材料層204在核心202上方之後之一示例性實施例200的剖視圖。核心202先前地被形成在基板102上方,其中該基板102可包括一或更多材料層。間隔物材料層204可為氧化物層(SiO2 )、氮化物層(SiN)、及/或其他被形成在核心202上方的保護材料層。對於一示例性實施例,使用原子層沉積(ALD)及/或其他沉積技術來沉積間隔物材料層204。核心202可被形成為一有機平坦化層(organic planarization layer, OPL)、一非晶矽層、及/或另一材料層。
圖2B(先前技藝)提供在已經執行一回蝕製程之後之一示例性實施例210的剖視圖。例如,執行一回蝕製程以蝕刻間隔物材料層204,並沿著核心202的側壁形成間隔物104。該回蝕製程可為例如一電漿蝕刻製程。
圖2C(先前技藝)提供在已經執行一心軸抽除製程之後之一示例性實施例220的剖視圖。如圖所示,該心軸抽除製程,諸如當核心202是由OPL來形成時的灰化製程(ash process),是用以移除圖2B(先前技藝)所示的核心202。在此心軸抽除製程之後,具有非對稱頂部的間隔物104餘留。尤其,在鄰近核心位置106(其中核心202被抽除)之側上的間隔物104的邊緣是比鄰近空間位置108(其中核心202之間具有間隙,如圖2B(先前技藝)所示)之側上的間隔物104的邊緣更高的。
圖2D(先前技藝)提供在已經施加一蝕刻製程以將間隔物104的圖案轉移到間隔物104之間的基板102之後之一示例性實施例230的剖視圖。間隔物104的非對稱形狀在基板102內的下伏材料層中造成蝕刻均勻性的劣化及不均勻的挖鑿,如關於圖1(先前技藝)所描述者。如圖2D(先前技藝)所示,和與空間位置108相關的表面比較,蝕刻均勻性的差異使得與核心位置106相關的表面具有不同之所產生的蝕刻位準。因此,蝕刻均勻性被劣化了,造成如上所述之各種潛在的問題。
在此描述實施例而將間隔物輪廓重塑以改善間隔物均勻性,並藉此改善在與自對準多重圖案化(SAMP)製程相關的圖案轉移期間的蝕刻均勻性。該重塑是藉由沉積額外間隔物材料到鄰近核心之間隔物的角落及/或覆蓋核心之間隔物材料層(其已形成作為例如SAMP製程的一部分)的角落。對於一示例性實施例,諸如傾斜物理氣相沉積(PVD)製程的一或更多定向沉積製程用以將間隔物輪廓重塑。此間隔物輪廓的重塑容許對稱間隔物被形成,藉此改善後續圖案轉移製程期間的蝕刻均勻性。亦可實施不同的或額外的特徵、變化、及實施例,並且亦可利用相關的系統與方法。
對於一實施例,揭示一種將間隔物輪廓重塑的方法,其包括:形成核心在用於一微電子工件之一基板的一材料層上;形成一間隔物材料層於該等核心上;及藉由使用一或更多定向沉積製程以沉積額外間隔物材料、以及使用一或更多蝕刻製程步驟來將該間隔物材料層重塑,以形成鄰近該等核心的對稱間隔物。
在額外實施例中,該等對稱間隔物是被形成為作為一自對準多重圖案化(SAMP)製程的一部分。在進一步實施例中,該等對稱間隔物是藉由以下步驟來形成:以該一或更多定向沉積製程來沉積額外間隔物材料到間隔物材料層的角落,其中在該間隔物材料層的角落處該間隔物材料層覆蓋該等核心的角落;及以該一或更多蝕刻製程來蝕刻該間隔物材料層與該額外間隔物材料,以餘留鄰近該等核心的該等對稱間隔物。在又進一步實施例中,該間隔物材料層的該等角落是圓滑的角落。
在額外實施例中,該等對稱間隔物是藉由以下步驟來形成:蝕刻該間隔物材料層以形成鄰近該等核心的非對稱間隔物;以該一或更多定向沉積製程來沉積額外間隔物材料到該等間隔物的角落;及以該一或更多蝕刻製程來蝕刻該額外間隔物材料,以餘留鄰近該等核心的該等對稱間隔物。在又進一步實施例中,該等非對稱間隔物的該等角落具有圓滑的角落。
在額外實施例中,該方法包括至少部分地使用一平坦化製程以形成該等對稱間隔物。在進一步實施例中,該間隔物材料層與該額外間隔物材料是一共用材料。在又進一步實施例中,該間隔物材料層與該額外間隔物材料是不同的材料。
在額外實施例中,該一或更多定向沉積製程包括一或更多傾斜物理氣相沉積(PVD)製程。在進一步實施例中,該一或更多傾斜PVD製程以30度至60度的一角度來施加該額外間隔物材料。在進一步實施例中,該一或更多傾斜PVD製程以45度的一角度來施加該額外間隔物材料。
在額外實施例中,該一或更多傾斜PVD製程用以在兩個不同的方向上同時地沉積額外間隔物材料。在進一步實施例中,一第一組之一或更多傾斜PVD製程用以在一第一方向上沉積額外間隔物材料,及一第二組之一或更多傾斜PVD製程用以在一第二方向上沉積額外間隔物材料。在又進一步實施例中,來自該第一組的傾斜PVD製程與來自該第二組的傾斜PVD製程交替。
在額外實施例中,使用複數傾斜物理氣相沉積(PVD)製程,該等傾斜PVD製程具有一相同的製程化學品、一不同的製程化學品、或其組合之至少一者。在進一步實施例中,使用複數傾斜物理氣相沉積(PVD)製程,該等傾斜PVD製程具有一相同的標靶材料、一不同的標靶材料、或其組合之至少一者。
在額外實施例中,該方法亦包括移除該等核心以餘留該等對稱間隔物。在進一步實施例中,該方法包括將該等對稱間隔物的一圖案轉移到該材料層。在又進一步實施例中,在該圖案的轉移時達到蝕刻均勻性的一目標位準。
在額外實施例中,該間隔物材料層包括一氧化物或一氮化物的至少一者,及該額外間隔物材料包括一氧化物或一氮化物的至少一者。在進一步實施例中,該等核心從一有機平坦層或一非晶矽層的至少一者來形成。在又進一步實施例中,使用原子層沉積來形成該間隔物材料層。
亦可實施不同的或額外的特徵、變化、及實施例,並且亦可利用相關的系統與方法。
如在此所述,對於微電子工件的製造,藉由使用一或更多定向沉積製程(directional deposition process)以額外間隔物材料來重塑間隔物輪廓可改善蝕刻均勻性。實施例包括沉積額外間隔物材料到鄰近核心之間隔物的角落、到覆蓋作為SAMP製程的部分的核心之間隔物材料層的角落、及/或其他使用定向沉積製程將間隔物輪廓重塑的實施方式。對於一實施例,該一或更多定向沉積製程包括用以沉積額外間隔物材料的傾斜物理氣相沉積(PVD)製程。一旦將間隔物輪廓重塑了,執行一回蝕製程以回蝕該間隔物材料層及/或該額外間隔物材料,以便餘留鄰近核心的對稱間隔物。在形成對稱間隔物時,亦可使用一平坦化製程。在抽除核心之後,這些對稱間隔物用以將圖案轉移到下伏層,而不會遭受習知方案所經歷的蝕刻均勻性劣化。在此所述的重塑實施例可在轉移到一或更多下伏材料層的此圖案轉移中達到蝕刻均勻性的目標位準及/或挖鑿的目標位準。當仍利用在此所述的製程技術時,亦可以達到其他的優點和實施方式。
圖3A-3F提供重塑間隔物材料層以減少或消除習知方案所遭受的蝕刻均勻性的劣化之一示例性實施例的剖視圖。對於此示例性實施例,藉由使用一或更多定向沉積製程(諸如傾斜PVD製程)以沉積額外間隔物材料到間隔物材料層的角落來重塑間隔物材料層。此重塑接著容許對稱間隔物的形成,藉此減少或消除習知方案所遭受的蝕刻均勻性的劣化。
圖3A提供在一間隔物材料層204已經被形成在核心202(其中該核心202先前地被形成在基板102上方)上方之後之一示例性實施例300的剖視圖。基板102可包括一或更多材料層。間隔物材料層204可為氧化物層(SiO2 )、氮化物層(SiN)、及/或其他被形成在核心202上方的保護材料層。對於一示例性實施例,使用原子層沉積(ALD)及/或其他沉積技術來形成間隔物材料層204。核心202可從一OPL、一非晶矽層、及/或另一材料層來形成。
圖3B提供在已經執行一或更多定向沉積製程以沉積額外間隔物材料314之後之一示例性實施例310的剖視圖。此額外間隔物材料314被沉積在間隔物材料層204的角落(其覆蓋核心202之一側上的角落)上。對於實施例310,該額外間隔物材料314被沉積在間隔物材料層204的頂右角落上,如箭頭312所示。如圖所示,對於實施例310,這些額外間隔物材料314所沉積的角落可為圓滑的角落。額外間隔物材料314可為與間隔物材料層204相同的材料,或可為與間隔物材料層204不同的材料。
圖3C提供在已經執行一或更多定向沉積製程以沉積額外間隔物材料316之後之一示例性實施例315的剖視圖。此額外間隔物材料316被沉積在間隔物材料層204的角落(其覆蓋核心202之另一側上的角落)上。對於實施例315,該額外間隔物材料316被沉積在間隔物材料層204的頂左角落上,如箭頭317所示。如圖所示,對於實施例315,這些額外間隔物材料316所沉積的角落可為圓滑的角落。額外間隔物材料314/316可為例如氧化物、氮化物、及/或其他保護材料。額外間隔物材料316可為與間隔物材料層204相同的材料,或可為與間隔物材料層204不同的材料。進一步地,可使用相同的製程化學品、不同的製程化學品、或其組合來實施用以形成額外間隔物材料314/316的定向沉積製程。又進一步地,用以形成額外間隔物材料314/316的沉積製程的標靶材料可為相同的、不同的、或其組合。當仍利用在此所述的技術時,亦可實施其他變化。
應注意,在圖3B-C中,圖上顯示額外間隔物材料314在額外間隔物材料316之前被形成。進一步應注意,額外間隔物材料316可在額外間隔物材料314之前被形成。此外,可使用交替方向的定向沉積製程來沉積額外間隔物材料314/316。例如,第一組的定向沉積製程是使用一角度以沉積額外間隔物材料314,並且第二組的定向沉積製程是用以沉積額外間隔物材料316。此外,在多個交替的製程循環中,第一組與第二組中的沉積製程被交替以建構額外間隔物材料314/316。此交替技術有助於避免例如間隔物材料314/316其中之一在另一者被形成之前完全地被形成可能發生的遮蔽(shadowing)。
對於一示例性實施例,使用一或更多傾斜物理氣相沉積(PVD)製程作為定向沉積製程,以沉積圖3B所示的額外間隔物材料314和圖3C所示的額外間隔物材料316。例如,一或更多傾斜PVD製程可用以施加額外間隔物材料314到間隔物材料層204的頂右角落,及一或更多相似的傾斜PVD製程亦可用以施加額外間隔物材料316到間隔物材料層204的頂左角落。傾斜PVD製程能以例如30度至60度的角度且較佳為45度的角度來沉積額外間隔物材料314/316。儘管圖3B和圖3C顯示了獨立的傾斜PVD製程,應注意一或更多傾斜PVD製程亦可用以同時地施加額外間隔物材料314/316到間隔物材料層204的兩角落。進一步地,如上所述,可在多個替代的製程循環中利用交替技術而使用交替方向的傾斜PVD製程,以建構額外間隔物材料314/316。此外,可使用相同的製程化學品、使用不同的製程化學品、或其組合來實施傾斜PVD製程。進一步地,用以形成額外間隔物材料314/316的PVD製程的標靶材料可為相同的、不同的、或其組合。又進一步地,亦可使用其他定向沉積製程,以取代一或更多傾斜PVD製程或除了一或更多傾斜PVD製程之外。當仍利用在此所述的技術時,亦可實施其他變化。
圖3D提供在已經移除間隔物材料層204與額外間隔物材料314/316的一部份以形成鄰近核心202的對稱間隔物324之後之一示例性實施例320的剖視圖。例如,可執行一回蝕製程以回蝕該間隔物材料層204與該額外間隔物材料314/316,以便沿著核心202的側壁餘留對稱間隔物324。對於一示例性實施例,執行一平坦化製程,以平坦化該間隔物材料層204以及核心202的頂表面和額外間隔物材料314,作為對稱間隔物324的形成的一部份。亦應注意,一回蝕製程與一平坦化製程能組合地來使用。在圖3B-C提供的重塑之後,亦可使用不同的及/或額外的製程以形成對稱間隔物324。
圖3E提供在已經執行一心軸抽除製程之後之一示例性實施例330的剖視圖。如圖所示,該心軸抽除製程,諸如灰化製程(其中核心202是由OPL所形成),是用以移除圖3D所示的核心202。在此心軸抽除製程之後,對稱間隔物324餘留。尤其,在鄰近核心位置346之側(其中核心202被抽除)上的對稱間隔物324的邊緣,和在鄰近空間位置348之側(其中核心202之間具有間隙,如圖3D所示)上的對稱間隔物324的邊緣比較,具有相似的或匹配的位準。相較於習知方案,這些對稱間隔物324是均勻的,並且提供對稱的頂部。
圖3F提供在已經施加一蝕刻製程以將對稱間隔物324的圖案轉移到對稱間隔物324之間的基板102之後之一示例性實施例340的剖視圖。基板102可包括一或更多材料層,其中核心202先前地被形成在該一或更多材料層上,如圖3A所示。間隔物324的對稱形狀減輕了習知方案所產生涉及非對稱間隔物的問題,藉此改善蝕刻均勻性。尤其,如實施例340所示,改善了蝕刻均勻性,因此與核心位置346相關的表面,和與空間位置348相關的表面比較,具有相似的或匹配的蝕刻位準。因此,改善了蝕刻均勻性,藉此減少或消除習知方案所遭受的問題。進一步地,由於如在此所述之間隔物輪廓的重塑與對稱間隔物的形成,在此所述的重塑實施例可在轉移到一或更多下伏材料層的圖案轉移中達到蝕刻均勻性的目標位準及/或挖鑿的目標位準,如圖3F所示。
圖4A-4D提供將間隔物材料層重塑以減少或消除習知方案所遭受的蝕刻均勻性的劣化之一額外示例性實施例的剖視圖。對於此示例性實施例,間隔物材料層是藉由使用諸如傾斜PVD製程的一或更多定向沉積製程以沉積額外間隔物材料到間隔物的角落來重塑。此重塑接著容許對稱間隔物的形成,藉此減少或消除習知方案所遭受的蝕刻均勻性的劣化。
圖4A提供在一間隔物材料層204已經被形成在核心202(其中該核心先前地被形成在基板102上方)上方之後之一示例性實施例400的剖視圖。基板102可包括一或更多材料層。間隔物材料層204可為氧化物層(SiO2 )、氮化物層(SiN)、及/或其他被形成在核心202上方的保護材料層。對於一示例性實施例,使用原子層沉積(ALD)及/或其他沉積技術來形成間隔物材料層204。核心202可從一OPL、一非晶矽層、及/或另一材料層來形成。應注意,實施例400與圖3A的實施例300匹配。
圖4B提供在已經執行一回蝕製程之後之一示例性實施例410的剖視圖。例如,執行一回蝕製程以蝕刻圖4A所示的間隔物材料層204,並沿著核心202的側壁形成間隔物104,如圖4B中的示例性實施例410所示。該回蝕製程可為例如一電漿蝕刻製程。
圖4C提供在已經執行一或更多定向沉積製程以沉積額外間隔物材料422之後之一示例性實施例420的剖視圖。額外間隔物材料422被沉積在鄰近核心202之間隔物104的角落上。對於實施例420,這些角落是圓滑的角落。如上所述,該一或更多定向沉積製程可為一或更多傾斜PVD製程。對於如上所述的一些實施例,該一或更多傾斜PVD製程可用以在兩個不同的方向上同時地沉積額外間隔物材料,以同時地在核心的兩側上之間隔物上沉積額外間隔物材料422。對於額外的實施例,第一組之一或更多傾斜PVD製程可用以對第一組角落在第一方向上沉積額外間隔物材料422,並且第二組之一或更多傾斜PVD製程可用以對第二組角落在第二方向上沉積額外間隔物材料。亦可實施其他變化。
圖4D提供在已經蝕刻額外間隔物材料422以形成鄰近核心202的對稱間隔物324之後之一示例性實施例430的剖視圖。例如,可執行一回蝕製程以依需要回蝕該額外間隔物材料422及該間隔物材料層204,以便沿著核心202的側壁餘留對稱間隔物324。對於一示例性實施例,亦可以執行一平坦化製程以平坦化該間隔物材料層204以及核心202的頂表面和額外間隔物材料422,以便形成或促進對稱間隔物324的形成。亦應注意,一回蝕製程與一平坦化製程能組合地來使用。在圖4C提供的重塑之後,亦可使用不同的及/或額外的製程以形成對稱間隔物324。亦應注意,實施例430與圖3D的實施例320匹配,並且圖3E-F的製程可類似地用來進一步處理圖4D中的實施例430。
圖5A是藉由使用定向沉積製程來沉積額外間隔物材料以提供間隔物輪廓的重塑之一示例性實施例500的製程流程圖。在方塊502中,形成核心在用於微電子工件之基板的一材料層上。在方塊504中,形成一間隔物材料層在核心上方。在方塊506中,藉由使用一或更多定向沉積製程以沉積額外間隔物材料來將間隔物材料層重塑及使用一或更多蝕刻製程步驟,以形成鄰近核心的對稱間隔物。應注意,當仍利用在此所述的技術時,亦可使用額外的及/或不同的步驟。
圖5B是藉由使用定向沉積製程來沉積額外間隔物材料到間隔物材料層的角落以提供間隔物輪廓的重塑之一示例性實施例510的製程流程圖。方塊502和504是與圖5A中的方塊502和504相同的。對於方塊502,形成核心在用於微電子工件之基板的一材料層上。對於方塊504,形成一間隔物材料層在核心上方。對於實施例510,對稱間隔物被形成在方塊512和514中。對於方塊512,使用一或更多定向沉積製程來沉積額外間隔物材料到間隔物材料層的角落(其中在間隔物材料層的角落處間隔物材料層覆蓋核心的角落)。在方塊514中,移除間隔物材料層與額外間隔物材料的一部分,以餘留鄰近核心的對稱間隔物。應注意,當仍利用在此所述的技術時,亦可使用額外的及/或不同的步驟。
圖5C是藉由使用定向沉積製程來沉積額外間隔物材料到間隔物的角落以提供間隔物輪廓的重塑之一示例性實施例520的製程流程圖。方塊502和504是與圖5A中的方塊502和504相同的。對於方塊502,形成核心在用於微電子工件之基板的一材料層上。對於方塊504,形成一間隔物材料層在核心上方。對於實施例520,對稱間隔物被形成在方塊522、524和526中。在方塊522中,蝕刻間隔物材料層以形成鄰近核心的非對稱間隔物。在方塊524中,使用一或更多定向沉積製程來沉積額外間隔物材料到間隔物的角落。在方塊526中,蝕刻額外間隔物材料,以餘留鄰近核心的對稱間隔物。應注意,當仍利用在此所述的技術時,亦可使用額外的及/或不同的步驟。
應注意,一或更多沉積製程可用以形成在此所述的材料層。例如,可使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、及/或其他沉積製程來實施一或更多沉積。對於電漿沉積製程,可在各種壓力、功率、流量、及溫度條件下使用一前驅物氣體混合物,其中該前驅物氣體混合物包括但不限於碳氫化合物、氟碳化合物、或含氮碳氫化合物與一或更多稀釋氣體(例如,氬氣、氮氣等)的組合。可使用光學微影、極紫外線(EUV)微影、及/或其他微影製程對光阻(PR)層實施微影製程。可使用電漿蝕刻製程、放電蝕刻製程、及/或其他期望的蝕刻製程來實施蝕刻製程。例如,可使用含氟碳化合物、氧、氮、氫、氬、及/或其他氣體的電漿來實施電漿蝕刻製程。此外,可控制製程步驟的操作變數,以確保在介層窗(via)形成期間可達到介層窗的臨界尺寸(critical dimension)目標參數。該等操作變數可包括例如腔室溫度、腔室壓力、氣體流速、在產生電漿時施加到電極組件的頻率與/或功率、及/或其他用於處理步驟的操作變數。當仍利用在此所述的技術時,亦可實施變化。
應注意,本說明書對「一實施例」或「實施例」的參照意指涉及該實施例所描述之一特定的特徵、結構、材料、或特性被包括在本發明的至少一實施例中,但不表示其存在於每一實施例中。因此,在本說明書各處之用語「在一實施例中」或「在實施例中」的出現不必然是意指本發明的相同實施例。又,可在一或更多實施例中以任何適當的方式來組合特定特徵、結構、材料、或特性。在其他實施例中,可包括各種額外的層及/或結構,可省略所描述的特徵。
在此使用的「微電子工件」大致上意指根據本發明所處理的物件。微電子工件可包括一元件(尤其是半導體或其他電子元件)的任何材料部分或結構,並且可為例如一基底基板結構(諸如一半導體基板,或一基底基板結構之上或上方的一層(諸如一薄膜))。因此,工件不應被限制在任何特定的基底結構、下伏層或上覆層,無論其被圖案化或未被圖案化,而是應被解讀成包括任何這樣的層或基底結構及層與/或基底結構的任何組合。以下的敘述是參照特定類型的基板,但這僅是為了說明目的且不會構成限制。
在此使用的用語「基板」意指且包括一基底材料或構造,其中材料被形成在該基底材料或構造。可瞭解的是基板可包括單一材料、複數不同材料的層、具有不同材料或不同構造在其中的一層或多個層、或上述組合。例如,基板可為一半導體基板、位於一支撐結構上的一基底半導體層、一金屬電極、或具有一或更多層、結構或區域被形成在其上的一半導體基板。基板可為傳統的矽基板,或其他包含半導體材料層的塊體基板。如在此所使用,用語「塊體基板」意指且包括不僅矽晶圓,亦包括矽覆絕緣物(silicon-on-insulator, SOI)基板,諸如矽覆藍寶石(silicon-on-sapphire, SOS)基板、及矽覆玻璃(silicon-on-glass, SOG)基板,位於基底半導體基礎上的磊晶矽層,及其他半導體或光電材料,諸如矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可被摻雜或未被摻雜。
用以處理微電子工件的系統與方法被描述在各種實施例中。熟悉此相關技藝的人士可瞭解的是各種實施例能在不具有一或更多特定細節下或在具有其他替代與/或額外方法、材料或部件下來實施。在其他情況中,已知的結構、材料、或操作並未詳細地被顯示或描述,以為了避免模糊化本發明之各種實施例的態樣。相似地,為了說明目的,公開了特定數量、材料及組態,以為了提供本發明的完整瞭解。儘管如此,本發明可在不具有特定細節下來實施。又,可瞭解的是圖上顯示的各種實施例是示例性表示,並且不必然按比例繪製。
所描述的系統與方法之進一步變更和替代實施例對於熟悉此技藝之人士在參照本敘述是顯見的。因此,可瞭解的是所描述的系統與方法不會受到這些示例性配置所限制。可瞭解的是在此所示的系統與方法之形式是用來作為示例性實施例。可在實施方式中進行各種變化。因此,儘管在此是參照特定實施例來描述本發明,可在不脫離本發明的範疇下進行各種變更與變化。因此,說明書與圖式應被視為示例性的,而不是限制性的,並且這樣的變更應被包括在本發明的範疇內。又,任何在此所述關於特定實施例的好處、優點、或解決方式不應被解讀成申請專利範圍中任一或全部請求項之重要的、需要的、或必要的特徵或元件。
100:示例性實施例 102:基板 104:間隔物 106:核心位置 108:空間位置 110:虛線 112:粒子 200:示例性實施例 202:核心 204:間隔物材料層 210:示例性實施例 220:示例性實施例 230:示例性實施例 300:示例性實施例 310:示例性實施例 312:箭頭 314:額外間隔物材料 315:示例性實施例 316:額外間隔物材料 317:箭頭 320:示例性實施例 324:對稱間隔物 330:示例性實施例 340:示例性實施例 346:核心位置 348:空間位置 400:示例性實施例 410:示例性實施例 420:示例性實施例 422:額外間隔物材料 430:示例性實施例 500:示例性實施例 502~506:方塊 510:示例性實施例 512~514:方塊 520:示例性實施例 522~526:方塊
可藉由參照以下說明併同附圖,其中相似的元件代表符號表示相似的特徵,來獲得本發明的更完整瞭解及其優點。然而,應注意,附圖僅繪示所揭示概念的示例性實施例,並且因此不應被視為會對範疇構成限制,所揭示的概念可容許其他等效的實施例。
圖1(先前技藝)提供了對於SAMP製程中由於間隔物104(其在心軸抽除製程之後餘留)的頂部的非對稱形狀而在後續的圖案轉移中造成蝕刻均勻性的劣化之習知方案之一示例性實施例的剖視圖。
圖2A-2D(先前技藝)提供在習知方案中非對稱性間隔物導致缺乏蝕刻均勻性之一示例性實施例的剖視圖。
圖3A-3F提供藉由使用定向沉積製程以沉積額外間隔物材料到間隔物材料層的角落來重塑間隔物材料層而藉此減少或消除習知方案所遭受的蝕刻均勻性的劣化之一示例性實施例的剖視圖。
圖4A-4D提供藉由使用定向沉積製程以沉積額外間隔物材料到間隔物的角落來重塑間隔物材料層而藉此減少或消除習知方案所遭受的蝕刻均勻性的劣化之一額外示例性實施例的剖視圖。
圖5A是藉由使用定向沉積製程來沉積額外間隔物材料以提供間隔物輪廓的重塑之一示例性實施例的製程流程圖。
圖5B是藉由使用定向沉積製程來沉積額外間隔物材料到間隔物材料層的角落以提供間隔物輪廓的重塑之一示例性實施例的製程流程圖。
圖5C是藉由使用定向沉積製程來沉積額外間隔物材料到間隔物的角落以提供間隔物輪廓的重塑之一示例性實施例的製程流程圖。
500:示例性實施例
502~506:方塊

Claims (23)

  1. 一種將間隔物輪廓重塑的方法,包含: 形成複數核心在用於一微電子工件之一基板的一材料層上; 形成一間隔物材料層於該等核心上;及 藉由使用一或更多定向沉積製程以沉積額外間隔物材料以及使用一或更多蝕刻製程步驟來將該間隔物材料層重塑,以形成鄰近該等核心的複數對稱間隔物。
  2. 如請求項1之將間隔物輪廓重塑的方法,其中該等對稱間隔物是被形成為作為一自對準多重圖案化(SAMP)製程的一部分。
  3. 如請求項1之將間隔物輪廓重塑的方法,其中該等對稱間隔物是藉由以下步驟來形成: 以該一或更多定向沉積製程來沉積額外間隔物材料到該間隔物材料層的複數角落,其中在該間隔物材料層的該等角落處該間隔物材料層覆蓋該等核心的複數角落;及 以該一或更多蝕刻製程來蝕刻該間隔物材料層與該額外間隔物材料,以餘留鄰近該等核心的該等對稱間隔物。
  4. 如請求項3之將間隔物輪廓重塑的方法,其中該間隔物材料層的該等角落是圓滑的角落。
  5. 如請求項1之將間隔物輪廓重塑的方法,其中該等對稱間隔物是藉由以下步驟來形成: 蝕刻該間隔物材料層以形成鄰近該等核心的複數非對稱間隔物; 以該一或更多定向沉積製程來沉積額外間隔物材料到該等間隔物的複數角落;及 以該一或更多蝕刻製程來蝕刻該額外間隔物材料,以餘留鄰近該等核心的該等對稱間隔物。
  6. 如請求項5之將間隔物輪廓重塑的方法,其中該等非對稱間隔物的該等角落具有圓滑的角落。
  7. 如請求項1之將間隔物輪廓重塑的方法,更包含至少部分地使用一平坦化製程以形成該等對稱間隔物。
  8. 如請求項1之將間隔物輪廓重塑的方法,其中該間隔物材料層與該額外間隔物材料是一共用材料。
  9. 如請求項1之將間隔物輪廓重塑的方法,其中該間隔物材料層與該額外間隔物材料是不同的材料。
  10. 如請求項1之將間隔物輪廓重塑的方法,其中該一或更多定向沉積製程包含一或更多傾斜物理氣相沉積(PVD)製程。
  11. 如請求項10之將間隔物輪廓重塑的方法,其中該一或更多傾斜PVD製程以30度至60度的一角度來施加該額外間隔物材料。
  12. 如請求項10之將間隔物輪廓重塑的方法,其中該一或更多傾斜PVD製程以45度的一角度來施加該額外間隔物材料。
  13. 如請求項10之將間隔物輪廓重塑的方法,其中該一或更多傾斜PVD製程用以在兩個不同的方向上同時地沉積額外間隔物材料。
  14. 如請求項10之將間隔物輪廓重塑的方法,其中一第一組之一或更多傾斜PVD製程用以在一第一方向上沉積額外間隔物材料,及一第二組之一或更多傾斜PVD製程用以在一第二方向上沉積額外間隔物材料。
  15. 如請求項14之將間隔物輪廓重塑的方法,其中來自該第一組的傾斜PVD製程與來自該第二組的傾斜PVD製程交替。
  16. 如請求項10之將間隔物輪廓重塑的方法,其中使用複數傾斜物理氣相沉積(PVD)製程,該等傾斜PVD製程具有一相同的製程化學品、一不同的製程化學品、或其組合之至少一者。
  17. 如請求項10之將間隔物輪廓重塑的方法,其中使用複數傾斜物理氣相沉積(PVD)製程,該等傾斜PVD製程具有一相同的標靶材料、一不同的標靶材料、或其組合之至少一者。
  18. 如請求項1之將間隔物輪廓重塑的方法,更包含移除該等核心以餘留該等對稱間隔物。
  19. 如請求項18之將間隔物輪廓重塑的方法,更包含將該等對稱間隔物的一圖案轉移到該材料層。
  20. 如請求項19之將間隔物輪廓重塑的方法,其中在該圖案的該轉移時達到蝕刻均勻性的一目標位準。
  21. 如請求項1之將間隔物輪廓重塑的方法,其中該間隔物材料層包含一氧化物或一氮化物的至少一者,及其中該額外間隔物材料包含一氧化物或一氮化物的至少一者。
  22. 如請求項1之將間隔物輪廓重塑的方法,其中該等核心從一有機平坦層或一非晶矽層的至少一者來形成。
  23. 如請求項1之將間隔物輪廓重塑的方法,其中使用原子層沉積來形成該間隔物材料層。
TW108144835A 2018-12-12 2019-12-09 在自對準多重圖案化中間隔物輪廓之重塑方法 TW202101577A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862778794P 2018-12-12 2018-12-12
US62/778,794 2018-12-12
US16/299,623 2019-03-12
US16/299,623 US11551930B2 (en) 2018-12-12 2019-03-12 Methods to reshape spacer profiles in self-aligned multiple patterning

Publications (1)

Publication Number Publication Date
TW202101577A true TW202101577A (zh) 2021-01-01

Family

ID=71072865

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108144835A TW202101577A (zh) 2018-12-12 2019-12-09 在自對準多重圖案化中間隔物輪廓之重塑方法

Country Status (5)

Country Link
US (1) US11551930B2 (zh)
JP (1) JP7407583B2 (zh)
KR (1) KR20200072423A (zh)
CN (1) CN111312588A (zh)
TW (1) TW202101577A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380579B2 (en) * 2020-05-01 2022-07-05 Tokyo Electron Limited Method and process using dual memorization layer for multi-color spacer patterning
JP7418306B2 (ja) 2020-08-11 2024-01-19 東京エレクトロン株式会社 パターン形成方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6842048B2 (en) * 2002-11-22 2005-01-11 Advanced Micro Devices, Inc. Two transistor NOR device
JP4914573B2 (ja) 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
US9269590B2 (en) * 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9406522B2 (en) * 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
WO2016057801A1 (en) * 2014-10-08 2016-04-14 Applied Materials, Inc. Precise critical dimension control using bilayer ald
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10431661B2 (en) * 2015-12-23 2019-10-01 Intel Corporation Transistor with inner-gate spacer
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
US10002762B2 (en) * 2016-09-09 2018-06-19 International Business Machines Corporation Multi-angled deposition and masking for custom spacer trim and selected spacer removal
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10707208B2 (en) * 2017-02-27 2020-07-07 International Business Machines Corporation Fabrication of fin field effect transistors utilizing different fin channel materials while maintaining consistent fin widths

Also Published As

Publication number Publication date
US20200194261A1 (en) 2020-06-18
KR20200072423A (ko) 2020-06-22
JP2020096184A (ja) 2020-06-18
US11551930B2 (en) 2023-01-10
CN111312588A (zh) 2020-06-19
JP7407583B2 (ja) 2024-01-04

Similar Documents

Publication Publication Date Title
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
US9607883B2 (en) Trench formation using rounded hard mask
KR20190119176A (ko) 플라스마 처리 방법 및 플라스마 처리 장치
TWI416609B (zh) 電漿處理系統之用於將遮罩底切及凹口減至最少的方法
CN108206131B (zh) 半导体结构以及半导体结构的形成方法
US11417526B2 (en) Multiple patterning processes
US9947535B2 (en) Trench formation using horn shaped spacer
US20150087150A1 (en) Semiconductor structures and fabrication method thereof
JP2008210909A (ja) 半導体装置の製造方法
KR20190038945A (ko) 실리콘 질화물의 준원자 층 에칭 방법
WO2018044727A1 (en) Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
TW202101577A (zh) 在自對準多重圖案化中間隔物輪廓之重塑方法
US8883584B2 (en) Method of manufacturing semiconductor device with well etched spacer
TW202029334A (zh) 半導體裝置之製造方法及系統
KR20190132948A (ko) 기판 처리 방법 및 기판 처리 장치
TW202018799A (zh) 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊
TW202016990A (zh) 針對多重圖案化製程使用熱分解材料之間隔物整形方法
US8728948B2 (en) Method of manufacturing semiconductor device
TW202121504A (zh) 用於自對準多重圖案化的芯材覆蓋的方法和系統
CN104979204B (zh) 鳍式场效应晶体管的形成方法
TW202121527A (zh) 以多色選擇性非等向性蝕刻相鄰線的方法
KR20210001962A (ko) 기판 처리 방법 및 기판 처리 장치
TWI747931B (zh) 成膜方法
JP5171091B2 (ja) プラズマ処理方法