TW202018799A - 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊 - Google Patents

用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊 Download PDF

Info

Publication number
TW202018799A
TW202018799A TW108124505A TW108124505A TW202018799A TW 202018799 A TW202018799 A TW 202018799A TW 108124505 A TW108124505 A TW 108124505A TW 108124505 A TW108124505 A TW 108124505A TW 202018799 A TW202018799 A TW 202018799A
Authority
TW
Taiwan
Prior art keywords
ald
processing
layer
liner
item
Prior art date
Application number
TW108124505A
Other languages
English (en)
Other versions
TWI784183B (zh
Inventor
孫興華
盧彥典
安潔莉 萊利
大衛 L 歐米拉
傑佛瑞 史密斯
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202018799A publication Critical patent/TW202018799A/zh
Application granted granted Critical
Publication of TWI784183B publication Critical patent/TWI784183B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

揭示了藉由形成原子層沉積(ALD)襯墊以在後續蝕刻製程期間保護貫孔側壁來提供改善的貫孔輪廓控制的方法。可將ALD襯墊用於BEOL蝕刻製程以及完全自對準貫孔(FSAV)製程以及/或者其他製程。針對一個實施例,將ALD襯墊用作貫孔的保護或犧牲層以降低在多層貫孔或溝槽蝕刻製程期間的損壞。ALD襯墊亦可在製程流程內不同的點進行沉積,舉例而言,在有機平坦化層的移除之前或之後。ALD襯墊的使用俾使貫孔臨界尺寸(CD)限縮而仍控制各種製程應用的貫孔輪廓,各種製程應用包含:雙鑲嵌製程以及FSAV製程。此外,ALD襯墊的使用改善了貫孔或空孔形成的整體CD控制以及裝置良率及可靠度。

Description

用於貫孔輪廓控制及相關應用的原子層沉積(ALD)襯墊
相關申請案:本申請案主張以下共同待決之臨時申請案的優先權:於2018年7月11日提交之美國臨時專利申請案第62/696,540號,發明名稱為「ALD (ATOMIC LAYER DEPOSITION) LINER FOR VIA PROFILE CONTROL AND RELATED APPLICATIONS」;以及於2018年11月15日提交之美國臨時專利申請案第62/767,805號,發明名稱為「ALD (ATOMIC LAYER DEPOSITION) LINER FOR VIA PROFILE CONTROL AND RELATED APPLICATIONS」,上述全部內容通過引用於此納入。
本揭露相關於微電子工件製造的方法,包含圖案化結構在微電子工件上的形成。
在微電子工件中裝置的形成一般涉及相關於在基板上將數層材料形成、圖案化、及移除的一系列製造技術。為符合當今及下個世代之半導體裝置的物理及電子規格,需要製程流程將特徵部尺寸縮小而仍維持各圖案化製程之結構的完整性。
某些製程形成貫孔及溝槽,例如,作為後段產線(BEOL)的蝕刻製程以及完全自對準貫孔(FSAV)蝕刻製程的一部分。舉例而言,在BEOL蝕刻製程期間,貫孔的輪廓控制對於使用這些貫孔形成的高品質層間連結而言相當重要。改良的貫孔輪廓可改善晶片良率、效能、可靠度、以及/或者其他參數。然而,貫孔及溝槽蝕刻製程通常以針對整體(all-in-one)製程流程的一個配方來執行。舉例而言,一個整體製程流程為溝槽優先金屬硬遮罩(TFMHM)雙鑲嵌製程流程。FSAV 蝕刻製程遭遇相似的問題。再者,隨著節點尺寸持續微縮,貫孔輪廓控制已變得更加困難。舉例而言,由於高深寬比,在貫孔的持續限縮的同時控制貫孔輪廓變得非常困難。此外,因為不同堆疊膜具有不同蝕刻行為以及/或者蝕刻率,因此在形成筆直貫孔輪廓及在基板間合適的選擇性之間存在取捨。因此,隨著特徵部尺寸已持續縮減,已變得更加難以控制貫孔輪廓,且降低的輪廓控制已導致待製造的微電子工件的良率、效能、可靠度、及其他參數的降低。
圖1A-D(先前技術)及圖2A-C(先前技術)提供習知BEOL及FSAV製程的製程流程圖,其中隨著臨界尺寸(CD)縮小使貫孔輪廓控制困難。在貫孔之輪廓控制的困難已導致在待製造的微電子工件中的各種問題。
首先參見圖1A-D(先前技術),顯示用於傳統雙鑲嵌蝕刻流程的BEOL製程。如所示,此傳統BEOL整合使用雙鑲嵌整體製程流程,這代表在貫孔蝕刻及溝槽蝕刻製程步驟兩者,貫孔都將暴露於蝕刻製程(例如電漿蝕刻製程)。這些蝕刻步驟可為,例如,電漿蝕刻製程。
圖1A(先前技術)為範例實施例100的剖面圖,範例實施例100係在已於先前形成在基板102上之其他層上的光阻層122中形成開口124之後。對於實施例100,這些層包含在基板102上的介電層104及金屬接觸窗106。在包含金屬接觸窗106的圖案化金屬層上形成蝕刻停止層(ESL)108及諸如低介電常數(低k)層的介電層110。ESL 108可為,例如,氮化矽、氧化矽、以及/或者其他所欲之蝕刻停止材料。在介電層110上形成硬遮罩層112。在硬遮罩層112的頂部上形成圖案化硬遮罩層116及圖案化金屬硬遮罩層114。在圖案化層114/116上形成有機平坦化層118。在有機平坦化層118上形成另一硬遮罩層120,且光阻層122形成於硬遮罩層120上。如所示,開口124已在光阻層122中形成。亦值得注意的是實施例100顯示的圖案化層為表徵性範例,且亦可使用不同的以及/或者額外的層及結構。
圖1B(先前技術)為範例實施例130的剖面圖,範例實施例130係在已將貫孔132形成穿通該等層下至蝕刻停止層108之後。亦已將光阻層122及硬遮罩層120移除。舉例而言,多個諸如電漿蝕刻製程的蝕刻製程可用以形成貫孔132並用以移除光阻層122及硬遮罩層120。值得注意的是,在貫孔132內的蝕刻停止層(ESL)108在貫孔蝕刻製程期間已受部分蝕刻。
圖1C(先前技術)為範例實施例140的剖面圖,範例實施例140係在已將有機平坦化層118移除之後。舉例而言,可用灰化製程以及/或者有機剝離製程來將有機平坦化層118移除。
圖1D(先前技術)為範例實施例150的剖面圖,範例實施例150係在一或多個蝕刻製程已形成溝槽152且已更進一步如箭頭154所指出地將圖1C中的貫孔132蝕刻下至金屬接觸窗106之後。如所示,如箭頭156所指出的,此製程流程一般損壞介電層110。此損壞導致非所欲之貫孔輪廓以及難以控制的切角輪廓。特別是,此傳統BEOL製程創造出非所欲之大貫孔CD及不良的切角角度。
圖2A-C(先前技術)及圖3A-C(先前技術)分別顯示完全自對準貫孔(FSAV)製程的理想及真實製程流程。此FSAV製程將理想地製造相較於圖1A-D(先前技術)之雙鑲嵌製程而言較佳的貫孔輪廓以及較佳的可靠度。相較於圖1A-D(先前技術)的雙鑲嵌製程,可通過FSAV製程潛在地達成改良,FSAV製程中,底部貫孔臨界尺寸(CD)係受如圖2A-C中理想FSAV製程所示的金屬凹陷結構所侷限。然而,對於真實實施方式,此FSAV製程針對貫孔以及/或者溝槽的形成需要非常高選擇性的電漿蝕刻製程,而這難以達成。因此,如圖3A-C(先前技術)中所示之實際的溝槽形成導致了FSAV特徵部的損失及若非如此可使用FSAV製程達成之達到改良良率及可靠度的困難性。
現在參見圖2A-C(先前技術),顯示了完全自對準貫孔(FSAV)製程的理想製程流程的範例實施例,其中以金屬硬遮罩(MHM)層來界定並形成貫孔。
圖2A(先前技術)為理想製程之範例實施例200的剖面圖,範例實施例200係在已形成貫孔215並在蝕刻停止層(ESL)208內留下期望FSAV角特徵部222之後。已將貫孔215形成穿通先前形成在基板202上之層。對於實施例200,先前形成的層包含在基板102上的介電層204及圖案化且凹陷的金屬層,該金屬層包含金屬接觸窗206。在金屬接觸窗206上形成蝕刻停止層(ESL)208及諸如低介電常數(低k)層的介電層210。ESL 208可為,例如,氮化矽、氧化矽、以及/或者另一所欲之蝕刻停止材料。在介電層210上形成硬遮罩層212。在硬遮罩層212的頂部上形成圖案化硬遮罩層216及圖案化金屬硬遮罩層214。在圖案化層214/216上形成有機平坦化層218。亦值得注意的是實施例200顯示的圖案化層為表徵性範例,且亦可使用不同的以及/或者額外的層及結構。
圖2B(先前技術)為範例實施例220的剖面圖,實施例220係在一或多個蝕刻製程已更進一步將貫孔215蝕刻下至金屬接觸窗206之後。蝕刻製程可以是,例如,電漿蝕刻製程。如此理想製程所示,在此更進一步的溝槽蝕刻製程以將貫孔215開通下至金屬接觸窗206之後,期望FSAV角特徵部222仍留存。
圖2C(先前技術)為範例實施例230的剖面圖,實施例230係在金屬化製程已將額外金屬層232添加到金屬接觸窗206上方之後。在金屬化製程之後,金屬層232提供電性連接至金屬接觸窗206。如此理想製程所示,在此金屬化製程之後期望FSAV角特徵部222仍留存,且這些理想FSAV角特徵部222期望有助於微電子工件待形成之電子裝置的可靠操作。
圖3A-C(先前技術)提供完全自對準貫孔(FSAV)製程的真實製程流程,其中貫孔係由金屬硬遮罩(MHM)層所界定並形成。然而,針對真實製程流程,諸如電漿蝕刻製程的蝕刻製程可損壞或失去自對準特徵部,諸如圖2A-2C(先前技術)中的期望FSAV角特徵部222。此損壞可導致通過真實貫孔形成製程所形成之貫孔的偏離目標的CD,使得未達成目標CD位準。貫孔形成的這些偏離目標CD最終可因為短路造成裝置良率的顯著降低,且可在待製造之微電子工件的最終裝置造成可靠度下降。
圖3A為真實先前製程之範例實施例300的剖面圖,實施例300係在已將貫孔315形成下至蝕刻停止層(ESL)208之後。實施例300其他方面與圖2A(先前技術)中的用於理想貫孔形成製程的實施例200相同,且包含在基板202上相同的先前形成的層。再次值得注意的是,實施例300顯示的圖案化層為表徵性範例,且亦可使用不同的以及/或者額外的層及結構。
圖3B(先前技術)為範例實施例320的剖面圖,實施例320係在一或多個蝕刻製程已更進一步將貫孔315蝕刻下至金屬接觸窗206之後。如此真實先前製程所示,在此更進一步的溝槽蝕刻製程以將貫孔315更進一步開通之後,FSAV角特徵部322受損。舉例而言,它們可由更進一步的溝槽蝕刻製程有效地移除,該溝槽蝕刻製程可以為電漿蝕刻製程。這些受損的角特徵部322與圖2B(先前技術)中所示之期望FSAV角特徵部222形成對比。
圖3C(先前技術)為範例實施例330的剖面圖,實施例330係在金屬化製程已將額外金屬層232添加到金屬接觸窗206上方之後。在金屬化製程之後,金屬層232提供電性連接至金屬接觸窗206。如此真實先前製程所示,在此金屬化製程後受損的角特徵部322仍留存,且這些受損的角特徵部322潛在地降低微電子工件待形成之電子裝置的的良率及可靠度。
此處所述之實施例藉由形成原子層沉積(ALD)襯墊以在後續蝕刻製程期間保護貫孔側壁來提供改善的貫孔輪廓控制。舉例而言,這樣的ALD襯墊可用於包含BEOL介電質蝕刻製程的BEOL蝕刻製程、以及用於完全自對準貫孔(FSAV)製程。針對一個實施例,將ALD襯墊用作貫孔的保護或犧牲層以減少在三層有機剝離製程以及/或者灰化及溝槽蝕刻製程期間的損壞。ALD襯墊的使用協助允許貫孔CD的限縮同時仍控制各製程應用的貫孔輪廓,諸如舉例而言,雙鑲嵌製程以及FSAV製程。此外,ALD襯墊的使用允許貫孔或空孔形成之整體CD的改善控制,舉例來說,在各種製程期間頂部CD與底部CD之間差異的控制。亦可實行不同的或額外的特徵部、變化、以及實施例,且亦可使用相關的系統及方法。
針對一個實施例,揭示一種處理微電子工件的方法,包含:提供用於一微電子工件的一基板,該基板具有多層;將貫孔在該多層內開通;在該等貫孔的側壁上形成一原子層沉積(ALD)襯墊;以及將該多層蝕刻,在該蝕刻步驟期間,該ALD襯墊保護該等貫孔之該等側壁。在進一步的實施例中,該ALD襯墊包括氧化矽、氮化矽、氮化鈦、氧化鈦、氮化鋁、及氧化鋁的至少其中一者。
在另外的實施例中,該蝕刻步驟包括一溝槽蝕刻。在進一步的實施例中,該等貫孔抵達在該基板之該多層內在一圖案化金屬層上方的一蝕刻停止層。在更進一步的實施例中,該圖案化金屬層包括金屬接觸窗。
在額外的實施例中,多層包含一有機層。在進一步的實施例中,該方法包含將該ALD襯墊形成於該有機層上。在進一步的實施例中,該方法包含將該有機層移除以及將該ALD襯墊回蝕以留下沿該等貫孔之該等側壁的ALD柱。在進一步的實施例中,基於該有機層的厚度或該ALD襯墊之回蝕的至少其中一者來控制該ALD柱的高度。在更進一步的實施例中,該方法亦包含在該蝕刻步驟之後將該ALD柱移除。
在額外的實施例中,該多層包括一有機層,且該方法更進一步包含在形成該ALD襯墊之前將該有機層移除。在進一步的實施例中,該移除步驟包含一灰化製程或一有機剝離製程的至少其中一者。在進一步的實施例中,該方法亦包含在該蝕刻步驟之後將該ALD襯墊移除。
在額外的實施例中,該開通步驟、該形成步驟、以及該蝕刻步驟為後段產線(BEOL)蝕刻製程的一部分。在進一步的實施例中,該BEOL蝕刻製程包括雙鑲嵌蝕刻製程。在進一步的實施例中,該ALD襯墊保護該貫孔內的一或多個角特徵部。
在額外的實施例中,該開通步驟包括一完全自對準貫孔(FSAV)製程。進一步的實施例中,該ALD襯墊保護在該等貫孔內的一或多個角特徵部。
在額外的實施例中,使用ALD襯墊達成目標貫孔輪廓。在進一步的實施例中,使用該ALD襯墊達成該等貫孔的目標臨界尺寸(CD)。在更進一步的實施例中,使用該ALD襯墊達成該等貫孔之自對準特徵部。
如此處所述,揭示方法以藉由形成原子層沉積(ALD)襯墊以在後續蝕刻製程期間保護貫孔側壁來改善貫孔輪廓控制。即使微電子工件製造的特徵部尺寸及CD持續縮減,此處所述之ALD襯墊允許改善貫孔輪廓的控制。受控制之貫孔輪廓包括,例如:貫孔CD、側壁形狀、角輪廓、以及/或者其他相關結構或特徵。亦可達成其他優點及實施方式而仍保有此處所述之製程技術的優點。
ALD襯墊可應用於各式各樣的製程,包含:如圖4A-D及圖5A-C中所示的雙鑲嵌製程流程、以及如圖6A-F及圖7A-E中所示的FSAV製程流程。如這些範例實施例所示,在部分貫孔開通製程完成之後,ALD膜作為襯墊在貫孔側壁上沉積。在後續有機層灰化/剝離製程之後,ALD襯墊留在貫孔側壁上,且在溝槽蝕刻製程期間此ALD襯墊保護角特徵部免於嚴重切斷和損壞。針對不同實施例,可藉由調整有機層的厚度來控制此ALD襯墊(例如呈柱狀),該ALD襯墊形成或塗覆在該有機層上。再者,可用ALD襯墊的回蝕製程來控制缺陷,且可控制以及/或者優化ALD襯墊以確保在溝槽蝕刻製程之後將ALD柱以及/或者其他ALD結構蝕刻掉。再者,ALD襯墊可為犧牲層,其在諸如溝槽蝕刻的後續蝕刻製程期間被蝕刻掉。這些ALD襯墊在後續蝕刻製程期間保護貫孔側壁並幫助控制貫孔輪廓,從而解決使用先前解決方案的問題。亦可實施其他變化。
值得注意的是,可使用各種材料以形成ALD襯墊,且可使用一或多個原子層沉積(ALD)製程以形成ALD襯墊。舉例而言,可使用下列材料的一或多者而透過一或多個ALD製程形成ALD襯墊:氧化矽(SiOx )、氮化矽(SiNx )、氮化鈦(TiNx )、氧化鈦(TiOx )、氮化鋁(AlN)、氧化鋁(AlO)、以及/或者其他期望材料。亦可使用其他材料以形成此處所述之ALD襯墊。
如此處所述之包含ALD襯墊之使用的處理方法提供大量優點。舉例而言,因為可將ALD襯墊輕易蝕刻掉,所以ALD襯墊可造成最小的不良副作用。此外,當ALD襯墊在完全有機剝除製程之前形成時,幾乎無或沒有溝槽效應。再者,因為只需要增加ALD襯墊沉積及移除步驟,所以在整合流程中需要最少的額外製程流程步驟。此外,ALD襯墊製程對諸如低k材料的介電材料幾乎不造成或不造成額外損壞。ALD襯墊亦改善可靠度和待製造之微電子工件形成之最終裝置的貫孔輪廓及切角角度。
現參見圖4A-D,顯示了雙鑲嵌蝕刻流程的BEOL製程,其中將ALD襯墊應用於這樣的雙鑲嵌製程流程。如所示,此BEOL整合使用雙鑲嵌整體製程流程,這表示在貫孔蝕刻及溝槽蝕刻製程步驟兩者,貫孔都將暴露於蝕刻製程(例如電漿蝕刻製程)。這些蝕刻製程可以是,例如,電漿蝕刻製程。
圖4A為範例實施例400的剖面圖,實施例400係在已將部分貫孔432形成穿通多層下至蝕刻停止層108之後。實施例400匹配圖1B(先前技術)中的實施例130。對於實施例400,先前形成的層包含在基板102上的介電層104及圖案化金屬層,該圖案化金屬層包含金屬接觸窗106。在金屬接觸窗106上形成蝕刻停止層(ESL)108及諸如低介電常數(低k)層的介電層110。ESL 108可為,例如,氮化矽、氧化矽、以及/或者另一所欲之蝕刻停止材料。貫孔432抵達在圖案化金屬層上方的蝕刻停止層108上,圖案化金屬層包含金屬接觸窗106。在介電層110上形成硬遮罩層112。在硬遮罩層112的頂部上形成圖案化硬遮罩層116及圖案化金屬硬遮罩層114。在圖案化層114/116上形成有機平坦化層118。亦值得注意的是實施例400顯示的圖案化層為表徵性範例,且亦可使用不同的以及/或者額外的層及結構。
圖4B為範例實施例410的剖面圖,其中已沉積ALD襯墊412以保護貫孔432的側壁。在如圖4A中所示之部分貫孔開通製程之後發生ALD襯墊412的沉積,且ALD襯墊412沉積在有機平坦化層118和貫孔432側壁上。
圖4C為範例實施例420的剖面圖,實施例420係在已將有機平坦化層118移除之後。舉例而言,可用有機灰化製程(例如部分或完全)以及/或者有機剝離製程來將有機平坦化層118移除。此外,基於製程流程需求,可應用ALD襯墊412的回蝕或剝離。舉例而言,回蝕或剝離製程亦可用以控制ALD襯墊412的柱高422。此回蝕/剝離製程亦可用以降低由將ALD襯墊材料引入到微電子工件之特徵部或其他層的頂部上所造成的缺陷。舉例而言,可將ALD襯墊412沉積在有機平坦化層118上,或者當在ALD襯墊412沉積之前將有機平坦化層118完全移除或灰化時,可將ALD襯墊412沉積在其他圖案化結構的頂部表面上。回蝕或剝離製程較佳是非等向性蝕刻,且更佳是高度非等向性及選擇性蝕刻,以將盡可能多的ALD襯墊412留在貫孔432側壁上。亦可實行其他變化。
圖4D為範例實施例430的剖面圖,實施例430係在一或多個蝕刻製程已將溝槽434形成並且將圖4C中的貫孔432更進一步蝕刻下至如箭頭438所指示的金屬接觸窗106。亦已將圖4C中的ALD襯墊412移除。如所示,由於在ALD襯墊412受移除前所提供的保護,此製程流程並無損傷鄰近於更進一步蝕刻之貫孔的介電層110的角特徵部436。反之,在諸如電漿蝕刻製程的蝕刻製程期間ALD襯墊412保護這些角特徵部436,這形成了溝槽434且更進一步將貫孔432蝕刻下至由箭頭438所指示的金屬接觸窗106。因此,圖4C中的ALD襯墊412協助改善用於在微電子工件之基板上的圖案化結構上形成之貫孔的貫孔輪廓、CD控制以及相關特徵部。
圖5A-C提供雙鑲嵌蝕刻流程的BEOL製程,其中,在諸如部分有機灰化或完全有機灰化、以及/或者有機剝離製程的灰化製程之後,將ALD襯墊應用於雙鑲嵌製程流程。
圖5A為範例實施例500的剖面圖,實施例500係在已將部分貫孔532形成穿通多層下至蝕刻停止層108之後。實施例500匹配圖4A中的實施例400。
圖5B為範例實施例510的剖面圖,實施例510係在已移除有機平坦化層118且已形成ALD襯墊512之後。舉例而言,可用有機灰化製程(例如部分或完全)以及/或者有機剝離製程來將有機平坦化層118移除。接著可在留存之圖案化層以及貫孔532側壁上形成ALD襯墊512。
圖5C為範例實施例520的剖面圖,實施例520係在一或多個諸如電漿蝕刻製程的蝕刻製程已將溝槽522形成並且將貫孔更進一步蝕刻下至如箭頭524所指示的金屬接觸窗106。亦已將圖5B中的ALD襯墊512移除。如所示,由於在ALD襯墊512受移除前所提供的保護,此製程流程並無損傷鄰近於更進一步蝕刻之貫孔的介電層110的角特徵部526。反之,在諸如電漿蝕刻製程的蝕刻製程期間ALD襯墊512保護介電層110的邊緣,這形成了溝槽522且更進一步將貫孔532蝕刻下至由箭頭524所指示的金屬接觸窗106。因此,圖5B中的ALD襯墊512改善用於在微電子工件之基板上的圖案化結構上形成之貫孔的貫孔輪廓、CD控制以及相關特徵部。
圖6A-F及圖7A-E提供自對準貫孔(FSAV)製程的製程流程,其中貫孔係由金屬硬遮罩(MHM)層及金屬凹陷所界定及形成,且其中沉積ALD襯墊以保護貫孔的側壁。如這些實施例中所示,ALD襯墊保護貫孔並且可在FSAV製程流程中實施以用於蝕刻停止層之角特徵部的保護和貫孔側壁的保護。舉例而言,將ALD襯墊用作FSAV蝕刻/溝槽製程中的保護層以及/或者犧牲層,並且亦可重新形成ALD襯墊並保護FSAV結構。因此,ALD襯墊使得FSAV製程更加有效。
現參見圖6A,提供範例實施例600的剖面圖,實施例600係在已於先前形成在基板202上之其他層上的光阻層604中形成開口605之後。對於實施例600,這些層包含在基板202上的介電層204及圖案化金屬層,該金屬層包含金屬接觸窗206。在凹陷的金屬接觸窗206上形成蝕刻停止層(ESL)208及諸如低介電常數(低k)層的介電層210。ESL 208可為,例如,氮化矽、氧化矽、以及/或者另一所欲之蝕刻停止材料。在介電層210上形成硬遮罩層212。在硬遮罩層212的頂部上形成圖案化硬遮罩層216及圖案化金屬硬遮罩層214。在圖案化層214/216上形成有機平坦化層218。在有機平坦化層218上形成另一硬遮罩層602,且光阻層604形成於該硬遮罩層602上。如所示,開口605已形成於光阻層604中。亦值得注意的是實施例600顯示的圖案化層為表徵性範例,且亦可使用不同的以及/或者額外的層及結構。
圖6B為範例實施例610的剖面圖,實施例610係在已將貫孔612形成下至蝕刻停止層(ESL)208之後。在貫孔蝕刻製程之後,ESL 208  包含凹陷的角特徵部624。貫孔蝕刻製程可以是,例如,電漿蝕刻製程。除此之外,實施例610包含與圖6A中的實施例600相同的先前形成於基板202上的層。再次值得注意的是,實施例610顯示的圖案化層為表徵性範例,且亦可使用不同的以及/或者額外的層及結構。
圖6C為範例實施例的剖面圖,其中ALD襯墊622已作為塗層沉積以保護貫孔的側壁。亦已將ALD襯墊622形成於諸如有機平坦化層218的其他層上。部分地,ALD襯墊622覆蓋並保護ESL 208的角特徵部624。ALD襯墊622的沉積在如圖6B中所示的部分貫孔開通製程之後發生。
圖6D為範例實施例630的剖面圖,實施例630係在已移除有機平坦化層218之後。舉例而言,可用有機灰化製程(例如部分或完全)以及/或者有機剝離製程來將有機平坦化層218移除。此外,基於製程流程需求,可應用ALD襯墊622的回蝕或剝離。舉例而言,回蝕或剝離製程亦可用以控制ALD襯墊622的柱高626。此回蝕/剝離製程亦可用以降低由將ALD襯墊材料引入到微電子工件之特徵部或其他層的頂部所造成的缺陷。舉例而言,可將ALD襯墊622沉積在有機平坦化層118上,或者當在ALD襯墊622沉積之前將有機平坦化層118完全移除或灰化時,可將ALD襯墊622沉積在其他圖案化結構的頂部表面上。回蝕或剝離製程較佳是非等向性蝕刻,且更佳是高度非等向性及選擇性蝕刻,以將盡可能多的ALD襯墊622留在貫孔612側壁上。亦可實行其他變化。
圖6E為範例實施例640的剖面圖,實施例640係在一或多個蝕刻製程已將貫孔612更進一步蝕刻下至金屬接觸窗206且已將ALD襯墊622移除之後。如所示,角特徵部624已受ALD襯墊622所保護,且在更進一步之溝槽蝕刻製程以更進一步將貫孔612開通之後留存。這些未受損之角特徵部624更接近在圖2A-C(先前技術)的理想製程中顯示的期望FSAV角特徵部222。
圖6F是範例實施例650的剖面圖,實施例650係在金屬化製程已將額外金屬層652添加到金屬接觸窗206上方之後。在金屬化製程之後,金屬層652提供電性連接至金屬接觸窗206。如此製程所示,在此金屬化製程後角特徵部624仍保持未受損的,且這些未受損的角特徵部624改善形成用於微電子工件之電子裝置的良率及可靠度。
圖7A-E提供FSAV製程,其中在諸如部分有機層灰化或完全有機灰化的灰化製程、以及/或者有機剝離製程之後附加ALD襯墊。
圖7A為提供範例實施例700的剖面圖,實施例700係在已於先前形成在基板202上之其他層上的光阻層604中形成開口605之後。實施例700匹配圖6A的實施例600。
圖7B為範例實施例710的剖面圖,實施例710係在已將貫孔712形成下至蝕刻停止層(ESL)208之後。ESL 208包含凹陷的角特徵部724。除此之外,實施例710包含與圖7A中的實施例700相同的先前形成於基板202上的層。再次值得注意的是,實施例710顯示的圖案化層為表徵性範例,且亦可使用不同的以及/或者額外的層及結構。
圖7C為範例實施例的剖面圖,實施例係在已將有機平坦化層218移除且已將ALD襯墊722形成作為貫孔側壁上的保護塗層之後。部份地,ALD襯墊722覆蓋並保護ESL 208的角特徵部724。ALD襯墊722的此沉積在已將有機平坦化層218移除之後發生。舉例而言,可在ALD襯墊722沉積之前用有機灰化製程(例如部分或完全)以及/或者有機剝離製程來將有機平坦化層218移除。
圖7D為範例實施例730的剖面圖,實施例730係在一或多個諸如電漿蝕刻製程的蝕刻製程已更進一步將貫孔712蝕刻下至金屬接觸窗206之後。亦已將圖7C中的ALD襯墊722移除。如所示,角特徵部724已受ALD襯墊722所保護且在執行以將貫孔712更進一步開通的更進一步溝槽蝕刻製程之後留存。這些未受損的角特徵部724更接近圖2A-C(先前技術)中理想製程所示的期望FSAV角特徵部222。
圖7E為範例實施例740的剖面圖,實施例740係在金屬化製程已將額外金屬層742添加到金屬接觸窗206上方之後。在金屬化製程之後,金屬層742提供電性連接至金屬接觸窗206。如此製程所示,在此金屬化製程後角特徵部724仍保持未受損的,且這些未受損的角特徵部724改善形成用於微電子工件之電子裝置的良率及可靠度。
儘管已顯示BEOL及FSAV製程的範例,此處所述之ALD襯墊可用於其他貫孔/溝槽製程。已發現所揭示之實施例,對各種製程流程及節點尺寸,特別是形成用於微電子工件之基板上的圖案化結構的貫孔之CD控制及自對準特徵部,提供改善的貫孔輪廓。
圖8為範例實施例800的製程圖,其中在形成於基板上之多層中將貫孔開通,且將ALD襯墊用以在後續蝕刻製程期間保護貫孔側壁。在區塊802中,提供用於微電子工件之基板,其具有多層形成於該基板上。如此處所述,這些多層可包含先前形成之一或多個特徵部或圖案化結構以及一或多個中介層。在區塊804中,將貫孔在多層內開通。如此處所述,這些貫孔可延伸下至蝕刻停止層以及/或者另一期望層。在區塊806中,將ALD襯墊沉積於貫孔側壁上。 如此處所述,此ALD襯墊亦可沉積於諸如有機平坦化層之微電子工件的其他結構或層上。在區塊808中,將多層更進一步蝕刻而同時將ALD襯墊用以在蝕刻製程期間保護貫孔側壁。舉例而言,一或多個電漿蝕刻製程可用以更進一步將多層蝕刻。值得注意的是,亦可使用額外的以及/或者不同的製程步驟仍可得此處所述之ALD襯墊保護技術的優點。
此處所述之實施例藉由在蝕刻製程期間使用ALD襯墊保護貫孔側壁來允許達成目標貫孔輪廓。再者,此處所述之實施例在蝕刻製程期間使用ALD襯墊保護貫孔側壁來允許達成貫孔目標臨界尺寸(CD)。藉由使用ALD襯墊作為貫孔的保護或犧牲層,以在諸如三層有機剝離製程以及/或者灰化及溝槽蝕刻製程的後續剝離製程期間降低損壞。因此,ALD襯墊允許在諸如雙鑲嵌及FSAV製程的各種應用中貫孔CD的縮減。此外,ALD襯墊可用以控制貫孔或空孔的整體CD參數,諸如貫孔形成的頂部CD及底部CD之間的差異或差值。
可使用各種技術沉積ALD襯墊材料。舉例而言,可將ALD襯墊均勻地沉積在貫孔側壁上或選擇性地沉積在貫孔的某些側壁上。接著可在後續製程步驟中相對容易地將ALD襯墊蝕刻掉。針對某些實施例,為了減少缺陷,實施ALD襯墊的回蝕或剝離以將頂部襯墊膜移除。
舉例而言,當在有機層灰化或剝離製程之前沉積ALD襯墊時,溝槽結構可維持不受ALD襯墊之添加的影響。更值得注意的是,然而,根據不同的應用,可在部分有機灰化/剝離之後或是在完全有機灰化/剝離之後沉積ALD襯墊。
亦可實施其他變化而仍使用ALD襯墊保護貫孔以並允許CD降低同時仍控制貫孔輪廓及諸如切角角度的其他結構輪廓。
值得注意的是,可使用一或多個沉積製程以形成此處所述之材料層。舉例而言,可使用化學氣相沉積(CVD)、電漿輔助化學氣相沈積(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)以及/或者其他沉積製程來實施一或多個沉積。對於電漿沉積製程,可使用前驅物氣體混合物,其包含但不限於:碳氫化合物、氟碳化合物、或含氮之碳氫化合物與一或多個稀釋氣體(例如氬、氮等等)在各種壓力、功率、氣流及溫度條件的結合。關於PR層的微影製程可使用光微影、極紫外線(EUV)微影以及/或者其他微影製程加以實施。蝕刻製程可使用電漿蝕刻製程、放電蝕刻製程、以及/或者其他期望蝕刻製程加以實施。舉例而言,電漿蝕刻製程可使用含氟碳化合物、氧、氮、氫、氬、以及/或者其他氣體的電漿加以實施。此外,可在貫孔形成期間控制製程步驟的操作變數以確保達成貫孔的CD目標參數。操作變數可包含,例如:腔室溫度、腔室壓力、氣體流率、在電漿生成時施加到電極組件的頻率以及/或者功率、以及/或者處理步驟的其他操作變數。亦可實行變化而仍可得此處所述之技術的優點。
值得注意的是,參考本說明書通篇「一個實施例」或「一實施例」意味著與實施例結合描述的特定特徵部、結構、材料、或特質包含在本發明的至少一個實施例中,但並不表示它們存在於每個實施例中。因此,在本說明書通篇各處中的「在一個實施例中」、或「在一實施例中」之語句的出現並不必要意指本發明的相同實施例。此外,特定特徵部、結構、材料、或特性可在一或多個實施例中以任何合適方式結合。在其他實施例中,可包含各種額外層以及/或者結構,以及/或者可忽略所述之特徵部。
如此處所使用之「微電子工件」一般意指根據本發明受處理之物件。微電子工件可包含裝置的任何材料部份或結構,特別是半導體或其他電子裝置,且舉例而言可以為基礎基板結構,例如半導體基板或在基礎基板結構上或上面的層(諸如薄膜)。因此,工件並不意在限制於任何特定基礎結構、下層或上層、圖案化或未圖案化,反之,預期包含任何這樣的層或基礎結構、及層以及/或者基礎結構的任何結合。下方說明可參考特定類型基板,但是這僅為了說明性的目的而非其限制。
如此處所使用之用語「基板」表示且包含基礎材料或有材料形成於其上的構造。將了解的是基板可包含單一材料、不同材料的複數層、具有不同材料或不同結構區域於其中的層等等。這些材料可包含半導體、絕緣體、導體、或其組合。舉例而言,基板可以是半導體基板、在支撐結構上的基礎半導體層、金屬電極或具有一或多層、結構或區域形成於其上的半導體基板。基板可以是習知矽基板或包含一層半導性材料的其他大塊(bulk)基板。如此處所使用的,用語「大塊基板」不只表示且包含矽晶圓,更包含矽-絕緣體(「SOI」)基板,諸如矽藍寶石(「SOS」)基板以及矽玻璃(「SOG」)基板、基礎半導體基座上的矽磊晶層、以及其他半導體或光電材料,例如矽鍺合金、鍺、砷化鎵、氮化鎵、以及磷化銦。基板可以是有摻雜或未摻雜的。
在各實施例中描述了處理微電子工件的系統及方法。精於相關領域技術者將可認知各實施例可在不具有一或多個特定細節、或具有其他取代以及/或者額外方法、材料、或元件的情況下加以實現。在其他情況下,並未詳細顯示或描述已知結構、材料、或操作以避免模糊本發明之各實施例的實施態樣。同樣地,為了解釋之目的,說明特定數量、材料、及配置以提供對本發明的完整了解。然而,本發明可在不具特定細節的情況下實現。此外,應理解的是圖中所示的各實施例為說明性代表且並不必要按比例繪製。
所述之系統及方法的進一步調整及替代實施例將對參閱本說明之精於本項技術者而言顯而易見。應可認知的是,因此,所述之系統及方法並不受這些範例配置所限。應理解的是,此處所示及所述之系統及方法的形式視為範例實施例。在實施方式中可做出各種改變。因此,儘管本發明在此處參考特定實施例加以描述,在不偏離本發明之範疇的情況下可做出各種調整及改變。因此,說明書及圖式應被視為說明性的而非限制性的,且這樣的調整係意欲包含在本發明之範疇內。再者,此處所述關於特定實施例之任何優點、益處、或對問題的解決方案並非旨在解釋為任何或所有所請專利範圍之決定性的、需求的、或必須的特徵或要件。
100:實施例 102:基板 104:介電層 106:金屬接觸窗 108:蝕刻停止層 110:介電層 112:硬遮罩層 114:金屬硬遮罩層 116:硬遮罩層 118:有機平坦化層 120:硬遮罩層 122:光阻層 124:開口 130:實施例 132:貫孔 140:實施例 150:實施例 152:溝槽 154:箭頭 156:箭頭 200:實施例 202:基板 204:介電層 206:金屬接觸窗 208:蝕刻停止層 210:介電層 212:硬遮罩層 214:金屬硬遮罩層 215:貫孔 216:硬遮罩層 218:有機平坦化層 220:實施例 222:角特徵部 230:實施例 232:金屬層 300:實施例 315:貫孔 320:實施例 322:角特徵部 330:實施例 400:實施例 410:實施例 412:ALD襯墊 420:實施例 422:柱高 430:實施例 432:貫孔 434:溝槽 436:角特徵部 438:箭頭 500:實施例 510:實施例 512:ALD襯墊 520:實施例 522:溝槽 524:箭頭 526:角特徵部 532:貫孔 600:實施例 602:硬遮罩層 604:光阻層 605:開口 610:實施例 612:貫孔 622:ALD襯墊 624:角特徵部 626:柱高 630:實施例 640:實施例 650:實施例 652:金屬層 700:實施例 710:實施例 712:貫孔 722:ALD襯墊 724:角特徵部 730:實施例 740:實施例 742:金屬層
藉由參考結合隨附圖式(其中相同索引號碼代表相同特徵部)的後續描述,能得到對本發明及其優點之更完整的了解。值得注意的是,然而,該隨附圖式只說明所揭示概念之示例性實施例,且由於所揭示之概念可包含其他等效實施例而因此不應視為對其範疇的限制。
圖1A-D(先前技術)提供傳統BEOL製程之範例實施例的剖面圖,其中使用了雙鑲嵌蝕刻流程且在蝕刻期間發生貫孔輪廓損壞。
圖2A-C(先前技術)提供理想FSAV製程範例實施例的剖面圖,其中在蝕刻製程之後理想FSAV角特徵部仍留存。
圖3A-C(先前技術)提供傳統FSAV製程範例實施例的剖面圖,其中在蝕刻製程期間真實FSAV角特徵部受損。
圖4A-D提供BEOL製程範例實施例的剖面圖,其中使用了雙鑲嵌蝕刻流程以及形成ALD襯墊以在後續蝕刻製程期間保護貫孔側壁。
圖5A-C提供BEOL製程範例實施例的剖面圖,其中在移除有機平坦化層之後形成ALD襯墊且仍在後續蝕刻製程期間保護貫孔側壁。
圖6A-F提供FSAV製程範例實施例的剖面圖,其中在移除有機平坦化層之前形成ALD襯墊以在後續蝕刻製程期間保護貫孔側壁。
圖7A-E提供FSAV製程範例實施例的剖面圖,其中在移除有機平坦化層之後形成ALD襯墊且仍在後續蝕刻製程期間保護貫孔側壁。
圖8提供範例實施例的製程流程圖,其中在形成於基板上之多層中將貫孔開通,且其中使用ALD襯墊以在後續蝕刻製程期間保護貫孔側壁。

Claims (21)

  1. 一種處理微電子工件的方法,包含: 提供用於一微電子工件的一基板,該基板具有多層; 將貫孔在該多層內開通; 在該等貫孔的側壁上形成一原子層沉積(ALD)襯墊;以及 將該多層蝕刻,在該蝕刻步驟期間,該ALD襯墊保護該等貫孔之該等側壁。
  2. 如申請專利範圍第1項之處理微電子工件的方法,其中該蝕刻步驟包括一溝槽蝕刻。
  3. 如申請專利範圍第2項之處理微電子工件的方法,其中該等貫孔抵達在該基板之該多層內在一圖案化金屬層上方的一蝕刻停止層。
  4. 如申請專利範圍第3項之處理微電子工件的方法,其中該圖案化金屬層包括金屬接觸窗。
  5. 如申請專利範圍第1項之處理微電子工件的方法,其中該ALD襯墊包括氧化矽、氮化矽、氮化鈦、氧化鈦、氮化鋁、及氧化鋁的至少其中一者。
  6. 如申請專利範圍第1項之處理微電子工件的方法,其中該多層包括一有機層。
  7. 如申請專利範圍第6項之處理微電子工件的方法,其中將該ALD襯墊形成於該有機層上。
  8. 如申請專利範圍第7項之處理微電子工件的方法,更包含將該有機層移除以及將該ALD襯墊回蝕以留下沿該等貫孔之該等側壁的ALD柱。
  9. 如申請專利範圍第8項之處理微電子工件的方法,其中基於該有機層的厚度或該ALD襯墊之回蝕的至少其中一者來控制該ALD柱的高度。
  10. 如申請專利範圍第8項之處理微電子工件的方法,更包含在該蝕刻步驟之後將該ALD柱移除。
  11. 如申請專利範圍第6項之處理微電子工件的方法,更包含在形成該ALD襯墊之前將該有機層移除。
  12. 如申請專利範圍第11項之處理微電子工件的方法,其中該移除步驟包含一灰化製程或一有機剝離製程的至少其中一者。
  13. 如申請專利範圍第11項之處理微電子工件的方法,更包含在該蝕刻步驟之後將該ALD襯墊移除。
  14. 如申請專利範圍第1項之處理微電子工件的方法,其中該開通步驟、該形成步驟、以及該蝕刻步驟為後段產線(BEOL)蝕刻製程的一部分。
  15. 如申請專利範圍第14項之處理微電子工件的方法,其中該BEOL蝕刻製程包括雙鑲嵌蝕刻製程。
  16. 如申請專利範圍第14項之處理微電子工件的方法,其中該ALD襯墊保護該貫孔內的一或多個角特徵部。
  17. 如申請專利範圍第1項之處理微電子工件的方法,其中該開通步驟包括一完全自對準貫孔(FSAV)製程。
  18. 如申請專利範圍第17項之處理微電子工件的方法,其中該ALD襯墊保護在該等貫孔內的一或多個角特徵部。
  19. 如申請專利範圍第1項之處理微電子工件的方法,其中使用該ALD襯墊達成目標貫孔輪廓。
  20. 如申請專利範圍第1項之處理微電子工件的方法,其中使用該ALD襯墊達成該等貫孔的目標臨界尺寸(CD)。
  21. 如申請專利範圍第1項之處理微電子工件的方法,其中使用該ALD襯墊達成該等貫孔之自對準特徵部。
TW108124505A 2018-07-11 2019-07-11 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊 TWI784183B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862696540P 2018-07-11 2018-07-11
US62/696,540 2018-07-11
US201862767805P 2018-11-15 2018-11-15
US62/767,805 2018-11-15

Publications (2)

Publication Number Publication Date
TW202018799A true TW202018799A (zh) 2020-05-16
TWI784183B TWI784183B (zh) 2022-11-21

Family

ID=69369768

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108124505A TWI784183B (zh) 2018-07-11 2019-07-11 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊

Country Status (3)

Country Link
US (2) US11164781B2 (zh)
KR (1) KR20200006949A (zh)
TW (1) TWI784183B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI790327B (zh) * 2017-12-08 2023-01-21 日商東京威力科創股份有限公司 使用原子層沉積保護層的高深寬比介層窗蝕刻
US11502001B2 (en) * 2018-10-31 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned vias
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7511349B2 (en) * 2005-08-19 2009-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Contact or via hole structure with enlarged bottom critical dimension
US20070134917A1 (en) * 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
US7622390B2 (en) * 2007-06-15 2009-11-24 Tokyo Electron Limited Method for treating a dielectric film to reduce damage
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US9887126B2 (en) * 2014-08-26 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of dual damascene structures having via hole and trench
US9431297B2 (en) * 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device
US9466723B1 (en) * 2015-06-26 2016-10-11 Globalfoundries Inc. Liner and cap layer for placeholder source/drain contact structure planarization and replacement
US10304725B2 (en) * 2016-08-26 2019-05-28 Tokyo Electron Limited Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
US9691659B1 (en) * 2016-09-30 2017-06-27 International Business Machines Corporation Via and chamfer control for advanced interconnects
US10332787B2 (en) * 2017-06-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of interconnection structure of semiconductor device
US11088020B2 (en) * 2017-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device

Also Published As

Publication number Publication date
US20200051859A1 (en) 2020-02-13
KR20200006949A (ko) 2020-01-21
US11164781B2 (en) 2021-11-02
US11742241B2 (en) 2023-08-29
TWI784183B (zh) 2022-11-21
US20220020642A1 (en) 2022-01-20

Similar Documents

Publication Publication Date Title
US9607883B2 (en) Trench formation using rounded hard mask
US6683000B2 (en) Semiconductor-device fabrication method
US10304774B2 (en) Semiconductor structure having tapered damascene aperture and method of the same
JP5134363B2 (ja) プラズマ加工システムによる基板エッチング法
US11742241B2 (en) ALD (atomic layer deposition) liner for via profile control and related applications
US11676821B2 (en) Self-aligned double patterning
TWI781260B (zh) 針對多色圖案化之自間隔物的心軸拉除用製造方法
US9754799B2 (en) Fabrication method of interconnect structure
JPH11330046A (ja) 半導体装置の製造方法及び半導体装置
TW202020965A (zh) 微電子工件中矽鍺奈米線形成期間保護氮化物層的方法
US10020379B2 (en) Method for forming semiconductor device structure using double patterning
EP2988322B1 (en) Method for selective oxide removal
US20060019489A1 (en) Method for forming storage node contact of semiconductor device
US20020072217A1 (en) Method for improving contact reliability in semiconductor devices
KR20040101008A (ko) 반도체 장치의 제조 방법
TW202121527A (zh) 以多色選擇性非等向性蝕刻相鄰線的方法
JP2005005697A (ja) 半導体装置の製造方法
JP4948278B2 (ja) 半導体装置の製造方法
KR100571402B1 (ko) 텅스텐 플러그 상에 형성된 구리 배선층을 포함하는반도체 소자의 제조 방법
US11784056B2 (en) Self-aligned double patterning
US20210265205A1 (en) Dielectric etch stop layer for reactive ion etch (rie) lag reduction and chamfer corner protection
US20230317462A1 (en) Etching of Polycrystalline Semiconductors
US20230154753A1 (en) Patterned Semiconductor Device and Method
US20220359718A1 (en) Sacrificial gate capping layer for gate protection
US20230369064A1 (en) Pre-etch treatment for metal etch