TWI790327B - 使用原子層沉積保護層的高深寬比介層窗蝕刻 - Google Patents

使用原子層沉積保護層的高深寬比介層窗蝕刻 Download PDF

Info

Publication number
TWI790327B
TWI790327B TW107144083A TW107144083A TWI790327B TW I790327 B TWI790327 B TW I790327B TW 107144083 A TW107144083 A TW 107144083A TW 107144083 A TW107144083 A TW 107144083A TW I790327 B TWI790327 B TW I790327B
Authority
TW
Taiwan
Prior art keywords
layer
low
substrate
dielectric layer
dielectric
Prior art date
Application number
TW107144083A
Other languages
English (en)
Other versions
TW201937600A (zh
Inventor
盧彥典
孫興華
志方 劉
安德魯 W 梅茨
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201937600A publication Critical patent/TW201937600A/zh
Application granted granted Critical
Publication of TWI790327B publication Critical patent/TWI790327B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供用於基板生產製程流程之介層窗蝕刻步驟的方法。所描述之基板處理技術,提供用以藉由在至少該介層窗蝕刻製程的部分期間提供一保護層於該介層窗側壁而蝕刻介層窗。在一具體實施例中,一原子層沉積(ALD)層形成於該介層窗側壁上,以保護穿其而過以形成介層窗之該介電層。該ALD層可減少在可能因蝕刻障蔽低k(blok)層或其他製程步驟所導致之低k介電層中的曲折效應。在介層窗形成後,可移除該ALD層。該技術特別適用於形成跨層介層窗以及其他形成於低k及超低k介電層中的高深寬比介層窗。

Description

使用原子層沉積保護層的高深寬比介層窗蝕刻
相關申請案的交互參照:本申請案涉及並主張以下申請案的優先權:於2017年12月8日提交之美國臨時專利申請案第62/596,679號,其全部內容通過引用結合於此。
本揭露與如半導體基板之基板的處理相關,並且更特別相關於提供改善介層窗蝕刻的一種新方法。
隨著基板處理的幾何結構持續縮小,形成結構於基板上的技術挑戰隨之增加。這樣的挑戰之一為介層窗蝕刻。更特別是,隨著幾何結構的縮小,介層窗深寬比隨之上升,增加了蝕刻介層窗的挑戰性。再者,跨層介層窗(skip via)的使用大幅增加了蝕刻介層窗的挑戰性。跨層介層窗連接通過多個介電層,跨層連接至位在介電層之間的一導體層。因此,舉例而言,一跨層介層窗可連接一第一金屬層至一第三金屬層,跨過中介的第二金屬層。因此,該跨層介層窗可視為介層窗之疊層,據此所得介層窗的深寬比因此大幅增加。跨層介層窗如此的使用可形成於半導體生產製程的後段製程(BEOL)處理步驟中,以連接各種導體層。然而,應可認知,該高深寬比介層窗以及跨層介層窗可用於基板處理製程流程中的其他點。
隨著介層窗的臨界尺寸縮小以及深寬比增加,保持介層窗輪廓的困難度隨之增加。這樣的問題之一為當蝕刻一跨層介層窗時,在介電層中「曲折」輪廓的形成。圖1說明曲折輪廓的一例子,可發生在一跨層介層窗形成的期間。如圖1所示,提供一基板結構100。提供下伏的一介電質105以及一第一導體110於一基板101上。精於本項技術者應可辨認出該下伏的介電質105可形成於許多其他基板層(未示於圖中)之上。因此,舉例而言,該結構100可為在半導體生產製程中BEOL處理步驟期間所形成的一介層窗結構。透過一跨層介層窗115的使用以建立一電性連接。可形成跨層介層窗115,其穿過由一停止或障蔽層135所分隔開的兩層介電層—一下部低k介電層120以及上部低k介電層125。該下部低k介電層120以及上部低k介電層125可分別為一下部超低k介電層以及一上部超低k介電層。因此,提供一第一超低k介電層以及一第二超低k介電層。亦顯示了被稱為「blok(障蔽低k, barrier low k)」層的障蔽/蝕刻停止層,以在此等介電層下方提供一下部低k障蔽層以及一上部低k障蔽層。在所顯示的例子中,可使用一nblok層(氮摻雜低k障蔽層(nitrogen doped low k barrier layer),例如氮摻雜碳化矽)。因此,如所示提供一下部nblok層130以及上部nblok層135。一硬遮罩層140以及一有機平坦化層(OPL)145亦可用作該介層窗圖案化製程的一部分。
如圖1中所示,該跨層介層窗115呈現在該下部低k介電層120以及該上部低k介電層125中「曲折」的輪廓,而非具有一筆直側壁。因此,如圖1中所示,側壁116及117 顯示底割或曲折。此曲折一般可由的下部nblok層130以及上部nblok層135的蝕刻所導致。該曲折經常可由於在nblok蝕刻製程期間在nblok材料以及超低k(ULK)介電材料之間相對低的選擇性而導致。後續OPL 145的移除亦可增加該輪廓的曲折。該曲折的輪廓可導致在該跨層介層窗115中導體適當地形成之障礙,並且影響該介層窗良率。
期望提供可改善如跨層介層窗之介層窗的形成以及減少介層窗中之曲折的一基板處理技術。
此處所描述係用於基板生產製程流程之介層窗蝕刻製程流程步驟的一創新的方法。所描述之基板處理技術,提供藉由在該介層窗蝕刻製程的至少部分期間提供一保護層於該介層窗側壁上用以蝕刻介層窗。在一具體實施例中,一原子層沉積(ALD)層形成於該介層窗側壁上,以保護穿其而過以形成介層窗之該介電層。該ALD層可減少可因蝕刻blok層或其他製程步驟所導致而在低k介電層中的曲折效應。在介層窗形成後,可移除該ALD層。該技術特別適用於形成跨層介層窗以及其他在低k及超低k介電層中形成高深寬比介層窗。在一具體實施例中,提供處理一基板的方法。
在一具體實施例中,提供一方法用以處理基板以形成一介層窗。該方法可包括提供具有含一介層窗圖案之已圖案化層的基板;提供至少一第一低k介電層於該已圖案化層下方;以及提供至少一第一障蔽層於該第一低k介電層下方。該方法可更包括蝕刻該第一低k介電層以形成該介層窗圖案於該第一低k介電層中。蝕刻該第一低k介電層之後,該方法可包括執行一原子層沉積(ALD)製程以沉積一保護層於該第一低k介電層之介層窗側壁上,該原子層沉積製程獨立於蝕刻第一低k介電層之步驟。執行該ALD製程後,該方法可包括蝕刻該第一障蔽層,其中該保護層在該第一障蔽層蝕刻期間保護該第一低k介電層之介層窗側壁以限制該第一低k介電層之曲折。
在另一具體實施例中,提供用於處理一基板以形成一跨層介層窗的方法。該方法可包括提供具有含一介層窗圖案之已圖案化層的基板;提供至少一上部低k介電層於該已圖案化層下方;提供至少一上部障蔽層於該上部低k介電層下方;提供至少一下部低k介電層於該上部障蔽層下方;以及提供至少一下部障蔽層於該下部低k介電層下方。該方法可更包括蝕刻該上部低k介電層以形成該介層窗圖案於該上部低k介電層中。蝕刻該上部低k介電層之後,該方法可包括執行一第一原子層沉積(ALD)製程以沉積一第一保護層於該上部低k介電層之介層窗側壁上。執行該第一ALD製程後,該方法可包括蝕刻該上部障蔽層,其中該第一保護層在該上部障蔽層蝕刻期間保護該上部低k介電層之介層窗側壁以限制該上部低k介電層的曲折。該方法可更包括蝕刻該下部低k介電層以形成該介層窗圖案於該下部低k介電層中。蝕刻該下部低k介電層之後,該方法可包括執行一第二原子層沉積(ALD)製程以沉積一第二保護層於該下部低k介電層之介層窗側壁上。執行該第二ALD製程後,該方法可包括蝕刻該下部障蔽層,其中該第二保護層在該下部障蔽層蝕刻期間保護該下部低k介電層之介層窗側壁以限制該下部低k介電層的曲折。
而在另一具體實施例中,提供用以將基板圖案化的方法。該方法可包括提供具有一介層窗圖案化結構之基板,該圖案化結構具有一有機平坦化層(OPL)、一硬遮罩層、一第一超低k(ULK)層、一第一氮摻雜低k障蔽(nblok)層、一第二ULK層、一第二nblok層、以及一下伏層。該方法可更包括執行一第一ULK層開口製程;執行一第一原子層沉積(ALD)氧化物沉積以形成一第一ALD氧化物層;以及執行一第一nblok開口製程,該第一ALD氧化物層在該第一nblok層開口製程期間保護至少該第一ULK層的部分。該方法可更包括執行一第二ULK層開口製程;執行一第二原子層沉積(ALD)氧化物沉積以形成一第二ALD氧化物層;以及執行一第二nblok開口製程,該第二ALD氧化物層在該第二nblok層開口製程期間保護至少該第二ULK層的部分。最後,該方法可包括執行一ALD氧化物移除製程。
可根據此處所揭露之介層窗蝕刻技術加以處理的一示例性跨層介層窗結構顯示於圖2中。圖2中的結構僅為示例性,且應可認知此處所描述之技術可以廣泛的介層窗處理流程以及結構的方式使用。如圖2中所示,圖2的起始結構有相似於在圖1中所顯示的材料層。提供一下伏的介電層105 以及一第一導體110。該下伏的介電層105可在一基板101上方形成。 該基板可為任何需要使用圖案化特徵部之基板。舉例而言,在一具體實施例中,該基板可為具有一或多層半導體處理層形成於其上的一半導體基板。在一具體實施例中,該基板可為已經歷多個產生各式各樣結構及層之半導體製程步驟的一基板,這些結構及層在半導體製程領域中為人熟知並且可被視為該基板的一部份。 在一具體實施例中,此處所揭露之概念可使用於一BEOL處理步驟。在其他具體實施例中,此處所揭露的概念可使用於一前段製程(FEOL)處理步驟。此處所描述之技術可用以提供蝕刻介層窗結構且在介層窗蝕刻製程期間將各層的曲折最小化的一技術。
如圖2中所示,亦提供一下部低k介電層120及上部低k介電層125。該下部低k介電層120及上部低k介電層125可藉由任何在此領域熟知的各種技術加以形成。舉例而言,在提供的例子中,該介電層可為任何各種各樣的介電層,包含例如低k介電質。再者,該介電層可以任何各種方式形成,包含旋轉塗佈及電漿沉積技術。在一具體實施例中,該下部低k介電層120及上部低k介電層125為具有小於約3之介電常數的低k介電質。在另一具體實施例中,該下部低k介電層120及上部低k介電層125為具有小於2.4之介電常數的超低k(ULK)介電質。在一例子中,該ULK介電質用作一BEOL處理步驟的介電質,且形成於該ULK介電質中的介層窗為用以連接BEOL製程導線的介層窗。在一例子中,該下部低k介電層120及上部低k介電層125可各有約45 nm至85 nm的厚度,且更較佳是約50 nm至75 nm的厚度。
亦顯示了障蔽/蝕刻停止blok層。顯示於圖2的例子中,提供了一下部nblok層130及上部nblok層135。在該例子中,該下部nblok層130及上部nblok層135可為氮摻雜blok層,例如氮摻雜碳化矽障蔽/蝕刻停止層。應可認知,任何各種其他blok層可被使用且仍可獲得此處所揭露之技術的益處。其他示例性blok材料包含但不限於:矽氧化物、矽氮化物、碳氮化矽、鋁氧化物、以及鋁氮化物。在一例子中,該下部nblok層130及上部nblok層135可各有約5 nm至20 nm的厚度,且更較佳為約8 nm至17 nm的厚度。一硬遮罩140以及一有機平坦化層(OPL)145亦可用作介層窗圖案化製程的一部份。該OPL 145可各有約90 nm至210 nm的厚度,且更傾向為約100 nm至180 nm的厚度。一矽抗反射層210以及一圖案化光阻層215可形成於該OPL 145上。該圖案化光阻層215的圖案可針對製作以通往第一導體110的一介層窗而提供一介層窗圖案,如跨層介層窗115的圖案所示。因此如所示,提供一半導體結構,期望形成一跨層介層窗穿過各種介電層及blok層而穿過該半導體結構。在一具體實施例中,如下所述最終形成的該跨層介層窗的深度,可為大於100 nm且甚至大於150 nm。在一具體實施例中,該跨層介層窗圖案的臨界尺寸(CD)寬度可少於30 nm且甚至少於20 nm。因此,所形成之跨層介層窗的深寬比將幾乎為其他介層窗的兩倍。所形成之該跨層介層窗將為一高深寬比跨層介層窗,具有大於6:1深寬比且可包含甚至大於8:1的深寬比的一種介層窗。應可認知顯示於圖2中的各微影層、介電層、blok層等等僅為示例性的。因此,應可認知此處所揭露之技術可用在相較於圖2中所示的結構而有更多、更少以及/或者不同層的其他結構中。
圖2的基板之處理可如圖3中所示加以進行。如圖3中所示,該跨層介層窗115圖案已蝕刻於該OPL 145及該硬遮罩140中,如所示,該圖案化光阻層215以及該矽抗反射層210已被移除。接著,如圖4中所示,該上部低k介電層125已被蝕刻,停止於該上部nblok層135上。該基板的處理可接著進行至圖5中所示的步驟。如圖5中所示,形成一薄原子層沉積(ALD)層,如ALD層505,塗佈於該跨層介層窗115此時裸露出的部分之側壁以及該跨層介層窗115的底部。在一具體實施例中,該ALD層505可為約1至4 nm的一薄塗層,且更較佳為約2至3 nm的一薄塗層。在一具體實施例中,該ALD層505包括矽氧化物,例如二氧化矽。在其他具體實施例中,該ALD層可包括例如矽氮化物、鋁氧化物、或矽碳化物。然而,應可認知,可使用其他材料及厚度且仍可獲得此處所揭露之技術的益處。再者,該ALD沉積步驟可以無論是原位或異位的方式與先前及後續的蝕刻步驟結合。在一示例性具體實施例中,該ALD沉積步驟可結合於從東京威力科創股份有限公司可取得的VigusTM 介電電漿蝕刻系統之中。於一示例性製程中,該ALD二氧化矽層可在電漿沉積製程中使用矽烷及富含氧的化學物質加以形成。
接著,該上部nblok層135(以及在該上部nblok層135的上方以及在OPL 145上表面的ALD材料)可非等向性地蝕刻,而留下如圖6中所示之結構。應注意的是,在該nblok蝕刻期間,在上部nblok層135上方以及在OPL 145上表面的ALD材料亦被蝕刻。然而,在該介層窗側壁上之大部分的ALD層因為nblok電漿蝕刻製程的方向性而保留。請注意,如圖6中所示,該ALD層505因此提供保護,以避免在該上部nblok層135的蝕刻期間造成該上部低k介電層125的曲折。製程可接著進行如圖7中所示。如圖7中所示,該下部低k介電層120可接著被蝕刻,其中該蝕刻停止於該下部nblok層130。在此步驟期間,該ALD層505持續作為一側壁保護層,以保護該上部低k介電層125的側壁。接著如圖8中所示,執行另一ALD步驟,使得ALD層505現如額外ALD層部分805所指出地延伸以覆蓋該下部低k介電層裸露的側壁。在一具體實施例中,該額外ALD層部分805的厚度可為約1至4 nm的一薄塗層,且更較佳為約2至3 nm厚的一薄塗層。因此,提供用以形成一跨層介層窗之處理流程,其中使用了第一原子層沉積氧化物沉積之步驟以及第二原子層沉積氧化物沉積之步驟。
該下部nblok層130可接著如圖9所示地被蝕刻,以裸露出該第一導體110。如同上部nblok層135的蝕刻,該ALD層505及額外ALD層部分805的存在提供對該低k介電層之裸露部分的保護,使得介層窗中的介電層曲折不會發生。
製程可接著以藉由使用電漿灰製程移除該OPL 145加以進行,以留下圖10中所示之結構。該ALD層505及額外ALD層部分805可接著用電漿或非電漿蝕刻技術移除,以留下如圖11中所示之最終的跨層介層窗115結構,在此之後,基板製程可用導體的形成製程以填充該跨層介層窗115加以繼續,正如在本領域所熟知的那樣。或者,該ALD層505及額外ALD層部分805可留於介層窗中。然而,由於該ALD層可具有上相較於用作介電層及障蔽層的各種低k材料顯著更高的介電常數,留下該ALD層可影響裝置效能。
精於本項技術者應可認知,有關於圖2-11所描述的技術允許透過在標準跨層介層窗形成的製程流程中添加三個製程步驟以改善跨層介層窗之形成──二個ALD沉積步驟以及一個ALD移除步驟。再者,使用獨立於蝕刻介電質之蝕刻步驟的二個ALD沉積步驟,提供了ALD沉積製程的高品質控制,該控制供以控制ALD層的厚度。
圖2-11之製程技術說明一製程,其中用以襯於該跨層介層窗的ALD步驟在該OPL 145的移除前執行。應可認知,使用一ALD層以在blok層蝕刻期間保護裸露的介電層之概念,可以其他方式應用。例如,各製程步驟不須以圖2-11所示之順序執行。因此,可使用的其他順序將仍可獲得此處所描述之技術的益處。舉例而言,一替代製程流程顯示於圖12-21中。如圖12-21中所示,電漿灰製程可用以在該ALD層形成前以移除該OPL層。在這個例子中,如圖12、13及14中所示之結構及製程係與圖2、3及4中所示的那些相似。然而,如圖14中所示在該上部低k介電層125蝕刻之後,該OPL 145如圖15中所示地被移除。舉例而言,該OPL 145可藉由一電漿蝕刻製程加以移除。該ALD層的形成以及該blok層與介電層的蝕刻可接著如圖16-20中所說明的以大致如圖5-10所示之相同方式執行。接著如圖21所示,該ALD層505及額外ALD層部分805可如圖21中所示用電漿或非電漿蝕刻製程加以移除,以產生相似於如圖11中所示之結構。
在此方法中,顯示了使用ALD層以在形成一跨層介層窗期間保護低k介電質的一替代順序。在一ALD層形成前移除OPL層的製程(圖12-21)可能不太理想(相較於圖2-11的製程而言),取決於該OPL移除製程的特點。由於該ALD層尚未形成,在圖15的製程步驟中,該OPL移除製程可能在該上部低k介電層125的裸露區域中導致潛在曲折。應可認知,許多其他製程順序以及/或者不同製程層的組合可用以得到在一跨層介層窗形成的期間使用ALD保護層的益處,如可為精於本項技術者所辨認的所有者。舉例而言,儘管顯示關於具有二低k介電層之跨層介層窗的使用,該跨層介層窗可形成於多於二低k介電層的結構中。再者,儘管以關於形成一跨層介層窗於複數個介電層加以說明,應可辨認出此處所描述之技術可用於形成於單個介電層及單個blok層中的介層窗。
因此,提供一製程,其中針對例如在跨層介層窗形成製程流程中使用的低k介電層中形成的高深寬比介層窗可取得筆直側壁輪廓。此外,該跨層介層窗可在沒有曲折發生於該介層窗低k介電區域之側壁的情況下形成。作為介層窗塗層或內襯之ALD層的形成提供了對該低k介電區域的保護,否則該區域可在如blok層之障蔽層的蝕刻期間裸露。該ALD保護層因此在如blok蝕刻步驟、OPL灰分步驟、或其他可能導致在該介層窗之低k介電側壁裡產生底割以及/或者曲折之製程步驟的後續製程步驟期間,提供對該介層窗側壁的保護。因此,所描述之技術提供各種優點。第一,該介層窗之側壁輪廓可相對筆直且沒有曲折形成。第二,薄ALD層的使用可以不劇烈改變該介層窗臨界尺寸的方式均勻地形成。第三,該ALD層可輕易地被移除。第四,該ALD層的增加可透過只增加二個ALD沉積以及一個ALD移除步驟而加以整合進標準跨層介層窗製程流程中。最後,應注意的是,一ALD二氧化矽層在該側壁上提供保護性障蔽,但相當容易在障蔽層蝕刻步驟期間從該障蔽層表面上移除。此處所提供揭露之益處的其他優點亦可為精於本項技術者所辨認。這與形成一聚合物於介層窗中形成對比,這是由於一聚合物層會在障蔽蝕刻步驟造成困難(潛在地阻擋障蔽層蝕刻)。再者,在介層窗中形成聚合物可潛在地阻擋該介層窗的上方開口,抑制了在聚合物形成後該介層窗所有後續的蝕刻。
如此處所描述,ALD層提供以在形成跨層介層窗於該低k介電質中的期間保護該低k介電質。可以使得該低k介電質的曲折不會發生之方式,控制ALD層的沉積以及用以蝕刻在低k介電質下方的層之電漿蝕刻的蝕刻特徵。因此,舉例而言,可控制ALD層的厚度以及材料組成以達成此處所描述之目標處理特徵,並且控制用以蝕刻障蔽層的蝕刻製程。
使用此處所描述之技術的示例性製程流程提供於圖22-24。應可認知,這些製程流程僅為示例性且此處所描述之技術可以其他方式使用。再者,應可認知,可增加額外步驟於該示例性製程流程,而仍可使用此處所揭露之技術的有利的益處。此外,精於本項技術者應可認知,該製程流程的各步驟可一同或結合或以不同順序加以執行,因此該製程流程的各步驟並不限於用作如所示之分開獨立的製程步驟。
圖22說明用於處理一基板以形成一介層窗的方法。該方法可包含提供具有含一介層窗圖案之已圖案化層的基板的步驟2205。該方法亦包含提供至少一第一低k介電層於該已圖案化層下方的步驟2210。該方法更包含提供至少一第一障蔽層於該低k介電層下方的步驟2215。在步驟2220,將該第一低k介電層蝕刻以形成該介層窗圖案於該第一低k介電層中。蝕刻該第一低k介電層之後,該方法包含執行一原子層沉積(ALD)製程以沉積一保護層於該第一低k介電層之介層窗側壁上,如步驟2225所示,該原子層沉積製程獨立於蝕刻第一低k介電層之步驟。執行該ALD製程後,該方法包含蝕刻該第一障蔽層,其中該保護層在該第一障蔽層蝕刻期間保護該第一低k介電層之介層窗側壁,如步驟2230所示,以限制該第一低k介電層的曲折。
圖23說明用於處理一基板以形成一跨層介層窗的方法。該方法可包含步驟2305:提供具有含一介層窗圖案之已圖案化層的基板,提供至少一上部低k介電層於該已圖案化層結構下方,提供至少一上部障蔽層於該上部低k介電層下方,提供至少一下部低k介電層於該上部障蔽層下方,以及提供至少一下部障蔽層於該下部低k介電層下方。該方法更包含蝕刻該上部低k介電層以形成該介層窗圖案於該上部低k介電層中的步驟2310。蝕刻該上部低k介電層之後,該方法包含執行一第一原子層沉積(ALD)製程以沉積一第一保護層於該上部低k介電層之介層窗側壁上,如步驟2315所示。執行該第一ALD製程後,該方法包含步驟2320:蝕刻該上部障蔽層,其中該第一保護層在該上部障蔽層蝕刻期間保護該上部低k介電層之介層窗側壁以限制該上部低k介電層的曲折。該方法更包含蝕刻該下部低k介電層以形成該介層窗圖案於該下部低k介電層中的步驟2325。蝕刻該下部低k介電層之後,該方法包含執行一第二原子層沉積(ALD)製程以沉積一第二保護層於該下部低k介電層之介層窗側壁上之步驟2330。執行該第二ALD製程後,該方法包含步驟2335:蝕刻該下部障蔽層,其中該第二保護層在該下部障蔽層蝕刻期間保護該下部低k介電層之介層窗側壁以限制該下部低k介電層的曲折。
圖24說明將基板圖案化的方法。該方法可包含步驟2405:提供具有高深寬比介層窗圖案化結構之基板,該圖案化結構具有一有機平坦化層(OPL)、一硬遮罩層、一第一超低k(ULK)層、一第一氮摻雜低k障蔽(nblok)層、一第二ULK層、一第二nblok層、以及一下伏層。該方法可更包含執行一第一ULK層開口製程的步驟2410。該方法更包含執行一第一原子層沉積(ALD)氧化物沉積以形成一第一ALD氧化物層的步驟2415。該方法亦包含步驟2420:執行一第一nblok層開口製程,該第一ALD氧化物層在該第一nblok層開口製程期間保護該第一ULK層的至少部分。該方法的步驟2425包含執行一第二ULK層開口製程。該方法包含執行一第二原子層沉積(ALD)氧化物沉積以形成一第二ALD氧化物層的步驟2430。該方法接著包含步驟2435:執行一第二nblok層開口製程,該第二ALD氧化物層在該第二nblok層開口製程期間保護該第二ULK層的至少部分。該方法亦包含執行一ALD氧化物移除製程的步驟2440。
本發明之具體實施例更進一步的調整與替換在精於本項技術者閱覽過此描述後將是顯而易見的。因此,此描述應被理解為僅說明性的且以教示精於本項技術者執行該發明之方法為目的。應理解本發明所顯示及描述之形式及方法將被視作目前較佳的具體實施例。等效技術可取代此處所說明及描述的那些技術並且本發明之特定特徵部可獨立於其他特徵部的使用而加以利用,精於本項技術者在了解本發明所描述之益處後,上述都將顯而易見。
100‧‧‧基板結構101‧‧‧基板105‧‧‧介電質110‧‧‧第一導體115‧‧‧跨層介層窗116‧‧‧側壁117‧‧‧側壁120‧‧‧下部低k介電層125‧‧‧上部低k介電層130‧‧‧下部nblok層135‧‧‧上部nblok層(停止或障蔽層)140‧‧‧硬遮罩層145‧‧‧有機平坦化層(OPL)210‧‧‧矽抗反射層215‧‧‧圖案化光阻層505‧‧‧ALD層805‧‧‧額外ALD層部分
藉由參考後續描述以及結合隨附圖式能得到對本發明及其伴隨的優點有更完整的了解,其中圖式中相同的參考號碼意指相同特徵部。然而,應注意的是,附圖僅說明所揭露之概念的示例性具體實施例,並且因此不應視為其範疇的限制,因為所揭露概念可允許其他同等有效的具體實施例。
圖1說明示例性的一先前技術結構,表明在一跨層介層窗中介層窗輪廓曲折的影響。
圖2-11說明了使用此處所描述之介層窗蝕刻技術的一示例性製程流程。
圖12-21說明了使用此處所描述之介層窗蝕刻技術的一第二示例性製程流程。
圖22-24說明了使用此處所描述之介層窗蝕刻技術的示例性方法的示例性步驟。

Claims (19)

  1. 一種用以處理基板以形成介層窗之方法,包括:提供具有含一介層窗圖案之已圖案化層的基板;提供至少一第一低k介電層於該已圖案化層下方;提供至少一第一障蔽層於該第一低k介電層下方;提供一有機平坦化層於該已圖案化層及該第一低k介電層之間;蝕刻該第一低k介電層以形成該介層窗圖案於該第一低k介電層;在蝕刻該第一低k介電層之後,執行一原子層沉積製程以沉積一保護層於該第一低k介電層之介層窗側壁上,該原子層沉積製程獨立於該蝕刻第一低k介電層之步驟;在執行該原子層沉積製程之後,蝕刻該第一障蔽層,其中該保護層在該第一障蔽層蝕刻期間保護該第一低k介電層之介層窗側壁以限制該第一低k介電層的曲折;以及在蝕刻該第一障蔽層之後,移除該有機平坦化層。
  2. 如申請專利範圍第1項之用以處理基板以形成介層窗之方法,其中該介層窗係一跨層介層窗。
  3. 如申請專利範圍第1項之用以處理基板以形成介層窗之方法,其中該保護層包括矽氧化物。
  4. 如申請專利範圍第3項之用以處理基板以形成介層窗之方法,其中該第一低k介電層係一第一超低k介電層。
  5. 如申請專利範圍第4項之用以處理基板以形成介層窗之方法,該第一障蔽層係氮摻雜低k障蔽層。
  6. 如申請專利範圍第5項之用以處理基板以形成介層窗之方法,更包括在蝕刻該第一障蔽層之後移除該保護層。
  7. 一種用以處理基板以形成跨層介層窗之方法,包括:提供具有含一介層窗圖案之已圖案化層的基板;提供至少一上部低k介電層於該已圖案化層下方;提供至少一上部障蔽層於該上部低k介電層下方;提供至少一下部低k介電層於該上部障蔽層下方;提供至少一下部障蔽層於該下部低k介電層下方;蝕刻該上部低k介電層以形成該介層窗圖案於該上部低k介電層中;在蝕刻該上部低k介電層之後,執行一第一原子層沉積(ALD)製程以沉積一第一保護層於該上部低k介電層之介層窗側壁上;在執行該第一ALD製程之後,蝕刻該上部障蔽層,其中該第一保護層在該上部障蔽層蝕刻期間保護該上部低k介電層之介層窗側壁以限制該上部低k介電層的曲折;蝕刻該下部低k介電層以形成該介層窗圖案於該下部低k介電層中; 在蝕刻該下部低k介電層之後,執行一第二原子層沉積(ALD)製程以沉積一第二保護層於該下部低k介電層之介層窗側壁上;以及在執行該第二ALD製程之後,蝕刻該下部障蔽層,其中該第二保護層在該下部障蔽層蝕刻期間保護該下部低k介電層之介層窗側壁以限制該下部低k介電層的曲折。
  8. 如申請專利範圍第7項之用以處理基板以形成跨層介層窗之方法,更包括:提供一有機平坦化層於該已圖案化層及該上部低k介電層之間;以及在執行該第一ALD沉積製程之前移除該有機平坦化層。
  9. 如申請專利範圍第7項之用以處理基板以形成跨層介層窗之方法,更包括:提供一有機平坦化層於該已圖案化層及該上部低k介電層之間;以及在蝕刻該下部障蔽層之後移除該有機平坦化層。
  10. 如申請專利範圍第7項之用以處理基板以形成跨層介層窗之方法,其中該第一保護層及該第二保護層包括矽氧化物。
  11. 如申請專利範圍第10項之用以處理基板以形成跨層介層窗之方法,其中該上部低k介電層係一上部超低k介電層,以及該下部低k介電層係一下部超低k介電層。
  12. 如申請專利範圍第11項之用以處理基板以形成跨層介層窗之方法,該上部障蔽層係一上部低k障蔽層,以及該下部障蔽層係一下部低k障蔽層。
  13. 如申請專利範圍第12項之用以處理基板以形成跨層介層窗之方法,更包括在蝕刻該下部障蔽層之後移除該第一保護層及該第二保護層。
  14. 如申請專利範圍第7項之用以處理基板以形成跨層介層窗之方法,更包括在蝕刻該下部障蔽層之後移除該第一保護層及該第二保護層。
  15. 一種將基板圖案化之方法,該方法包括:提供具有一介層窗圖案化結構之基板,該圖案化結構具有一有機平坦化層(OPL)、一硬遮罩層、一第一超低k(ULK)層、一第一氮摻雜低k障蔽(nblok,nitrogen doped low k barrier)層、一第二ULK層、一第二nblok層、以及一下伏層;執行一第一ULK層蝕刻製程;執行一第一原子層沉積氧化物沉積以形成一第一原子層沉積氧化物層;執行一第一nblok層蝕刻製程,該第一原子層沉積氧化物層在該第一nblok層蝕刻製程期間保護該第一ULK層的至少部分;執行一第二ULK層蝕刻製程; 執行一第二原子層沉積氧化物沉積以形成一第二原子層沉積氧化物層;執行一第二nblok層蝕刻製程,該第二原子層沉積氧化物層在該第二nblok層蝕刻製程期間保護該第二ULK層的至少部分;以及執行一原子層沉積氧化物移除製程。
  16. 如申請專利範圍第15項之將基板圖案化之方法,更包括:在執行該第一原子層沉積氧化物沉積之前移除該OPL。
  17. 如申請專利範圍第15項之將基板圖案化之方法,更包括:在執行該第二nblok層蝕刻製程之後移除該OPL。
  18. 如申請專利範圍第15項之將基板圖案化之方法,其中該第一原子層沉積氧化物層在該第一nblok層蝕刻製程期間防止該第一ULK層的曲折,以及該第二原子層沉積氧化物層在該第二nblok層蝕刻製程期間防止該第二ULK層的曲折。
  19. 如申請專利範圍第18項之將基板圖案化之方法,其中該將基板圖案化之方法係用以形成一跨層介層窗。
TW107144083A 2017-12-08 2018-12-07 使用原子層沉積保護層的高深寬比介層窗蝕刻 TWI790327B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762596679P 2017-12-08 2017-12-08
US62/596,679 2017-12-08

Publications (2)

Publication Number Publication Date
TW201937600A TW201937600A (zh) 2019-09-16
TWI790327B true TWI790327B (zh) 2023-01-21

Family

ID=66696389

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107144083A TWI790327B (zh) 2017-12-08 2018-12-07 使用原子層沉積保護層的高深寬比介層窗蝕刻

Country Status (3)

Country Link
US (1) US11121027B2 (zh)
TW (1) TWI790327B (zh)
WO (1) WO2019113482A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10937690B2 (en) * 2019-03-26 2021-03-02 Micron Technology, Inc. Selective dielectric deposition
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
US11652025B2 (en) 2021-01-15 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via formation to enlarge electrochemical plating window
US20230058831A1 (en) * 2021-08-20 2023-02-23 Applied Materials, Inc. Molecular layer deposition liner for 3d nand

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070042598A1 (en) * 2003-03-04 2007-02-22 Hyun-Mog Park Dielectric with sidewall passivating layer

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5767018A (en) * 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
JP2003045964A (ja) * 2001-07-30 2003-02-14 Nec Corp 半導体装置及びその製造方法
US7265056B2 (en) * 2004-01-09 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming novel BARC open for precision critical dimension control
JP2006032864A (ja) * 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US9136307B2 (en) * 2012-02-09 2015-09-15 Micron Technology, Inc. Memory cells and memory cell formation methods using sealing material
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US9305832B2 (en) * 2014-06-26 2016-04-05 Globalfoundries Inc. Dimension-controlled via formation processing
KR102225696B1 (ko) * 2014-09-01 2021-03-12 에스케이하이닉스 주식회사 연결 배선 구조체 형성 방법
US9431297B2 (en) * 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
KR102379370B1 (ko) * 2014-12-23 2022-03-28 인텔 코포레이션 비아 차단 층
US10332790B2 (en) * 2015-06-15 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with interconnect structure
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10541204B2 (en) * 2015-10-20 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and method of forming the same
US9799558B2 (en) * 2015-11-16 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure in semiconductor structure
US9728501B2 (en) * 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10535558B2 (en) * 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US10381448B2 (en) * 2016-05-26 2019-08-13 Tokyo Electron Limited Wrap-around contact integration scheme
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
US10304725B2 (en) * 2016-08-26 2019-05-28 Tokyo Electron Limited Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
US10008416B2 (en) * 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US9805972B1 (en) 2017-02-20 2017-10-31 Globalfoundries Inc. Skip via structures
US10109526B1 (en) * 2017-05-31 2018-10-23 Globalfoundries Inc. Etch profile control during skip via formation
US11088020B2 (en) * 2017-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US10804138B2 (en) * 2017-09-22 2020-10-13 United Microelectronics Corp. Method for fabricating a semiconductor device
US10964587B2 (en) * 2018-05-21 2021-03-30 Tokyo Electron Limited Atomic layer deposition for low-K trench protection during etch
US11164781B2 (en) * 2018-07-11 2021-11-02 Tokyo Electron Limited ALD (atomic layer deposition) liner for via profile control and related applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070042598A1 (en) * 2003-03-04 2007-02-22 Hyun-Mog Park Dielectric with sidewall passivating layer

Also Published As

Publication number Publication date
WO2019113482A1 (en) 2019-06-13
US11121027B2 (en) 2021-09-14
US20190181041A1 (en) 2019-06-13
TW201937600A (zh) 2019-09-16

Similar Documents

Publication Publication Date Title
TWI790327B (zh) 使用原子層沉積保護層的高深寬比介層窗蝕刻
US10002784B2 (en) Via corner engineering in trench-first dual damascene process
US8450212B2 (en) Method of reducing critical dimension process bias differences between narrow and wide damascene wires
TWI579998B (zh) 半導體裝置與其形成方法
US10937694B2 (en) Chamferless via structures
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
US11011421B2 (en) Semiconductor device having voids and method of forming same
US20170186616A1 (en) Spacers with Rectangular Profile and Methods of Forming the Same
US7955968B2 (en) Pseudo hybrid structure for low K interconnect integration
TW201926436A (zh) 製造半導體裝置的方法及其結構
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
JP7142607B2 (ja) Low-k層を保護する方法
TW201214560A (en) Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
US7179734B2 (en) Method for forming dual damascene pattern
US9502264B2 (en) Method for selective oxide removal
US20040002210A1 (en) Interconnect structure and method for forming
KR20080030292A (ko) 반도체 소자의 금속 배선 형성 방법
US10319626B1 (en) Interconnects with cuts formed by block patterning
US8900997B2 (en) Method for forming a dual damascene structure of a semiconductor device, and a semiconductor device therewith
JP2003332337A (ja) 半導体装置の製造方法
TW202145338A (zh) 用於反應性離子蝕刻(rie)延遲縮減及腔室角隅保護之介電蝕刻停止層
KR20090098134A (ko) 반도체 소자의 듀얼 다마신 금속 배선 패턴 형성 방법
JP2012015540A (ja) 半導体装置