TWI781260B - 針對多色圖案化之自間隔物的心軸拉除用製造方法 - Google Patents

針對多色圖案化之自間隔物的心軸拉除用製造方法 Download PDF

Info

Publication number
TWI781260B
TWI781260B TW107144938A TW107144938A TWI781260B TW I781260 B TWI781260 B TW I781260B TW 107144938 A TW107144938 A TW 107144938A TW 107144938 A TW107144938 A TW 107144938A TW I781260 B TWI781260 B TW I781260B
Authority
TW
Taiwan
Prior art keywords
spacers
mandrels
spacer
layer
microelectronic
Prior art date
Application number
TW107144938A
Other languages
English (en)
Other versions
TW201941295A (zh
Inventor
孫興華
安潔莉 D 萊利
安德魯 W 梅茨
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201941295A publication Critical patent/TW201941295A/zh
Application granted granted Critical
Publication of TWI781260B publication Critical patent/TWI781260B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Optical Filters (AREA)

Abstract

描述用於處理具有圖案化結構之微電子工作件之實施例,以改善針對多色圖案化之從間隔物之心軸拉除。所揭示的實施例包括:形成圖案化結構在基板上,圖案化結構包括心軸;形成凹陷的間隔物與心軸相鄰,使得間隔物之高度小於心軸之高度;形成保護帽在間隔物上方,同時使心軸之頂表面外露;及移除心軸,以留下具有帽保護之間隔物圖案。接著,在另外的處理步驟中,可將餘留的間隔物圖案轉移至下方層。間隔物之凹陷及保護帽之形成有助於,對於多色圖案化之從間隔物之心軸拉除期間,減少或消除在先前解決方案中所遭受之間隔物損壞。

Description

針對多色圖案化之自間隔物的心軸拉除用製造方法
〔相關申請案之交互參照〕本申請案係關於2017年12月19日提出之美國臨時專利申請案第62/607,822號,並主張其優先權,其完整內容係併入本申請案中之參考資料。
本揭示內容關於微電子工作件之處理方法,尤其關於在微電子工作件上產生圖案化結構之方法。
半導體元件之形成涉及與基板上之多個材料層之形成、圖案化及去除相關之一系列製造技術。為了滿足當前及下一代半導體元件之物理及電性規格,處理流程被要求要縮小特徵部尺寸,同時對於各種圖案化處理能維持結構完整性。
關於用於間隔物圖案化(spacer patterning)處理之自對準雙重∕多重圖案化(SADP、SAMP)或側壁圖像轉移(SIT),心軸(mandrel)及間隔物是兩種常見的硬遮罩材料,其可用於在先進半導體節點中獲得小間距結構。為了實現這種SADP ∕ SAMP ∕ SIT處理,從相鄰的間隔物之心軸拉除是一個關鍵的處理步驟,可影響圖案轉移至下方層(例如金屬硬遮罩層、低介電(低K)材料層、及∕或其它下方層)之整體成功。對於心軸拉除,通常使用電漿蝕刻,其需要高度非等向性及高度選擇性的蝕刻。
圖1A-1F(先前技術)提供用於自對準雙重圖案化(SADP)處理、側壁轉移(SIT)圖案化處理及∕或包括從間隔物之心軸拉除之其它圖案化處理之傳統處理流程之示例性實施例。
首先參考圖1A(先前技術),顯示出材料層及圖案化結構之示例性實施例100,其係在使用微影以在硬遮罩(HM)114之頂部上形成光阻材料之柱體112之後,其中硬遮罩114已形成在基板102上之下方結構上。微影處理可包括光學微影、極紫外光(EUV)微影及∕或其它期望的微影處理。對於示例性實施例100,在硬遮罩層114下方之層包括有機層104、心軸覆蓋層106、金屬層108及介電層110。這些層都在基板102之頂部上方,基板例如為用於微電子工作件之半導體基板。
應當注意,硬遮罩層114可為,例如,以下材料之一種或多種,包括但不限於四乙氧基矽烷(TEOS)、矽氧化物(SiOx )、低溫矽氧化物、矽氮化物(SiN)、犧牲SiN、SiCOH、矽氮氧化物(SiON)及∕或其它硬遮罩材料。用於柱體112之光阻材料可為,例如,正型光阻材料或負型光阻。有機層104可為,例如,以下材料之一種或多種,包括但不限於OPL(有機平坦化層)、SOH(旋塗硬遮罩)、SOC(旋塗碳)硬遮罩及∕或其它有機層材料。心軸覆蓋層106可為,例如,以下材料之一種或多種,包括但不限於SiN、SiOx 、SiC、氮摻雜矽、金屬氧化物、金屬氮化物、金屬、NBLoK(氮阻障低k材料)、矽碳氮化物(SiCN)及∕或其它期望的保護材料。金屬層108可為,例如,以下材料之一種或多種,包括但不限於金屬氮化物(包括鈦氮化物(TiN))、金屬氧化物及∕或其它金屬接觸材料。介電層110可為,例如,低K材料層,包括但不限於SiCOH、緻密SiCOH、多孔SiCOH、其它多孔介電材料及∕或其它低K或介電材料。應當注意,這些係提供做為示例性材料,亦可使用另外的及∕或不同的材料。
圖1B(先前技術)顯示出示例性實施例120,其係在實施蝕刻處理及隨後的剝除以在圖1A(先前技術)中之柱體112下方形成心軸122之後。蝕刻處理可為,例如,反應性離子蝕刻(RIE)處理或其它蝕刻處理,其提供硬遮罩層114、有機層104及心軸覆蓋層106之未被柱體112所覆蓋之部分之非等向性蝕刻。在蝕刻處理期間,柱體112以及硬遮罩層114之該部分被移除,且藉由稍後的剝除處理而移除在柱體112下方之有機層104。心軸122為心軸覆蓋層106留存在金屬層108上方之部分。應當注意,蝕刻處理可為包括介電及∕或有機蝕刻處理步驟之電漿蝕刻處理,此電漿蝕刻可包括含有氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿。此外,此電漿蝕刻可在具有不同放電電漿以用於指向性蝕刻硬遮罩層114及有機層104之多個步驟中完成。
圖1C(先前技術)提供示例性實施例130,其係在實施間隔物沉積處理以在心軸122上方沉積間隔物層132之後。例如,間隔物層132可為使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及∕或其它沉積處理所沉積之氧化物層或其它保護層。
圖1D(先前技術)提供示例性實施例140,其係在實施間隔物打開處理以形成與心軸122相鄰之間隔物142之後。間隔物打開處理可為,例如,非選擇性及非等向性蝕刻處理,其蝕刻間隔物層132以留下間隔物142與心軸122相鄰。在原始間隔物層132之典型蝕刻處理之後,間隔物142之高度幾乎與心軸122之高度相同,且金屬層108可在心軸122之間外露,如實施例140所示。此蝕刻處理亦可為包括介電及∕或有機蝕刻處理步驟之電漿蝕刻處理,如上所述。
圖1E(先前技術)提供示例性實施例150,其係在實施心軸拉除處理以移除心軸122並留下間隔物142成為間隔物圖案152之後,間隔物圖案152稍後可轉移至下方層,例如金屬層108及介電層110。間隔物打開處理可為,例如,蝕刻處理,其選擇性地蝕刻心軸122以留下間隔物142。此蝕刻處理亦可為包括介電及∕或有機蝕刻處理步驟之電漿蝕刻處理,如上所述。
圖1F(先前技術)提供示例性實施例160,其係在實施圖案轉移處理以將間隔物圖案152轉移至金屬層108及介電層110之圖案162之後。圖案轉移處理可為,例如,蝕刻處理,其蝕刻間隔物142、金屬層108及介電層110以形成圖案162。取決於間隔物142之高度及蝕刻處理之持續時間,間隔物142之一部分可能留下做為轉移至金屬層108及介電層110之圖案162之一部分。此蝕刻處理亦可為包括介電及∕或有機蝕刻處理步驟之電漿蝕刻處理,如上所述。
在從相鄰的間隔物拉除心軸期間,如圖1E(先前技術)所示,需要高度選擇性(例如,大於10之選擇性)以解決在心軸122形成時與微影相關之誤差,尤其是在使用自對準阻擋(SAB)及∕或自對準切口(SACut)圖案化之情況下。然而,高度的選擇性是難以達成的,且經常在間隔物上觀察到局部損壞。間隔物之此局部損壞可能導致使用這些心軸拉除處理所製造之微電子元件中之斷線及∕或其它缺陷。因此,從間隔物拉除心軸需要改善,特別是對於7奈米(nm)及5 nm製程之先進技術製程節點而言。
描述用於處理具有圖案化結構之微電子工作件之實施例,以改善在多色圖案化(multi-color patterning)中之從間隔物之心軸拉除。所揭示的實施例包括:形成圖案化結構在基板上,圖案化結構包括心軸;形成凹陷的間隔物與心軸相鄰,使得間隔物之高度小於心軸之高度;形成保護帽在間隔物上方,同時使心軸之頂表面外露;及移除心軸,以留下具有帽保護之間隔物圖案。接著,在另外的處理步驟中,可將餘留的間隔物圖案轉移至下方層。間隔物之凹陷及保護帽之形成有助於,在多色圖案化之從間隔物拉除心軸期間,減少或消除在先前解決方案中所遭受之間隔物損壞。亦可實行各種變化,同時仍然利用本文中所述之技術。
對於一實施例,揭示微電子工作件之處理方法,包括:形成圖案化結構在基板上,圖案化結構包括心軸;形成間隔物與心軸相鄰,間隔物相對於心軸為凹陷,使得間隔物之高度小於心軸之高度;形成保護帽在間隔物上方,同時使心軸之頂表面外露;及移除心軸,以留下間隔物圖案與保護帽。
在另一實施例中,該方法更包括移除保護帽。在又一實施例中,該方法更包括將間隔物圖案轉移至在間隔物圖案下方之下方層。在又另一實施例中,下方層包括金屬層及介電層。
在另一實施例中,形成間隔物之步驟包括:沉積間隔物層在心軸上方;及蝕刻間隔物層,以形成間隔物與心軸相鄰。在又一實施例中,蝕刻在間隔物層材料與心軸材料之間具有大於2且小於10之選擇性。在又另一實施例中,蝕刻之實施係使用電漿蝕刻處理。
在另一實施例中,移除心軸之步驟包括蝕刻心軸以留下間隔物圖案。在又一實施例中,蝕刻在心軸材料與間隔物材料之間具有大於2且小於10之選擇性。在又另一實施例中,蝕刻之實施係使用電漿蝕刻處理。
在另一實施例中,間隔物之頂表面相對於心軸之頂表面之凹陷係在約10至40奈米之範圍中。在又一實施例中,間隔物高度係心軸高度之約60至90百分比。
在另一實施例中,形成保護帽之步驟包括:沉積保護層在間隔物及心軸上方;及蝕刻保護層,以形成保護帽在間隔物上方。在又一實施例中,保護層包括有機平坦化層(OPL)。在又另一實施例中,保護帽係覆蓋相鄰的心軸在間隔物頂表面上方延伸之暴露部分之高度之約60至80百分比。
在另一實施例中,形成間隔物之步驟包括:沉積間隔物層在心軸上方;沉積保護層在間隔物層上方;蝕刻保護層,以留下一或更多插塞在心軸之間;及蝕刻間隔物層,以形成間隔物與心軸相鄰,其中間隔物包括與一或更多插塞相連之一或更多連接的間隔物。在又一實施例中,插塞係形成在每一心軸之間,且連接的間隔物係與每一插塞相連。
在另一實施例中,形成圖案化結構之步驟包括微影處理及一或更多硬遮罩層。在又一實施例中,心軸包括矽氮化物或矽氧化物其中至少一者。在又另一實施例中,保護帽包括一有機材料。
在另一實施例中,該方法亦包括控制操作變數,以確保蝕刻選擇性、間隔物凹陷量、或保護帽覆蓋量其中至少一者符合目標參數。
如果需要,可實現不同的或額外的特徵、變化及實施例,並且也可以利用相關的系統及方法。
描述了用於處理具有圖案化結構之微電子工作件之實施例,以改善針對多色圖案化之從間隔物之心軸拉除。
隨著半導體元件工業朝向更小的關鍵尺寸移動,開發新處理流程之必要性已經增加,以符合或超越當前及下一代元件之電性、物理及可靠性規格。如上所述,在心軸拉除處理期間,可能發生間隔物損壞,此間隔物損壞可能導致後續的缺陷及失效在完成的微電子元件中。
相較於先前處理技術,所揭示的實施例採用之心軸拉除處理技術會保護間隔物並且提供改善的結果。所揭示的實施例可做為多色圖案化處理之一部分,多色圖案化處理包括從相鄰的間隔物拉除心軸之處理步驟。多色圖案化處理可包括沉積、微影及心軸、間隔物及有機保護材料之蝕刻。沉積處理之實施可使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及∕或其它沉積處理。微影處理之實施可使用光微影、極紫外光(EUV)微影及∕或其它微影處理。蝕刻處理可提供多材料凹陷及移除,且其實施可使用電漿蝕刻處理、放電蝕刻處理及∕或其它期望的蝕刻處理。用於從間隔物拉除心軸之所揭示實施例之應用包括自對準阻擋(SAB)、自對準切口(SACut)整合及∕或其它自對準阻擋∕切口處理。亦可實施變化,同時仍然利用本文中所述之心軸拉除及間隔物保護技術。
圖2為用於製造處理之示例性實施例200之流程圖,用於實施心軸拉除同時保護相鄰的間隔物。在步驟202中,提供具有圖案化結構之基板,圖案化結構包括在基板之下方層上所形成之心軸。在步驟204中,形成間隔物層在心軸上方。在步驟206中,蝕刻間隔物層以形成間隔物,且間隔物相對於心軸為凹陷。在步驟208中,形成保護層(例如,有機保護層)在間隔物及心軸上方。在步驟210中,藉由蝕刻處理使每一心軸之頂表面外露,同時留下保護帽在間隔物上方。在步驟212中,例如,使用蝕刻處理以移除心軸。在步驟214中,例如,使用蝕刻處理以從間隔物移除保護帽。此外,如步驟216所示,在步驟204、206、208、210、212及∕或214中控制操作變數以確保蝕刻選擇性目標、間隔物凹陷目標(例如,以心軸高度之百分比做為高度及∕或目標高度值)、保護帽目標(例如,相鄰的心軸之外露部分之高度之覆蓋百分比)、及∕或其它目標參數被滿足。操作變數可包括,例如,腔室溫度、腔室壓力、氣體流率、在電漿產生時施加至電極組件之頻率及∕或功率、及∕或處理步驟之其它操作變數。應當注意,在移除心軸及∕或保護層帽之後所留存之間隔物圖案可接著在另外的處理步驟中轉移至下方層。亦應注意,接著可使用另外的及∕或不同的處理步驟,同時仍然利用本文中所述之技術以改善從間隔物之心軸拉除。
先前的解決方案容易對間隔物造成損壞,而本文中所述之從間隔物拉除心軸之技術克服了此問題。某種程度上,藉由使間隔物凹陷且接著沉積保護層以覆蓋間隔物,從而在心軸拉除處理期間保護間隔物免受材料損失或損壞,所揭示的實施例因而達成此結果。用於保護層之材料可為SOH、SOC硬遮罩、OPL及∕或在心軸拉除處理中保護間隔物之其它有機材料。此保護層覆蓋著凹陷間隔物之頂部,允許心軸較容易以蝕刻處理拉除。
對於所揭示的實施例,間隔物蝕刻及心軸蝕刻處理不需要具有與先前解決方案相關之高選擇性,而是可以在間隔物層材料與心軸材料之間具有溫和的選擇性(例如,大於2且小於10之選擇性)。間隔物蝕刻處理用於使間隔物凹陷而低於心軸,以使心軸在間隔物上方延伸。間隔物相對於心軸之凹陷提供一區域給保護帽,如本文中之更詳細描述。接著使保護層形成並且圖案化,使得間隔物被保護帽所覆蓋,從而在心軸拉除處理期間受到保護。用於心軸拉除之蝕刻處理在心軸材料與間隔物材料之間具有選擇性,此選擇性不需要具有與先前解決方案相關之高選擇性,而是可以在間隔物層材料與心軸材料之間具有溫和的選擇性(例如,大於2且小於10之選擇性)。一旦心軸拉除完成,接著可以輕易地剝除保護帽,例如有機保護帽。此外,對於更複雜的處理流程,例如自對準阻擋(SAB)處理、自對準切口(SACut)處理及∕或其它圖案化阻擋處理,在心軸拉除之前之間隔物之凹陷及保護帽之形成亦傾向於減少對間隔物之局部損壞。因此,相較於先前技術,所揭示的實施例減少或消除在心軸拉除處理期間之間隔物損壞問題。例如,先前的解決方案遭受間隔物頂表面之切削及∕或由於與現有的心軸拉除技術相關之困難所造成之其它間隔物損壞。
以下參考圖4A-F、圖5A-I及圖6A-I,以更詳細地說明所揭示的實施例之示例性處理流程,然而可實行各種的處理流程,同時仍然利用本文中所述之技術。在討論這些示例性處理流程之前,參考圖3而描述用於示例性電漿蝕刻處理系統之實施例300。然而,應當注意,本文中所述之技術可與各種蝕刻處理系統一起使用,且實施例300僅為示例性實施例。
圖3為工作件製造系統(例如電漿處理設備)之示例性實施例300之方塊圖,工作件製造系統可做為本文中所述之實施例之蝕刻腔室。具體而言,圖3繪示僅用於說明目的之電漿處理設備之示例性實施例,其可用於實行本文中所述之處理技術。應當了解,其它電漿處理系統及其它蝕刻處理系統同樣可實行本文中所述之技術。對於圖3之示例性實施例300,提供了電容耦合電漿處理設備之概要橫剖面圖,電容耦合電漿處理設備包括處理空間(PS),提供蝕刻腔室給微電子工作件。亦可使用替代的電漿處理設備,包括,例如但不限於,感應耦合電漿處理設備、微波電漿處理設備等。電容耦合電漿處理設備可能特別適合,因為這種設備之電極間距容許有利地控制氣體至電漿空間之局部區域,從而在基板上提供局部電漿處理。
電漿處理設備300可用於多種操作,包括灰化、蝕刻、沉積、清潔、電漿聚合、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)等。電漿處理設備300之結構是眾所周知的,且本文中所提出之特定結構僅僅是示例性的。電漿處理可在處理腔室301內進行,處理腔室301可為由金屬(例如鋁或不銹鋼)所製成之真空腔室。處理腔室301界定處理容器,其提供用於電漿產生之處理空間(PS)。處理容器之內壁可塗覆有氧化鋁、氧化釔或其它保護劑。處理容器之形狀可為圓柱形或具有其它幾何構造。
在處理腔室301內之下部中心區域處,基座312(其可為盤狀)可做為載置台,例如待處理的基板302(例如半導體晶圓 )可放置在載置台上。基板302可通過裝載∕卸載埠及閘閥而移動至處理腔室301中。基座312形成做為第二電極之範例之下電極組件320之一部分,第二電極做為載置台以放置基板302於其上。基座312可由,例如,鋁合金所製成。在基座312上設置有靜電卡盤(做為下電極組件之一部分)以用於固定基板302。靜電卡盤設置有電極335。電極335電連接至直流(DC)電源(未顯示)。藉由當來自DC電源之DC電壓施加至電極335時所產生之靜電力,靜電卡盤將基板302吸引至其上。基座312可通過匹配單元與高頻電源電連接。對於其它實施例及處理腔室,可使用二或更多電源並將其連接至處理腔室內之電極335及∕或其它電極。此高頻電源(第二電源)可輸出,例如,在2 MHz至20 MHz範圍中之高頻電壓。施加高頻偏壓功率使得在處理腔室301中所產生之電漿中之離子被吸引至基板302。聚焦環組件338設置在基座312之上表面上方以圍繞靜電卡盤。
排氣通道333可藉由連接至氣體排氣單元之一或更多排氣口(未顯示)而形成。氣體排氣單元可包括真空泵(例如渦輪分子泵),用以將處理腔室301內之電漿處理空間排氣至期望的真空條件。氣體排氣單元將處理腔室301之內部抽真空,從而將其內部壓力減壓至期望的真空度。
上電極組件370為第一電極之範例,設置在下電極組件320之正上方,以平行地面對下電極組件320。電漿產生空間或處理空間(PS)係界定在下電極組件320與上電極組件370之間。上電極組件370包括盤狀的內上電極371及外上電極,外上電極可為環狀並且圍繞內上電極371之周緣。內上電極371亦做為處理氣體入口,用於將特定量的處理氣體注入至在基板302(放置在下電極組件320上)上方之處理空間(PS)中。藉此,上電極組件370形成噴淋頭。具體而言,內上電極371包括氣體注入開口382。
上電極組件370可包括一或更多緩衝腔室389A、389B及389C。緩衝腔室用於擴散處理氣體並且可界定盤狀空間。來自處理氣體供應系統380之處理氣體將氣體供應至上電極組件370。處理氣體供應系統380可用於供應處理氣體以在基板302上實施特定處理,例如成膜、蝕刻等。處理氣體供應系統380連接至氣體供應管線381A、381B及381C,其形成處理氣體供應通道。氣體供應管線連接至內上電極371之緩衝腔室。接著,處理氣體可從緩衝腔室移動至在其下表面處之氣體注入開口382。引入緩衝腔室389A-C之處理氣體之流率可藉由,例如,使用質量流量控制器來調整。此外,引入的處理氣體從電極板(噴淋頭電極)之氣體注入開口382排放至處理空間(PS)。內上電極371部分地用於提供噴淋頭電極組件。
如圖3所示,設置三緩衝腔室389A、389B及389C,對應於邊緣緩衝腔室389A、中間緩衝腔室389B及中心緩衝腔室389C。類似地,氣體供應管線381A、381B及381C可配置為邊緣氣體供應管線381A、中間氣體供應管線381B及中心氣體供應管線381C。緩衝腔室以對應於基板之不同局部區域的方式加以設置,在此例子中為邊緣、中間及中心。如以下將更詳細討論的,這些區域可以對應於基板302之局部區域之特定電漿處理條件。應當了解,使用三局部區域僅為示例性的。因此,電漿處理設備可用於在基板之任何數量的區域上提供局部電漿處理條件。應再次注意,可使用各種配置其中任何一者,且本文中所述之技術不限於處理氣體供應系統380用於將氣體分流至不同緩衝腔室之方式。
上電極組件370經由供電饋線365及匹配單元366與高頻電源(未顯示)(第一高頻電源)電連接。高頻電源可輸出頻率為40 MHz(百萬赫茲)或更高(例如,60 MHz)之高頻電壓,或者可輸出頻率為30-300 MHz之特高頻(VHF)電壓。相較於偏壓電源,此電源可稱為主電源。應當注意,對於某些實施例,沒有電源給上電極,且二電源連接至底電極。亦可實行其它變化。
電漿處理設備之配件可連接至控制單元並由控制單元所控制,控制單元又可連接至相應的記憶儲存單元及使用者界面(均未顯示)。可通過使用者界面以執行各種電漿處理操作,且各種電漿處理配方及操作可儲存在儲存單元中。因此,可利用各種微製造技術而在電漿處理腔室內處理給定的基板。在操作中,電漿處理設備使用上電極及下電極以在處理空間(PS)中產生電漿。接著,可使用所產生的電漿,以各種類型的處理而處理目標基板(例如基板302或任何待處理之材料),各種類型的處理例如為電漿蝕刻、化學氣相沉積、半導體材料、玻璃材料及大型面板(例如薄膜太陽能電池、其它光伏電池、及用於平板顯示器之有機∕無機板)之處理等。
現在參考圖4A-D、5A-I及6A-I,提供了在心軸拉除處理期間保護間隔物之示例性處理流程實施例。應當注意,在這些實施例中所示之圖案化結構及特徵部僅為示例性圖案化結構及特徵部,所揭示的技術亦可用於其它圖案化結構及特徵部。亦應注意,對於製造中的微電子工作件,亦可實行額外的及∕或不同的處理步驟,同時仍然利用本文中所述之技術。
圖4A-F提供了示例性處理流程,其中保護帽432用於在拉除心軸122之蝕刻處理期間保護間隔物402。
圖4A提供了在實施間隔物沉積處理以形成間隔物層132在心軸122上之後、具有圖案化結構之基板102之實施例400,實施例400與圖1C(先前技術)之實施例130相匹配。下方層亦可包括在基板102頂部上方之金屬層108及介電層110,然而亦可提供不同的下方層,基板102例如為用於微電子工作件之半導體基板。如上所述,間隔物層132可為,例如,氧化物層或其它保護層,其使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積( ALD)及∕或其它沉積處理加以沉積。亦可使用額外的及∕或不同的沉積處理。
圖4B提供了在實施間隔物打開處理以形成與心軸122相鄰之間隔物402之後之示例性實施例410。相較於圖1D(先前技術)所示之先前解決方案,實施例410之間隔物打開處理使間隔物402之頂表面凹陷(相對於心軸122之頂表面)。例如,心軸122之頂表面可在凹陷的間隔物402之頂表面上方約10至40奈米(nm)之範圍中延伸,其中心軸之高度為約100 nm。做為另一範例,間隔物402可相對於心軸122凹陷,使得餘留間隔物402之高度為心軸122高度之約60至90百分比。間隔物打開處理可為,例如,非等向性蝕刻處理,其選擇性地蝕刻間隔物層132以留下間隔物402與心軸122相鄰。在原始間隔物層132之蝕刻處理之後,金屬層108亦外露於心軸122之間,如實施例410所示。應當注意,蝕刻處理可為包括介電質蝕刻處理步驟之電漿蝕刻處理、連續波或準原子層蝕刻(QALE),且電漿蝕刻可包括含有氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿。亦應注意,間隔物層蝕刻可在間隔物層132材料與心軸122材料之間具有溫和的選擇性(例如,大於2且小於10之選擇性)。亦可使用額外的及∕或不同的蝕刻處理。
圖4C提供了在形成保護層422於間隔物402及心軸122上之後之示例性實施例420。對於一實施例,保護層422之材料可為SOH、SOC硬遮罩、OPL及∕或在心軸拉除處理期間保護間隔物之其它有機材料。保護層422之形成可藉由沉積處理,例如旋塗沉積處理、電漿沉積處理及∕或另一沉積處理。對於電漿沉積處理,可使用前驅物氣體混合物,包括但不限於烴、氟碳化合物或含氮烴與一或更多稀釋氣體(例如,氬、氮等)之組合,在各種壓力、功率、流量及溫度條件下。亦可使用額外的及∕或不同的沉積處理。
圖4D提供了在蝕刻保護層422以暴露心軸122之頂表面並且留下覆蓋著間隔物402之保護帽432之後之示例性實施例430。對於一實施例,蝕刻保護層422,以使得每一心軸122在間隔物402頂表面上方延伸之暴露部分之高度之約60至80百分比被保護帽432所覆蓋。對於保護層422之蝕刻,可使用標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖4E提供了在實施心軸拉除處理以移除心軸122並留下間隔物402在下方層上方之後之示例性實施例440。心軸拉除處理可為,例如,蝕刻處理,其選擇性地蝕刻心軸122以留下被保護帽432所覆蓋之間隔物402。相較於圖1E(先前技術)所示之先前心軸拉除處理,由於形成保護帽432以保護間隔物402,所以選擇性要求降低。例如,心軸蝕刻處理可在心軸122材料與間隔物402材料之間具有溫和的選擇性(例如,大於2且小於10之選擇性)。應當注意,心軸拉除處理可為包括有機蝕刻處理步驟之電漿蝕刻處理,此電漿蝕刻可包括含有氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿。亦可使用額外的及∕或不同的蝕刻處理。
圖4F提供了在移除保護帽432以留下間隔物402成為間隔物圖案452之後之示例性實施例450,間隔物圖案452隨後可轉移至下方層,例如金屬層108及介電層110。如實施例450中所示之餘留的間隔物402之間隔物圖案452係與圖1E(先前技術)中之實施例150之間隔物圖案152匹配。如本文中所述,可實施後續的處理步驟,例如,以將間隔物圖案452轉移至下方層,例如金屬層108及介電層110。為了移除保護帽432,可使用標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖5A-I提供了示例性處理流程,其中保護帽552用於在拉除心軸122之蝕刻處理期間保護連接的間隔物532,並且使用額外的處理步驟(相較於圖4A-F)。
圖5A提供了在實施間隔物沉積處理以沉積間隔物層132在心軸122上方之後、具有圖案化結構之基板102之實施例500,實施例500與圖4A之實施例400相匹配。如上所示,間隔物層132例如可為使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及∕或其它沉積處理所沉積之氧化物層或其它保護層。亦可使用額外的及∕或不同的沉積處理。
圖5B提供了在形成保護層512於間隔物層132及心軸122上方之後之示例性實施例510。對於一實施例,用於保護層512之材料可為SOH、SOC硬遮罩、OPL及∕或在心軸拉除處理期間保護間隔物之其它有機材料。保護層512之形成可藉由沉積處理,例如旋塗沉積處理、電漿沉積處理及∕或另一沉積處理。對於電漿沉積處理,可使用前驅物氣體混合物,包括但不限於烴、氟碳化合物或含氮烴與一或更多稀釋氣體(例如,氬、氮等)之組合,在各種壓力、功率、流量及溫度條件下。亦可使用額外的及∕或不同的沉積處理。
圖5C提供了在蝕刻保護層512以留下插塞522之後之示例性實施例520,插塞522覆蓋在心軸122之間之井。為了蝕刻保護層512,可使用標準的有機蝕刻步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖5D提供了在實施間隔物打開處理以形成與心軸122相鄰之連接的間隔物532、並且移除插塞522之後之示例性實施例530。類似於圖4B之實施例410,實施例530之間隔物打開處理使連接的間隔物532之頂表面凹陷(相對於心軸122之頂表面)。例如,心軸122之頂表面可在凹陷的間隔物532之頂表面上方約10至40 nm之範圍中延伸,其中心軸之高度為約100 nm。做為另一範例,連接的間隔物532可相對於心軸122凹陷,使得餘留的連接間隔物532之高度為心軸122高度之約60至90百分比。間隔物打開處理可為,例如,非等向性蝕刻處理,其蝕刻間隔物層132以留下連接的間隔物532與心軸122相鄰。如關於圖4B所述,此間隔物蝕刻可使用溫和的選擇性。應當注意,蝕刻處理可為包括介電質蝕刻處理步驟之電漿蝕刻處理,且此電漿蝕刻可包括含有氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿。亦應注意,為了移除插塞522,可使用蝕刻處理,例如標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖5E提供了在連接的間隔物532及心軸122上形成保護層542之後之示例性實施例540。對於一實施例,保護層542之材料可為SOH、SOC硬遮罩、OPL及∕或在心軸拉除處理期間保護間隔物之其它有機材料。保護層542之形成可藉由沉積處理,例如使用前驅物氣體混合物之電漿處理,前驅物氣體混合物包括但不限於烴、氟碳化合物或含氮烴與一或更多稀釋氣體(例如,氬、氮等)之組合,在各種壓力、功率、流量及溫度條件下。亦可使用額外的及∕或不同的沉積處理。
圖5F提供了在蝕刻保護層542以暴露心軸122之頂表面並且留下保護帽552之後之示例性實施例550,保護帽552覆蓋著連接的間隔物532。對於一實施例,蝕刻保護層542,以使得每一心軸122在連接的間隔物532頂表面上方延伸之暴露部分之高度之約60至80百分比被保護帽532所覆蓋。對於保護層542之蝕刻,可使用標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖5G提供了在實施心軸拉除處理以移除心軸122並留下連接的間隔物532在下方層上方之後之示例性實施例560。心軸拉除處理可為,例如,蝕刻處理,其選擇性地蝕刻心軸122以留下連接的間隔物532。如關於圖4E所示,由於形成保護帽552以保護連接的間隔物532,所以選擇性要求降低(相較於先前解決方案),此心軸蝕刻因此可使用溫和的選擇性。應當注意,心軸拉除處理可為包括有機蝕刻處理步驟之電漿蝕刻處理,此電漿蝕刻可包括含有氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿。亦可使用額外的及∕或不同的蝕刻處理。
圖5H提供了在移除保護帽552以留下連接的間隔物532之後之示例性實施例570。為了移除保護帽552,可使用標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖5I提供了在移除保護帽552之水平連接部分以留下間隔物582成為間隔物圖案584之後之示例性實施例580,間隔物圖案584隨後可轉移至下方層,例如金屬層108及介電層110。如實施例580中所示之餘留的間隔物582之間隔物圖案584係與圖4F之實施例450之間隔物圖案452匹配。可實施後續的處理步驟,例如,以將間隔物圖案584轉移至下方層,例如金屬層108及介電層110。為了移除保護帽552之水平部分,可使用標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖6A-I提供了示例性處理流程,其中保護帽652用於在拉除心軸122之蝕刻處理期間保護間隔物632∕634,其中使用額外的處理步驟(相較於圖4A-F)。
圖6A提供了在實施間隔物沉積處理以沉積間隔物層132在心軸122上方之後、具有圖案化結構之基板102之實施例600,實施例600與圖4A之實施例400相匹配。如上所述,間隔物層132可為,例如,使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及∕或其它沉積處理所沉積之氧化物層或其它保護層。亦可使用額外的及∕或不同的沉積處理。
圖6B提供了在形成保護層612於間隔物層132及心軸122上方之後之示例性實施例610。對於一實施例,保護層612之材料可為SOH、SOC硬遮罩、OPL及∕或在心軸拉除處理期間保護間隔物之其它有機材料。亦形成硬遮罩層614及光阻材料之柱體616,例如,使用沉積及微影圖案化處理。保護層612之形成可藉由沉積處理,例如,使用前驅物氣體混合物之電漿處理,前驅物氣體混合物包括但不限於烴、氟碳化合物或含氮烴與一或更多稀釋氣體(例如,氬、氮等)之組合,在各種壓力、功率、流量及溫度條件下。亦可使用額外的及∕或不同的沉積處理。
圖6C提供了在蝕刻保護層612及硬遮罩層614以留下插塞622及硬遮罩帽624、並且移除柱體616之後之示例性實施例620。對於保護層612及硬遮罩層614之蝕刻及柱體616之移除,可使用標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖6D提供了在實施間隔物打開處理以形成間隔物632及連接的間隔物634與心軸122相鄰、並且移除插塞622及硬遮罩帽624之後之示例性實施例630。類似於圖4B之實施例410,實施例630之間隔物打開處理使間隔物632及連接的間隔物634之頂表面凹陷(相對於心軸122之頂表面)。例如,心軸122之頂表面可在凹陷的間隔物632∕634之頂表面上方約10至40 nm之範圍中延伸,其中心軸之高度為約100 nm。做為另一範例,間隔物632及連接的間隔物634可相對於心軸122凹陷,使得餘留的間隔物632∕634之高度為心軸122高度之約60至90百分比。間隔物打開處理可為,例如,非等向性蝕刻處理,其蝕刻間隔物層132以留下間隔物632及連接的間隔物634與心軸122相鄰。如關於圖4B所述,此間隔物蝕刻可使用溫和的選擇性。應當注意,蝕刻處理可為包括介電質蝕刻處理步驟之電漿蝕刻處理,且此電漿蝕刻可包括含有氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿。亦應注意,為了移除插塞622及硬遮罩帽624,可使用蝕刻處理,例如標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖6E提供了在形成保護層642於間隔物632、連接的間隔物634及心軸122上方之後之示例性實施例640。對於一實施例,保護層642之材料可為SOH、SOC硬遮罩、OPL及∕或在心軸拉除處理期間保護間隔物之其它有機材料。保護層642之形成可藉由沉積處理,例如旋塗沉積處理、電漿沉積處理及∕或另一沉積處理。對於電漿沉積處理,可使用前驅物氣體混合物,包括但不限於烴、氟碳化合物或含氮烴與一或更多稀釋氣體(例如,氬、氮等)之組合,在各種壓力、功率、流量及溫度條件下。亦可使用額外的及∕或不同的沉積處理。
圖6F提供了在蝕刻保護層642以暴露心軸122之頂表面並且留下保護帽652之後之示例性實施例650,保護帽652覆蓋著間隔物632及連接的間隔物634。對於一實施例,蝕刻保護層642,以使得每一心軸122在間隔物632及連接的間隔物634頂表面上方延伸之暴露部分之高度之約60至80百分比被保護帽652所覆蓋。對於保護層642之蝕刻,可使用標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖6G提供了在實施心軸拉除處理以移除心軸122並且留下間隔物632及連接的間隔物634在下方層上方之後之示例性實施例660。心軸拉除處理可為,例如,蝕刻處理,其選擇性地蝕刻心軸122以留下間隔物632及連接的間隔物634。如關於圖4E所述,由於形成保護帽652以保護間隔物632及連接的間隔物634,所以選擇性要求降低(相較於先前解決方案),此心軸蝕刻可使用溫和的選擇性。應當注意,心軸拉除處理可為包括有機蝕刻處理步驟之電漿蝕刻處理,此電漿蝕刻可包括含有氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿。亦可使用額外的及∕或不同的蝕刻處理。
圖6H提供了在移除保護帽652以留下間隔物632及連接的間隔物634成為圖案672之後之示例性實施例670,圖案672隨後可轉移至下方層,例如金屬層108及介電層110。為了移除保護帽652,可使用標準的有機灰化步驟,包括但不限於含有氧、二氧化碳、一氧化碳、氮、氫、甲烷、氬及∕或其它氣體、在各種壓力及功率條件下之電漿蝕刻。亦可使用額外的及∕或不同的蝕刻處理。
圖6I提供了在實施圖案轉移處理以將間隔物632及連接的間隔物634之圖案672轉移至金屬層108及介電層110之間隔物圖案682之後之示例性實施例680。圖案轉移處理可為,例如,蝕刻處理,其蝕刻間隔物632、連接的間隔物634、金屬層108及介電層110以形成間隔物圖案682。此蝕刻處理亦可為包括介電及∕或有機蝕刻處理步驟之電漿蝕刻處理,如上所述。亦可使用額外的及∕或不同的蝕刻處理。
應當注意,在本說明書整篇中所提及的「一實施例」係意指與實施例結合說明之特定特徵、結構、材料、或特性被包含在本發明之至少一實施例中,但不表示其存在於每一實施例中。因此,在本說明書整篇中之不同地方所出現之「在一實施例中」詞語不一定關於本發明之同一個實施例。再者,該等特定特徵、結構、材料、或特性可能在一或更多實施例中以任何適當方式加以結合。在其它實施例中,可包含各種額外的層及∕或結構,及∕或可省略所述的特徵。
當使用在本文中,「微電子工作件」一般關於根據本發明所處理之物件。微電子工作件可包含元件(尤其是半導體或其它電子元件)之任何材料部分或結構,並且可為,例如,基底基板結構(例如半導體基板)、或在基底基板結構上之一層(例如薄膜)。因此,工作件不應被限制於任何特定基底結構、下方層或上方層、已圖案化或未圖案化,而應包含任何這樣的層或基底結構、以及層及∕或基底結構之任何組合。以下說明內容可能參照特定類型之基板,但此僅為了說明之目的而非做為限制。
當使用在本文中,「基板」一詞意指且包含其上方形成有材料之基底材料或結構。應當了解,基板可包含單一材料、複數層之不同材料、其中具有不同材料或不同結構之區域之一層或複數層等。該等材料可包含半導體、絕緣體、導體、或其組合。例如,基板可為半導體基板、在支撐結構上之基底半導體層、金屬電極,或其上形成有一或更多層、結構或區域之半導體基板。基板可為習知的矽基板、或包含半導體材料層之其它主體基板。當使用在本文中,「主體基板」一詞不僅意指並包含矽晶圓,也意指並包含絕緣層上矽(「SOI」,silicon-on-insulator)基板,例如矽藍寶石(「SOS」,silicon-on-sapphire)基板及矽玻璃(「SOG」,silicon-on-glass)基板、基底半導體基礎上之矽磊晶層、以及其它半導體或光電材料,例如矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可為摻雜的或非摻雜的。
用以處理微電子工作件之系統與方法係描述於各種實施例中。熟習此項技藝者將了解,在缺少一或更多具體細節、或在具有其它替代及∕或額外方法、材料或構件之情況下,仍可實施各種實施例。在其它情況下,不詳細顯示或說明為人所熟知的結構、材料或操作,以避免模糊了本發明之各種實施例之態樣。類似地,為了解釋之目的而提出特定的數量、材料及構造,以提供對本發明之徹底瞭解。然而,本發明可在缺少特定細節之情況下被實施。再者,應當了解,圖式中所顯示之各種實施例為示例性的,且不必然依照比例繪製。
根據本說明內容,熟習此項技藝者將可明白所述的系統及方法之進一步修改及替代的實施例。因此,應當了解,所述的系統及方法不受這些示例性配置所限制。應當了解,本文中所示及所述之系統及方法之形式係做為示例性實施例。在實施時可能有各種變化。因此,雖然本發明在本文中係參考特定實施例而加以說明,但在不偏離本發明範圍之情況下可能做出各種修改及變化。因此,說明書及圖式應被視為是用於說明的而不是限制性的,且這樣的修改應包含在本發明之範圍內。再者,本文中所述之關於特定實施例之任何益處、優點、或問題解決方案,不應被解釋為任何請求項或所有請求項之關鍵的、必須的、或必要的特徵或要素。
100, 120, 130, 140, 150, 160‧‧‧實施例 102‧‧‧基板 104‧‧‧有機層 106‧‧‧心軸覆蓋層 108‧‧‧金屬層 110‧‧‧介電層 112‧‧‧柱體 114‧‧‧硬遮罩 122‧‧‧心軸 132‧‧‧間隔物層 142‧‧‧間隔物 152‧‧‧間隔物圖案 162‧‧‧圖案 200‧‧‧實施例 202, 204, 206, 208, 210, 212, 214, 216‧‧‧步驟 300‧‧‧電漿處理設備 301‧‧‧處理腔室 302‧‧‧基板 312‧‧‧基座 320‧‧‧下電極組件 333‧‧‧排氣通道 335‧‧‧電極 338‧‧‧聚焦環組件 365‧‧‧供電饋線 366‧‧‧匹配單元 370‧‧‧上電極組件 371‧‧‧內上電極 380‧‧‧處理氣體供應系統 381A, 381B, 381C‧‧‧氣體供應管線 382‧‧‧氣體注入開口 389A, 389B, 389C‧‧‧緩衝腔室 400, 410, 420, 430, 440, 450‧‧‧實施例 402‧‧‧間隔物 422‧‧‧保護層 432‧‧‧保護帽 452‧‧‧間隔物圖案 500, 510, 520, 530, 540, 550, 560, 570, 580‧‧‧實施例 512‧‧‧保護層 522‧‧‧插塞 532‧‧‧間隔物 542‧‧‧保護層 552‧‧‧保護帽 582‧‧‧間隔物 584‧‧‧間隔物圖案 600, 610, 620, 630, 640, 650, 660, 670, 680‧‧‧實施例 612‧‧‧保護層 614‧‧‧硬遮罩層 616‧‧‧柱體 622‧‧‧插塞 624‧‧‧硬遮罩帽 632‧‧‧間隔物 634‧‧‧間隔物 642‧‧‧保護層 652‧‧‧保護帽 672‧‧‧圖案 682‧‧‧間隔物圖案 PS‧‧‧處理空間
藉由參考以下的說明及伴隨的圖式,可以更完整地了解本發明及其優點,其中相同的元件符號表示相同的特徵。然而,應當注意,伴隨的圖式僅僅顯示出所揭示的概念之示例性實施例,因此不應視為對範圍之限制,因為所揭示的概念可允許其它等效的實施例。
圖1A-F(先前技術)提供用於自對準雙重圖案化(SADP)處理、側壁圖像轉移(SIT)圖案化處理、及∕或包括從間隔物之心軸拉除之其它圖案化處理之傳統處理流程之示例性實施例。
圖2為用於製造處理之示例性實施例之流程圖,該製造處理實施心軸拉除同時保護相鄰的間隔物。
圖3為用於工作件製造系統(例如電漿處理設備)之示例性實施例之方塊圖,該工作件製造系統可做為本文中所述之實施例之蝕刻腔室。
圖4A-F提供示例性處理流程,其中保護帽用於在拉除心軸之蝕刻處理期間保護間隔物。
圖5A-I提供示例性處理流程,其中保護帽用於在拉除心軸之蝕刻處理期間保護連接的間隔物,其中相較於圖4A-F使用了額外的處理步驟。
圖6A-I提供示例性處理流程,其中保護帽用於在拉除心軸之蝕刻處理期間保護間隔物及連接的間隔物,其中相較於圖4A-F使用了額外的處理步驟。
200‧‧‧實施例
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟
212‧‧‧步驟
214‧‧‧步驟
216‧‧‧步驟

Claims (27)

  1. 一種微電子工作件之處理方法,包括:形成複數圖案化結構在一基板上,該等圖案化結構包括複數心軸;形成複數間隔物與該等心軸相鄰,該等間隔物相對於該等心軸為凹陷,使得該等間隔物之高度小於該等心軸之高度;形成複數保護帽在該等間隔物的複數頂表面上方,同時使該等心軸之複數頂表面外露;及移除該等心軸,以留下一間隔物圖案與該等保護帽,其中在形成該等保護帽之後,且在移除該等心軸之前,該等保護帽沿著該等心軸的側部延伸至低於該等心軸的該等頂表面的高度。
  2. 如申請專利範圍第1項之微電子工作件之處理方法,更包括移除該等保護帽。
  3. 如申請專利範圍第2項之微電子工作件之處理方法,更包括將該間隔物圖案轉移至在該間隔物圖案下方之複數下方層。
  4. 如申請專利範圍第3項之微電子工作件之處理方法,其中該等下方層包括一金屬層及一介電層。
  5. 如申請專利範圍第1項之微電子工作件之處理方法,其中該形成複數間隔物之步驟包括:沉積一間隔物層在該等心軸上方;及 蝕刻該間隔物層,以形成該等間隔物與該等心軸相鄰,該等間隔物相對於該等心軸為凹陷。
  6. 如申請專利範圍第5項之微電子工作件之處理方法,其中該蝕刻在該間隔物層之材料與該等心軸之材料之間具有大於2且小於10之選擇性。
  7. 如申請專利範圍第6項之微電子工作件之處理方法,其中該蝕刻之實施係使用一電漿蝕刻處理。
  8. 如申請專利範圍第1項之微電子工作件之處理方法,其中該移除該等心軸之步驟包括蝕刻該等心軸以留下該間隔物圖案。
  9. 如申請專利範圍第8項之微電子工作件之處理方法,其中該蝕刻在該等心軸之材料與該等間隔物之材料之間具有大於2且小於10之選擇性。
  10. 如申請專利範圍第9項之微電子工作件之處理方法,其中該蝕刻之實施係使用一電漿蝕刻處理。
  11. 一種微電子工作件之處理方法,包括:形成複數圖案化結構在一基板上,該等圖案化結構包括複數心軸;形成複數間隔物與該等心軸相鄰,該等間隔物相對於該等心軸為凹陷,使得該等間隔物之高度小於該等心軸之高度;形成複數保護帽在該等間隔物的複數頂表面上方,同時使該等心軸之複數頂表面外露;及移除該等心軸,以留下一間隔物圖案與該等保護帽, 其中該等間隔物之複數頂表面相對於該等心軸之複數頂表面之凹陷係在約10至40奈米之範圍中。
  12. 如申請專利範圍第1項之微電子工作件之處理方法,其中該等間隔物之該高度係該等心軸之該高度之約60至90百分比。
  13. 一種微電子工作件之處理方法,包括:形成複數圖案化結構在一基板上,該等圖案化結構包括複數心軸;形成複數間隔物與該等心軸相鄰,該等間隔物相對於該等心軸為凹陷,使得該等間隔物之高度小於該等心軸之高度;形成複數保護帽在相對於該等心軸為凹陷的該等間隔物上方,同時使該等心軸之複數頂表面外露;及移除該等心軸,以留下一間隔物圖案與該等保護帽,其中該形成複數保護帽之步驟包括:沉積一保護層在該等間隔物及該等心軸上方;及蝕刻該保護層,以形成該等保護帽在該等間隔物上方。
  14. 如申請專利範圍第13項之微電子工作件之處理方法,其中該保護層包括一有機平坦化層(OPL)。
  15. 如申請專利範圍第13項之微電子工作件之處理方法,其中該等保護帽係覆蓋相鄰的心軸在該等間隔物之複數頂表面上方延伸之暴露部分之高度之約60至80百分比。
  16. 如申請專利範圍第1項之微電子工作件之處理方法,其中該形成複數間隔物之步驟包括:沉積一間隔物層在該等心軸上方;沉積一保護層在該間隔物層上方;蝕刻該保護層,以留下一或更多插塞在該等心軸之間;及蝕刻該間隔物層,以形成該等間隔物與該等心軸相鄰,該等間隔物包括與該一或更多插塞相連之一或更多連接的間隔物。
  17. 一種微電子工作件之處理方法,包括:形成複數圖案化結構在一基板上,該等圖案化結構包括複數心軸;形成複數間隔物與該等心軸相鄰,該等間隔物相對於該等心軸為凹陷,使得該等間隔物之高度小於該等心軸之高度;形成複數保護帽在相對於該等心軸為凹陷的該等間隔物上方,同時使該等心軸之複數頂表面外露;及移除該等心軸,以留下一間隔物圖案與該等保護帽;其中該形成複數間隔物包括:沉積一間隔物層在該等心軸上方;沉積一保護層在該間隔物層上方;蝕刻該保護層,以在該等心軸之間留下一或更多插塞;以及蝕刻該間隔物層,以形成與該等心軸相鄰的該等間隔物,該等間隔物包括與該一或更多插塞相連的一或更多連接的間隔物; 其中一插塞係形成在每一心軸之間,及其中一連接的間隔物係與該等插塞的至少其中一些相連。
  18. 如申請專利範圍第1項之微電子工作件之處理方法,其中該形成複數圖案化結構之步驟包括一微影處理及一或更多硬遮罩層。
  19. 如申請專利範圍第1項之微電子工作件之處理方法,其中該等心軸包括矽氮化物、矽氧化物、金屬氮化物或金屬氧化物其中至少一者。
  20. 如申請專利範圍第1項之微電子工作件之處理方法,其中該等保護帽包括一有機材料。
  21. 如申請專利範圍第1項之微電子工作件之處理方法,更包括控制複數操作變數,以確保蝕刻選擇性、間隔物凹陷量、或保護帽覆蓋量其中至少一者符合目標參數。
  22. 如申請專利範圍第1項之微電子工作件之處理方法,其中該形成複數保護帽之步驟包括形成一保護層,該保護層在該等間隔物的該等頂表面上方且沿著該等間隔物的側部延伸,使得該保護層連接相鄰間隔物,並填充介於相鄰間隔物之間的部分。
  23. 如申請專利範圍第22項之微電子工作件之處理方法,其中該保護層形成在該等心軸的該等頂表面上方,並且被回蝕而露出該等心軸的該等頂表面,而留下該等保護帽在該等間隔物的該等頂表面上。
  24. 如申請專利範圍第22項之微電子工作件之處理方法,更包括:在移除該等心軸後,將連接相鄰間隔物且填充相鄰間隔物之間的該保護層的部分移除。
  25. 如申請專利範圍第22項之微電子工作件之處理方法,更包括:在移除該等心軸後,移除該保護層,以露出先前被該保護層所覆蓋的該等間隔物的部分;及在移除該保護層後,蝕刻該等間隔物下方的一下方層,以將該等間隔物的圖案轉移至該下方層。
  26. 如申請專利範圍第13項之微電子工作件之處理方法,其中:該蝕刻該保護層使該等心軸的各者的該等頂表面外露;該移除該等心軸使該等心軸的各者移除,而留下相鄰間隔物與位於各相鄰間隔物的頂部上的保護帽。
  27. 如申請專利範圍第17項之微電子工作件之處理方法,更包括:該蝕刻該保護層使該等心軸的各者的該等頂表面外露;及在移除該等心軸後,該保護層的部分留存在該等間隔物的各者的頂部上方。
TW107144938A 2017-12-19 2018-12-13 針對多色圖案化之自間隔物的心軸拉除用製造方法 TWI781260B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762607822P 2017-12-19 2017-12-19
US62/607,822 2017-12-19

Publications (2)

Publication Number Publication Date
TW201941295A TW201941295A (zh) 2019-10-16
TWI781260B true TWI781260B (zh) 2022-10-21

Family

ID=66814707

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107144938A TWI781260B (zh) 2017-12-19 2018-12-13 針對多色圖案化之自間隔物的心軸拉除用製造方法

Country Status (2)

Country Link
US (1) US11127594B2 (zh)
TW (1) TWI781260B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11158507B2 (en) * 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10727058B2 (en) * 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes
US11195718B2 (en) * 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
EP3840034B1 (en) 2019-12-19 2022-06-15 Imec VZW Method for producing nanoscaled electrically conductive lines for semiconductor devices
US11380579B2 (en) * 2020-05-01 2022-07-05 Tokyo Electron Limited Method and process using dual memorization layer for multi-color spacer patterning
US11682558B2 (en) 2021-09-22 2023-06-20 International Business Machines Corporation Fabrication of back-end-of-line interconnects

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9123656B1 (en) * 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US20170338116A1 (en) * 2016-05-23 2017-11-23 Tokyo Electron Limited Method for Patterning a Substrate Using a Layer with Multiple Materials

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100752674B1 (ko) * 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
KR101448854B1 (ko) * 2008-03-28 2014-10-14 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US9159627B2 (en) * 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8569168B2 (en) * 2012-02-13 2013-10-29 International Business Machines Corporation Dual-metal self-aligned wires and vias
US9023695B2 (en) * 2013-03-14 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning features of a semiconductor device
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9136106B2 (en) * 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9653571B2 (en) * 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9564446B1 (en) * 2015-12-16 2017-02-07 International Business Machines Corporation SRAM design to facilitate single fin cut in double sidewall image transfer process
US10256110B2 (en) * 2016-08-31 2019-04-09 Tokyo Electron Limited Self-aligned patterning process utilizing self-aligned blocking and spacer self-healing
US10002762B2 (en) * 2016-09-09 2018-06-19 International Business Machines Corporation Multi-angled deposition and masking for custom spacer trim and selected spacer removal
EP3312882B1 (en) * 2016-10-20 2021-09-15 IMEC vzw A method of patterning a target layer
US10163690B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9123656B1 (en) * 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US20170338116A1 (en) * 2016-05-23 2017-11-23 Tokyo Electron Limited Method for Patterning a Substrate Using a Layer with Multiple Materials

Also Published As

Publication number Publication date
TW201941295A (zh) 2019-10-16
US20190189444A1 (en) 2019-06-20
US11127594B2 (en) 2021-09-21

Similar Documents

Publication Publication Date Title
TWI781260B (zh) 針對多色圖案化之自間隔物的心軸拉除用製造方法
US10304725B2 (en) Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
US9852916B2 (en) Single platform, multiple cycle spacer deposition and etch
US9607883B2 (en) Trench formation using rounded hard mask
US11742241B2 (en) ALD (atomic layer deposition) liner for via profile control and related applications
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
US10950444B2 (en) Metal hard mask layers for processing of microelectronic workpieces
US10818507B2 (en) Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
US11651965B2 (en) Method and system for capping of cores for self-aligned multiple patterning
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
JP2023522165A (ja) 抵抗変化型メモリ(reram)セルの金属-絶縁体-金属(mim)スタックのその場封止
TWI758464B (zh) 含矽間隔物的選擇性形成
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
US11721578B2 (en) Split ash processes for via formation to suppress damage to low-K layers
TWI798215B (zh) 選擇性側壁間隔物
TW201903841A (zh) 降低鰭式場效電晶體裝置的接觸電阻的方法及結構
TW201842557A (zh) 子鰭片至絕緣體矽之轉換

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent