TW202016990A - 針對多重圖案化製程使用熱分解材料之間隔物整形方法 - Google Patents

針對多重圖案化製程使用熱分解材料之間隔物整形方法 Download PDF

Info

Publication number
TW202016990A
TW202016990A TW108123565A TW108123565A TW202016990A TW 202016990 A TW202016990 A TW 202016990A TW 108123565 A TW108123565 A TW 108123565A TW 108123565 A TW108123565 A TW 108123565A TW 202016990 A TW202016990 A TW 202016990A
Authority
TW
Taiwan
Prior art keywords
layer
improving
item
patent application
etching uniformity
Prior art date
Application number
TW108123565A
Other languages
English (en)
Other versions
TWI791864B (zh
Inventor
菊地裕樹
和田敏治
前川薰
高明輝
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202016990A publication Critical patent/TW202016990A/zh
Application granted granted Critical
Publication of TWI791864B publication Critical patent/TWI791864B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Catalysts (AREA)

Abstract

揭示了透過使用熱分解材料作為保護層來整形間隔物,以於製造微電子工件之多重圖案化製程期間改善蝕刻均勻性之實施例。由於可透過熱處理製程移除熱分解材料而無需蝕刻製程,故間隔物可在無間隔物輪廓改變或損壞下進行整形,並抑制底層中不希望有的開槽差異及蝕刻均勻性之相關劣化。

Description

針對多重圖案化製程使用熱分解材料之間隔物整形方法
本揭示內容係關於微電子工件之製造方法,其包含形成圖案化結構於微電子工件上。 [相關申請案之交互參照]
本申請案主張2018年7月6日申請、名稱為「METHODS TO RESHAPE SPACERS FOR MULTI-PATTERNING PROCESSES USING THERMAL DECOMPOSITION MATERIALS」之美國臨時專利申請案第62/694,639號以及2018年9月17日申請、名稱為「METHODS TO RESHAPE SPACERS FOR MULTI-PATTERNING PROCESSES USING THERMAL DECOMPOSITION MATERIALS」之美國臨時專利申請案第62/732,241號的優先權,其全部揭露內容皆併於此作為參考。
微電子工件內之元件形成通常涉及一系列與基板上若干材料層之形成、圖案化及去除有關的製造技術。為了滿足當前及下一代半導體元件之物理及電性規格,處理流程正被要求需減小特徵部尺寸,並保持諸多圖案化製程之結構完整性。
已發展出自對準多重圖案化(SAMP)製程,例如自對準雙重圖案化(SADP)製程及自對準四重圖案化(SAQP),以將特徵部尺寸減小到超過微影製程直接可達成的程度。 對於一些SAMP製程,尤其是對於SADP製程而言,間隔物通常是形成為側壁結構,其與正被處理之基板上的芯部相鄰,且該芯材隨後被移除。此芯部移除製程通常稱為心軸拔除,且經常是藉由如反應性離子蝕刻(RIE)製程之電漿蝕刻製程來執行。
對於先前的SAMP製程,心軸拔除製程之後的間隔物高度通常在間隔物相鄰於芯部的部分以及間隔物相鄰於芯部之間間隙的部分有所不同。間隔物之此等非對稱形狀降低了蝕刻均勻性,並於隨後蝕刻製程期間引起開槽(gouging)差異。 例如,這些非對稱形狀經常導致在已去除芯部下方的底層材料層部分以及在芯部間之間隙下方的底層材料層部分之間形成開槽差異。此劣化是發生在例如當由間隔物形成之圖案透過蝕刻製程轉移至底層(如硬遮罩層)時。
圖1A-G(先前技術)提供先前解決方式之示例剖面圖,其中由於SAMP製程內心軸拔除之後殘留的間隔物頂部有非對稱形狀,故在後續的圖案轉移中導致蝕刻均勻性變差。間隔物的非對稱形狀及導致的蝕刻均勻性下降會引起線邊緣粗糙度(LER)及線寬粗糙度(LWR)參數變差。由於底層內之開槽深度變差,故非對稱的間隔物形狀亦易於引起間距異動 (pitch-walking)。
圖1A(先前技術)提供示例性實施例100的剖面圖,其中堆疊層已形成於材料層140上。該等堆疊層包含抗反射層110、芯材料層120及硬遮罩層130。此外,光阻層145已例如利用微影及蝕刻製程進行沉積及圖案化。注意,硬遮罩層130可為氮化物(SiN)層或一些其他硬遮罩材料層。位於堆疊層下方之額外材料層140可為基板,例如矽基板,或者可為形成於基板上之額外材料層。 芯材料層120可為OPL(有機平坦化層)層、非晶矽層及/或另一材料層。其它變化及材料層及/或結構亦可實施。
圖1B(先前技術)提供光阻(PR)圖案轉移至硬遮罩層130上方之底層後之示例性實施例101剖面圖。例如,可利用一或更多蝕刻製程,將形成於PR層145中之圖案轉移至底層110/120並形成芯部150。
圖1C(先前技術)提供已沉積間隔物材料層155後之示例性實施例102剖面圖。如圖所示,間隔物材料層155(如氧化物層)係沉積於芯部150上。芯部150仍包含抗反射層110及芯材料層120。
圖1D(先前技術)提供已進行回蝕製程後之示例性實施例103剖面圖。例如,進行回蝕刻製程,以回蝕刻間隔物層155,並沿著芯部150的側壁形成間隔物160。該回蝕刻製程亦可移除圖1C中所示之抗反射層110,因而僅留下芯材料層120作為芯部150。
圖1E(先前技術)提供已進行心軸拔除製程後之示例性實施例104剖面圖。如圖所示,心軸拔除製程(例如灰化製程)係用於移除圖1D所示之芯部150。然而,心軸拔除製程之後卻留下非對稱的間隔物160。尤其,相鄰於芯區域(芯部被拔除處)之側的間隔物160之邊緣係高於相鄰於間隙區域(芯部之間有間隙處)之側的間隔物160之邊緣。此芯區域由箭頭170標出,而間隙區域則由箭頭180標出。
圖1F(先前技術)提供用於後續蝕刻製程之示例性實施例105剖面圖,其中間隔物160之非對稱形狀導致蝕刻均勻性變差。例如,於隨後硬遮罩層130蝕刻期間,間隔物160形狀缺乏均勻性而導致蝕刻均勻性變差以及底層材料層140中之不均勻開槽。尤其,相較於芯區域170,蝕刻化學品之更多成分190能夠到達或撞擊間隙區域180內的硬遮罩層130。此導致開槽更多地發生在間隙區域180內之底層140內。
圖1G(先前技術)提供圖1F(先前技術)蝕刻製程結果之示例性實施例106剖面圖。如圖所示,蝕刻均勻性及開槽差異使得間隙區域180(於已去除之芯部之間)中之底層材料層140部分相較於芯區域170(於已去除之芯部下方)中之底層140部分,具有不同的開槽水平。 因此,蝕刻均勻性變差,從而引起如上所述之諸多潛在問題。
本文描述了在自對準多重圖案化(SAMP)製程相關之圖案轉移期間使用熱分解材料對間隔物進行整形以改善蝕刻均勻性並減少開槽之實施例。此等改進是透過在SAMP製程過程中所形成之間隔物上形成熱分解層、顯露間隔物之非對稱頂部、以及去除或平坦化間隔物之此等非對稱頂部來實現。間隔物整形係在心軸拔除製程已去除芯部之後且在圖案轉移至底層之前進行。針對芯部下方的底層材料層部分以及芯部間之間隙下方的底層材料層部分,此平坦化或去除間隔物之非對稱部分提高了蝕刻均勻性。此外,熱分解材料的使用實現了在不損壞間隔物輪廓或間隔物本身下對間隔物進行整形。亦可實現不同或附加的特徵、變化及實施例,並且亦可利用相關的系統及方法。
在一實施例中,揭示了改善用於處理微電子工件之底層蝕刻均勻性之方法,該方法包括:提供具材料層的基板;進行自對準多重圖案化(SAMP)製程,以在材料層上形成間隔物;使用熱分解材料在間隔物之間形成保護塞;對間隔物進行整形;以及使用熱處理去除熱分解材料。
於另一實施例中,該形成步驟包括在間隔物上形成一熱分解材料層,以及使用熱處理對該熱分解材料層進行回蝕,以在間隔物之間形成保護塞。 於再另一實施例中,在進行該熱分解材料層之回蝕之後,間隔物之非對稱部分保持顯露。於又另一實施例中,該整形包括去除間隔物之非對稱部分。於更進一步實施例中,該整形為間隔物留下平坦的頂表面。
於額外實施例中,該方法亦包括在去除熱分解材料之後,將間隔物之圖案轉移至材料層。於再另外實施例中,在圖案轉移中達成蝕刻均勻性的目標水平。於更進一步實施例中,使用電漿蝕刻製程,將間隔物之圖案轉移至材料層。於進一步實施例中,該材料層是形成於額外材料層上之硬遮罩層。 更進一步地,在圖案的轉移中可達成額外材料層之開槽的目標水平。
於另外實施例中,該SAMP製程包括在材料層上形成芯部;在芯部上沉積一間隔物層;進行間隔物層之回蝕,以留下間隔物作為與芯部相鄰之側壁結構;以及進行心軸拔除製程,以去除芯部,並留下間隔物。
於另外實施例中,熱處理之溫度範圍為攝氏100至450度(℃)。於進一步實施例中,熱處理包括退火製程。
於額外實施例中,熱分解材料具有可去聚合特性,使得其可透過攝氏100至450度之熱處理而被去除。於進一步其他實施例中,熱分解材料具有可去聚合特性,使得其可透過攝氏250至450度之熱處理而被去除,並且使得其在攝氏150至215度呈穩定。 於另外實施例中,該去除的速率係透過調整熱處理之溫度或壓力中之至少一者來控制。
於另外實施例中,熱分解材料包括脲烷、聚甲基丙烯酸甲酯(PMMA)或單體之至少一者。於進一步實施例中,熱分解材料包括無灰化塗佈(ALC)材料。
於另外實施例中,ALC材料包括尿素黏結樹脂。 於又進一步實施例中,尿素黏結樹脂包括具有可去聚合特性之聚脲,使得其可透過低於攝氏450度之熱處理而被去除。
如本文所述,於製造微電子工件之多重圖案化製程期間,蝕刻均勻性係透過使用熱分解材料作為保護層並對間隔物進行整形而獲得改善。由於可透過熱處理製程移除熱分解材料而無需蝕刻製程,故可在無間隔物輪廓變化或損壞下對間隔物進行整形,並抑制底層中不希望有的開槽差異及蝕刻均勻性之相關劣化。亦可實現其他優點及實施方式,並且仍具有本文所述之製程技術優點。
如本文所述,熱分解材料係用以對多重圖案化製程形成之間隔物進行整形,從而在製造微電子工件期間改善蝕刻均勻性並降低底層中之開槽差異。熱分解材料較佳是可用溫度範圍為攝氏100至450度(℃)之熱處理去除的材料。在一實施例中,可使用無灰化塗佈(ALC)材料作為熱分解材料,此ALC材料可利用300℃至400℃去聚合溫度透過熱處理來移除。亦可使用其他熱分解材料,例如尿素黏結樹脂,其具有可去聚合特性,使其可透過200℃至240℃之熱處理進行移除。亦可使用其他熱分解材料,其可利用100℃至450℃之熱處理而被移除。更廣泛地說,對本文所述之實施例而言,較佳的是具有可去聚合特性之熱分解材料,俾使其可透過100℃至450℃之熱處理而被移除。這些低溫製程可縮減SAMP製程(如SADP和SAQP製程)中底層材料層之開槽。
圖2A至2E提供示例性實施例之剖面圖,其使用熱分解材料作為間隔物之間的保護塞,並對間隔物進行整形,因而減少或消除先前解決方案所面臨之開槽差異及蝕刻均勻性下降。 首先,應進一步注意的是,圖2A-2E是接在圖1E(先前技術)後,並透過在間隔物整形期間使用熱分解材料作為間隔物之間的保護塞以對先前解決方案提出改進,因而減小或消除開槽差異並改善蝕刻均勻性。
圖2A提供示例性實施例200之剖面圖,其於間隔物160及底層材料層130與額外材料層140上方形成一層熱分解材料220。如上所指,底層材料層130可為例如形成於額外材料層140上之硬遮罩層(例如,SiN)。如上所提,將芯部從間隔物160之間的芯區域170移除之心軸拔除程序之後,留下了間隔物160。 然而,亦如上所指,留下的間隔物160有非對稱頂部,此導致開槽差異及蝕刻均勻性變差。該層熱分解材料220覆蓋間隔物160。
圖2B提供示例性實施例201之剖面圖,其中該層熱分解材料220已利用本文所述之熱處理進行回蝕。於此回蝕製程之後,該熱分解材料220填充間隔物160之間的間隙,使得間隔物160之非對稱部分262延伸超過剩餘之熱分解材料220。因此,熱分解材料220提供保護塞,以保護間隔物160之間間隙中之底層材料層130/140部分。
圖2C提供示例性實施例202之剖面圖,其中圖2B的間隔物160已透過移除不平坦及非對稱部分262而整形成重整間隔物250。非對稱部分262可利用例如蝕刻製程(如使用氧化物間隔物之情況下為氧化物蝕刻製程)來移除。
圖2D提供示例性實施例203之剖面圖,其中熱分解材料220之該等塞係利用本文所述之熱處理而於重整間隔物250之間中被移除。 例如,可使用如低溫退火(例如,從攝氏100至450度)之熱處理來移除重整間隔物250之間的熱分解材料220。
由於間隔物之間的保護層及保護塞是由熱分解材料220形成,故保護層/塞接著可透過如低溫退火(例如,從攝氏100到450度)之熱處理進行回蝕或移除。例如,可使用加熱板來實施該熱處理。由於熱分解材料220可透過例如低溫退火之熱處理進行蝕刻/移除,故無需進行蝕刻製程,例如電漿蝕刻製程(例如RIE製程)。如此一來,在回蝕及移除熱分解材料220期間減少或抑制了開槽,並且保持重整間隔物250之輪廓及完整性。因此,本文所述之實施例透過使用熱分解材料來提供間隔物整形,其未改變間隔物輪廓、未損壞間隔物本身、且底層未有不希望有的開槽。如此一來,在圖案轉移至一或更多底層材料層中達成了蝕刻均勻性之目標水平及/或開槽之目標水平。
圖2E提供示例性實施例204之剖面圖,其已使用蝕刻製程以將間隔物圖案轉移至例如硬遮罩層的底層材料層130。該圖案轉移可例如利用蝕刻製程(如電漿蝕刻製程)來實現。由於圖2B中所示之非對稱部分262已從重整間隔物250上移除,故可達成圖案轉移至底層130且額外材料層140中未產生開槽差異,並且未遇到先前解決方案所面臨之蝕刻均勻性變差問題。
圖3提供示例性實施例300之製程流程圖,其中在間隔物整形期間透過使用熱分解材料作為間隔物之間的保護塞,以實現用於處理微電子工件之底層的蝕刻均勻性。在方塊302中,提供具一材料層的基板。在方塊304中,進行自對準多重圖案化(SAMP)製程,以在材料層上形成間隔物。在方塊306中,使用熱分解材料,在間隔物之間形成保護塞。對於一實施例中之間隔物形成,其係在間隔物上形成一層熱分解材料,接著利用熱處理,對該熱分解材料進行回蝕,以顯露間隔物之非對稱部分。在方塊308中,例如透過移除間隔物之非對稱部分,以對間隔物進行整形。在方塊310中,利用熱處理來移除熱分解材料。在一實施例中,間隔物的圖案接著轉移至一或更多底層材料層。亦可使用額外及/或不同製程步驟,且仍具有本文所述之技術優點。
圖4A及4B提供代表性熱分解材料(例如,ALC材料)之示例性熱行為圖。 於圖4A及4B中,使用形成於矽上之400奈米(nm)熱分解材料層(例如400nm ALC層),以測試熱行為。接著,在氮氣下,使用熱鍍膜機,對矽上之該熱分解層進行退火。此熱退火係在不同溫度水平下進行。於退火之後,利用FT-IR(傅立葉轉換紅外線光譜法)來測試熱分解材料層之去除,以測定基於波數(K)下之不同電磁放射幅值(N),如圖4A所示。亦於不同壓力下測試去除速率,如圖4B所示。
更詳細地看圖4A,其係提供圖400以顯示熱處理在移除熱分解材料中的有效性。尤其,形成於基板(如矽基板)上之熱分解材料(如ALC)係例如於氮(N2 )氣下利用加熱板於不同溫度水平406、408、410、412、414及416(初始溫度、200℃、250℃、275℃、300℃、325℃)下進行退火。光譜峰402及404表示熱分解材料的存在,且溫度逐漸升高而幅值水平下降則表示隨著退火溫度升高有更多的熱分解材料被去除。FT-IR分析中溫度水平416缺少峰402/404顯示該實例中325℃熱退火已有效地去除ALC熱分解材料。
圖4B提供圖450,其顯示熱分解材料於不同壓力下進行熱處理之去除速率。在一熱處理測試中,使用700 托耳(Torr)壓力。線452代表對於在700Torr壓力下以不同溫度進行熱退火所測得之去除速率的線性擬合。在另一熱處理測試中,使用10 Torr或接近真空的壓力。線454代表在10Torr壓力下以不同溫度進行熱退火所測得之去除速率的線性擬合。如去除速率圖450所示,可透過降低壓力來降低用於熱處理以去除熱分解材料之溫度,如箭頭456所指。亦如去除速率圖450所示,調節退火製程之溫度亦調整了熱處理之相對去除速率(為每分鐘厚度變化之百分比)。更廣泛地說,值得注意的是,此等實例顯示,可透過調節處理腔室(其中有正被處理之微電子工件的基板)的溫度或壓力中之至少一者,來控制熱分解的去除速率。
圖5提供示例性熱分解材料之熱去除溫度及耐熱性之圖500。 熱去除溫度表示熱處理去除熱分解材料(例如透過脫氣製程)之溫度。耐熱性代表一溫度水平,低於此溫度水平,該熱分解材料保持穩定,高於此溫度水平,該熱分解材料變得不穩定。
關於熱去除,針對ALC材料(例如ALC、ALC-2)502/504、脲烷506、聚甲基丙烯酸甲酯(PMMA)508及單體510,示出不同熱分解材料被去除(例如脫氣)的溫度。如圖所示,這些材料於熱處理之熱去除溫度為100℃至450℃。亦可使用具有相似熱去除特性且仍具有本文所述技術優點之其他材料。 例如,可使用具有可去聚合特性且可透過100℃至450℃熱處理去除之材料作為本文所述技術之熱分解材料。
關於熱穩定性,針對ALC材料(例如,ALC、ALC 2)502/504、脲烷506、聚甲基丙烯酸甲酯(PMMA)508及單體510,亦示出不同熱分解材料變得不穩定的溫度。注意某些製程,例如使用微影退火製程的情況,希望熱分解材料具有從100℃至215℃的熱穩定性。例如,此熱穩定性將使熱分解材料得以抵抗矽抗反射塗層(SiARC)回蝕製程中之去聚合或去除。對於需較低溫度熱穩定性之此等實施例,較佳是使用具有去聚合特性的材料,使得其可透過250℃至450℃之熱處理來移除,並具有低於此溫度範圍(例如150℃至215℃)的熱穩定性。如關於元件514所示,例如,ALC材料502滿足這些參數,因為其具有350℃之熱去除溫度,而在高達250℃下仍保持穩定。其他變化亦可用於特定的SAMP製程。
值得注意的是,ALC及ALC-2材料可以是具有可去聚合特性的材料,例如尿素黏結樹脂(例如,聚脲),使得其可透過低於450℃之熱處理而被移除,且於另一實施例中以低於300℃之熱處理而被移除。透過在熱處理期間施予熱能,熱分解材料去聚合並從基板上被去除。如本文所述,藉由使用此等熱分解材料,與標準有機平坦化或介電層相比,其減少或消除了SAMP製程期間底層材料層之開槽。
本文所述之技術不限於特定的熱分解材料,因為可使用多種材料,而仍可獲得本文所述之益處。然而,在一實施例中,使用尿素黏結樹脂,例如聚脲,其可透過薄膜沉積來形成。Yatsuda 等人於2017年7月19日申請、名稱為「Method of Fabricating Semiconductor Device, Vacuum Processing Apparatus and Substrate Processing Apparatus」之美國專利申請案第15/654,307號更加詳細描述用於形成聚脲並透過去聚合製程熱分解聚脲以去除該聚脲之示例性技術,其揭示內容整體明確地併入本文中作為參考。美國專利申請案第15/654,307號中描述之技術包括,但不限於,例如使用氣相沉積聚合方法,使作為原料單體之異氰酸酯與胺共聚形成脲鍵。如美國專利申請案第15/654,307號所述,亦可使用液體製程來形成聚脲。此外,如所述,隨後可透過施予熱處理,將聚脲去聚合成胺並汽化。然而,將知悉,可利用其他形成製程及其他去除製程,仍可獲得本文所述使用熱分解層及此等層之熱去除的益處。此外,將知悉,本文所述之技術不限於聚脲,可採用其他材料及/或聚脲與其他材料之組合或變體作為熱分解材料。
值得注意的是,可使用一或更多沉積製程來形成本文所述之材料層。例如,可使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及/或其他沉積製程來實施一或更多沉積。對於電漿沉積製程,可使用前驅物氣體混合物,包括但不限於碳氫化合物、碳氟化合物或含碳氫化合物的氮,其在多種壓力、功率、流量及溫度條件下與一或更多稀釋氣體(例如,氬、氮等)結合使用。可使用光學微影、極紫外光(EUV)微影及/或其他微影製程來實現關於PR層之微影製程。可使用電漿蝕刻製程、放電蝕刻製程及/或其他所欲蝕刻製程來實施蝕刻製程。例如,可使用含有碳氟化合物、氧、氮、氫、氬及/其他氣體之電漿來實現電漿蝕刻製程。此外,可控制製程步驟之操作變量,以確保在貫孔形成期間達到貫孔之CD(臨界尺寸)目標參數。操作變量可包含例如電漿產生時之腔室溫度、腔室壓力、氣體的流率、頻率及/或施加至電極組件之功率、及/或用於處理步驟之其他操作變量。在仍具有本文所述製程技術優點下亦可實施變化。
值得注意的是,在整篇本說明書中所提及的「一實施例」係意指與實施例結合說明的特定特徵、結構、材料、或特性被包含在本發明之至少一實施例中,但不表示其存在於每一實施例中。因此,在整篇本說明書中之諸多地方所出現的「在一實施例中」詞語不一定係關於本發明的同一個實施例。再者,該等特定特徵、結構、材料、或特性可在一或更多實施例中以任何適當方式結合。在其他實施例中,可包含諸多額外的層及/或結構,及/或可省略所述的特徵。
如本文所使用的「微電子工件」一般係關於依照本發明所處理的物體。該微電子工件可包含裝置(尤其係半導體或其他電子裝置)的任何材料部分或結構,以及可例如為基底基板結構(例如半導體基板)、或基底基板結構上或上覆於基底基板結構的層(例如薄膜)。因此,並非意指將工件限制於已圖案化或未圖案化之任何特定基底結構、底層或上覆層,而係意圖使其包含任何此等層或基底結構、以及層及/或基底結構的任何組合。以下說明內容可參照特定類型的基板,但此僅係為了示例目的而非限制。
如本文中所使用之術語「基板」意指並包含基底材料或其上方形成有材料的結構。將察知,基板可包含單一材料、複數層的不同材料、其中具有不同材料區或不同結構區的(複數)層等。該等材料可包含半導體、絕緣體、導體、或其組合。例如,基板可為半導體基板、支撐結構上的基底半導體層、金屬電極,或其上形成有一或更多層、結構、或區域的半導體基板。基板可為習知的矽基板、或包含半傳導材料層的其他塊材基板。如本文中所使用,術語「塊材基板」不僅意指並包含矽晶圓,也意指並包含矽絕緣體(「SOI」,silicon-on-insulator)基板(例如,矽藍寶石(「SOS」,silicon-on-sapphire)基板、及矽玻璃(「SOG」,silicon-on-glass)基板)、基底半導體基底上的矽磊晶層、以及其他半導體或光電材料,例如矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可為摻雜的或非摻雜的。
用以處理微電子工件的系統與方法係描述於諸多實施例中。熟習相關技藝者將可察知,在不具有其中一或更多具體細節的情況下或者在具有其他替代及/或額外方法、材料、或元件的情況下,可實施諸多實施例。在其他情況下,不詳細顯示或說明眾所周知之結構、材料、或操作,以避免混淆本發明之諸多實施例的態樣。同樣地,為了解釋目的,提出具體的數量、材料、以及構造,以提供對本發明的徹底瞭解。然而,本發明可在不具有具體細節的情況下被實施。再者,瞭解到,圖式中所顯示的各種實施例為例示性的圖像並且不一定要按照尺寸繪製。
本技術領域技術人員將可鑒於本說明內容而明白所述之系統與方法的進一步修改與替代實施例。因此,將可理解,所述之系統與方法不受這些示例配置所限制。應瞭解到,將在此所示與所述之系統與方法的形態作為示例性實施例。在實現過程中可做出諸多變更。因此,雖然本發明在此係參考具體實施例來進行說明,但在不背離本發明之範圍的情況下可做出諸多修改與變更。因此,認為說明書與圖式係具有例示性意義而非限制性意義,並且意指此等修改係被包含在本發明的範圍內。又,並非意指將在此所述之關於具體實施例的任何益處、優點、或問題解決方案理解為任何或所有請求項之關鍵的、所需要的、或必要的特徵或要素。
100:示例性實施例 102:示例性實施例 103:示例性實施例 104:示例性實施例 105:示例性實施例 106:示例性實施例 110:抗反射層 120:芯材料層 130:硬遮罩層 140:材料層 145:光阻層 150:芯部 160:間隔物 155:間隔物材料層 170:芯區域 180:間隙區域 190:成分 200:示例性實施例 220:熱分解材料 200:方法 201:示例性實施例 202:示例性實施例 203:示例性實施例 204:示例性實施例 250:重整間隔物 262:非對稱部分 300:示例性實施例 302:方塊 304:方塊 306:方塊 308:方塊 310:方塊 400:圖 402:光譜峰 404:光譜峰 406:溫度水平 408:溫度水平 410:溫度水平 412:溫度水平 414:溫度水平 416:溫度水平 450:圖 452:線 454:線 456:箭頭 500:圖 502:ALC 504:ALC-2 506:脲烷 508:聚甲基丙烯酸甲酯 510:單體 514:元件
通過參考以下結合附圖之描述,可對本發明及其優點獲得更完整的理解,其中相似元件符號表示相似特徵。 然而,應當注意,附圖僅示出揭示概念之示例性實施例,因此不應被視為對範圍的限制,因為所揭示之概念可允許其他等效的實施例。
圖1A-1G(先前技術)提供先前解決方案之剖面圖,其中由於SAMP製程內心軸拔除之後留下間隔物頂部之非對稱形狀,故在隨後圖案轉移中導致蝕刻均勻性變差。
圖2A-2E提供示例性實施例之剖面圖,其使用熱分解材料作為間隔物之間的保護塞,並對間隔物進行整形,因而減少或消除先前解決方案所面臨之蝕刻均勻性變差。
圖3提供示例性實施例之製程流程圖,其中在間隔物整形期間透過使用熱分解材料作為間隔物之間的保護塞,以改善底層之蝕刻均勻性。
圖4A-4B提供可用於所揭示實施例之代表性熱分解材料的示例性熱行為圖。
圖5提供可用於所揭示實施例的之示例性熱分解材料的熱去除溫度及耐熱性圖。
300:示例性實施例
302:方塊
304:方塊
306:方塊
308:方塊
310:方塊

Claims (20)

  1. 一種改善用於處理微電子工件之底層蝕刻均勻性的方法,包括: 提供具一材料層的一基板; 進行自對準多重圖案化(SAMP)製程,以在該材料層上形成間隔物; 使用一熱分解材料,在該等間隔物之間形成複數保護塞; 對該等間隔物進行整形;以及 使用熱處理去除該熱分解材料。
  2. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該形成保護塞的步驟包括: 形成一層熱分解材料於該等間隔物上;以及 使用熱處理進行該層熱分解材料之回蝕,以在該等間隔物之間形成該等保護塞。
  3. 如申請專利範圍第2項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中進行該層熱分解材料之該回蝕步驟之後,該等間隔物之非對稱部分保持顯露。
  4. 如申請專利範圍第3項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該整形步驟包括去除該等間隔物之該等非對稱部分。
  5. 如申請專利範圍第4項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該整形步驟為該等間隔物留下平坦的頂表面。
  6. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其步驟更包括在去除該熱分解材料之後,將該等間隔物之圖案轉移至該材料層。
  7. 如申請專利範圍第6項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中在該圖案之該轉移步驟中達成蝕刻均勻性的目標水平。
  8. 如申請專利範圍第7項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中使用一電漿蝕刻製程,以將該等間隔物之該圖案轉移至該材料層。
  9. 如申請專利範圍第6項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該材料層係形成於一額外材料層上之一硬遮罩層。
  10. 如申請專利範圍第9項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中在該圖案之該轉移步驟中達成該額外材料層之開槽的目標水平。
  11. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該SAMP製程包括: 形成複數芯部於該材料層上; 沉積一間隔物層於該等芯部上; 進行該間隔物層之回蝕,以留下間隔物作為與該等芯部相鄰之側壁結構;以及 進行心軸拔除製程,以去除該等芯部,並留下該等間隔物。
  12. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該熱處理之溫度範圍為攝氏100至450度(℃)。
  13. 如申請專利範圍第12項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該熱處理包括退火製程。
  14. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該熱分解材料具有可去聚合特性,使得其可透過攝氏100至450度之熱處理而被去除。
  15. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該熱分解材料具有可去聚合特性,使得其可透過攝氏250至450度之熱處理而被去除,並且使得其在攝氏150至215度呈穩定。
  16. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該去除的速率係透過調整該熱處理之溫度或壓力中之至少一者來控制。
  17. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該熱分解材料包括脲烷、聚甲基丙烯酸甲酯(PMMA)或單體之至少一者。
  18. 如申請專利範圍第1項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該熱分解材料包括無灰化塗佈(ALC)材料。
  19. 如申請專利範圍第18項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該ALC材料包括尿素黏結樹脂。
  20. 如申請專利範圍第19項所述之改善用於處理微電子工件之底層蝕刻均勻性的方法,其中該尿素黏結樹脂包括具有可去聚合特性之聚脲,使得其可透過低於攝氏450度之熱處理而被去除。
TW108123565A 2018-07-06 2019-07-04 針對多重圖案化製程使用熱分解材料之間隔物整形方法 TWI791864B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862694639P 2018-07-06 2018-07-06
US62/694,639 2018-07-06
US201862732241P 2018-09-17 2018-09-17
US62/732,241 2018-09-17

Publications (2)

Publication Number Publication Date
TW202016990A true TW202016990A (zh) 2020-05-01
TWI791864B TWI791864B (zh) 2023-02-11

Family

ID=69102286

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108123565A TWI791864B (zh) 2018-07-06 2019-07-04 針對多重圖案化製程使用熱分解材料之間隔物整形方法

Country Status (3)

Country Link
US (1) US10950442B2 (zh)
KR (1) KR102447614B1 (zh)
TW (1) TWI791864B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200143605A (ko) * 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자
CN111863621A (zh) * 2020-06-15 2020-10-30 上海集成电路研发中心有限公司 一种自对准四重图形的制作方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2204181C2 (ru) * 1997-01-21 2003-05-10 Джорджиэ Тек Рисеч Копэрейшн Полупроводниковое устройство (варианты) и способ формирования воздушных зазоров внутри структуры (варианты)
JP4127682B2 (ja) * 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP2012036078A (ja) * 1999-06-07 2012-02-23 Toshiba Corp パターン形成方法
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
WO2015126829A1 (en) * 2014-02-23 2015-08-27 Tokyo Electron Limited Method for patterning a substrate for planarization
TWI627704B (zh) * 2015-09-03 2018-06-21 東京威力科創股份有限公司 間隔件輪廓之修整方法
TWI661466B (zh) * 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法

Also Published As

Publication number Publication date
TWI791864B (zh) 2023-02-11
KR20200005503A (ko) 2020-01-15
US10950442B2 (en) 2021-03-16
KR102447614B1 (ko) 2022-09-26
US20200013619A1 (en) 2020-01-09

Similar Documents

Publication Publication Date Title
JP7266068B2 (ja) 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
TW202025233A (zh) 針對芯部移除製程使用熱分解材料縮減開槽的方法
CN107851577B (zh) 衬底接触蚀刻工艺
JP2004518283A (ja) トレンチの充填方法
WO2020092039A1 (en) Boron-doped amorphous carbon hard mask and methods
TWI791864B (zh) 針對多重圖案化製程使用熱分解材料之間隔物整形方法
JP7407583B2 (ja) 自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法
KR102503318B1 (ko) 열분해 재료들을 사용하여 로우 k 재료들을 패터닝하는 방법
US20220310448A1 (en) Method for si gap fill by pecvd
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
CN116982139A (zh) 使用脉冲高频射频(hfrf)等离子体的间隙填充工艺
TWI816801B (zh) 半導體裝置與其形成方法
CN108962742B (zh) 半导体结构的制造方法
US20240096640A1 (en) High Aspect Ratio Contact (HARC) Etch
US11495454B2 (en) Deposition of low-stress boron-containing layers
KR20080029574A (ko) 인시츄 식각 방식에 의한 반도체소자의 리세스된 콘택플러그 형성 방법
JP2023538528A (ja) 低応力炭素含有層の堆積
TW202201760A (zh) 在微電子工件上於三維結構中用於接觸窗的凸墊形成
CN112447520A (zh) 半导体装置的形成方法
JP2012079792A (ja) 半導体装置の製造方法