CN108369924B - 使用倾角离子束填充孔穴的设备和方法 - Google Patents

使用倾角离子束填充孔穴的设备和方法 Download PDF

Info

Publication number
CN108369924B
CN108369924B CN201680071850.8A CN201680071850A CN108369924B CN 108369924 B CN108369924 B CN 108369924B CN 201680071850 A CN201680071850 A CN 201680071850A CN 108369924 B CN108369924 B CN 108369924B
Authority
CN
China
Prior art keywords
ions
substrate
plasma
aperture
incidence
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680071850.8A
Other languages
English (en)
Other versions
CN108369924A (zh
Inventor
陈宗良
约翰·哈塔拉
梁树荣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN108369924A publication Critical patent/CN108369924A/zh
Application granted granted Critical
Publication of CN108369924B publication Critical patent/CN108369924B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种使用倾角离子束填充孔穴的设备和方法,所述方法包含:在等离子室中产生等离子体;相对于衬底的平面的垂线以非零入射角将包括冷凝物质和惰性气体物质中的至少一个的离子从等离子体引导至衬底内的孔穴。所述方法可以进一步包含:使用冷凝物质于孔穴内沉积填充材料,沉积填充材料与引导离子同时发生,其中填充材料以第一速率累积在孔穴的下表面上,并且其中填充材料以小于第一速率的第二速率累积在孔穴的侧壁的上部部分上。本发明提供优于用于填孔穴的已知技术的优点。另外,本发明提供避免空隙形成的更佳能力。

Description

使用倾角离子束填充孔穴的设备和方法
技术领域
本发明的实施例涉及衬底处理,且更确切地说,涉及用于使用倾角离子束填充例如沟槽或通孔的孔穴的设备和方法。
背景技术
由于例如半导体装置、存储器装置和其它装置等的装置缩放到较小尺寸,因此处理逐渐减小的结构的能力变得具有挑战性。在制造例如存储器或逻辑装置等的装置时,例如沟槽或通孔的孔穴可以形成于给定层或材料内并且可以随后用另一材料填充。例如,沟槽可以形成于硅层内并且可以随后用例如氧化硅或氮化硅的绝缘体材料填充。
用于填充沟槽的已知方法包含化学气相沉积,例如,高密度等离子体(highdensity plasma,HDP)化学气相沉积(chemical vapor deposition,CVD)。高密度等离子体化学气相沉积过程可以引起使用多个物质执行化学气相沉积,所述物质包含,例如,硅烷、氩气、氧气(用于氧化)或NH3(用于氮化)。高密度等离子体化学气相沉积过程可以包含用于沉积材料的物质以及用于至少部分蚀刻材料的物质。当用于填充沟槽时,高密度等离子体化学气相沉积过程可以提供气体分子(例如,氩气)作为冲击沟槽区域的离子化物质。可以另外提供例如硅烷和氧气的物质以沉积沟槽内的介电材料。沉积在沟槽的表面上的介电材料可以同时经受氩气物质的溅射蚀刻,其中沟槽的填充包含沉积和蚀刻。由于沟槽缩放至较小尺寸并且形成为具有较高高宽比(沟槽深度(高度)/沟槽宽度),因高密度等离子体化学气相沉积过程在提供待填充的沟槽的理想结构时可能是低效的。例如,在填充沟槽时可以形成小面。另外,沉积材料的侧壁上的增长以及从材料溅射的再沉积可以引起沿着侧壁的材料悬垂。此过程可能会引起夹止并且结果在沟槽内形成内埋空隙。
相对于这些和其它考量来提供本发明。
发明内容
在一个实施例中,方法可以包含:在等离子室中产生等离子体;相对于衬底的平面的垂线以非零入射角将包括冷凝物质和惰性气体物质中的至少一个的离子从所述等离子体引导至衬底内的孔穴。所述方法可以进一步包含使用冷凝物质将填充材料沉积在孔穴内。沉积可以与引导离子同时发生;其中填充材料以第一速率累积在孔穴的下表面上,并且其中填充材料以小于第一速率的第二速率累积在孔穴侧壁的上部部分上。
在另一实施例中,设备可以包含:等离子室;第一气体源和第二气体源,用于分别将惰性气体和冷凝物质提供到所述等离子室;以及等离子体产生器,用于在所述等离子室中产生包括源自所述惰性气体的第一离子和源自所述冷凝物质的第二离子的等离子体。所述设备可以进一步包含提取组合件,用于从等离子体提取离子束、第一离子和第二离子,并且用于相对于衬底的平面的垂线以非零入射角将沉积暴露的离子束引导至衬底内的孔穴。所述设备可以进一步包含用于控制一组沉积参数的控制器。所述设备还可以包含包括指令的至少一个计算机可读存储媒体,其中所述指令在执行时使控制器执行以下操作中的至少一个:发送第一控制信号以在沉积暴露期间调整非零入射角;以及发送第二控制信号以将冷凝物质的气流调整到等离子室中。
在另一实施例中,方法可以包含:在等离子室中产生等离子体;以及将包括冷凝物质和惰性气体物质中的至少一个的离子从所述等离子体引导至衬底内的孔穴,其中所述孔穴包括下表面和侧壁。所述方法还可以包含使用冷凝物质将填充材料沉积在孔穴内。另外,所述方法可以包含执行选择性蚀刻,包括相对于衬底的平面的垂线以选择非零入射角将蚀刻剂离子束从等离子室引导至孔穴。以此方式,可以相对于安置于孔穴的其它区域中的填充材料选择性地清除沉积在侧壁的上部部分上的填充材料。
本发明的实施例提供优于用于填充沟槽或其它孔穴的已知技术的优点,另外,本发明的实施例提供避免空隙形成(包含在具有较高高宽比的沟槽中)的更佳能力。
附图说明
图1A、图1B、图1C、图1D和图1E共同地描绘根据本发明实施例的填充孔穴的实例。
图2A、图2B、图2C、图2D和图2E共同地说明根据本发明的额外实施例填充孔穴。
图3A描绘根据本发明的实施例的示例性处理系统;而图3B示出图3A中所示的提取组合件的实施例的平面图。
图4描绘根据本发明的实施例的示例性处理流程。
图5描绘根据本发明的其它实施例的另一示例性处理流程。
附图未必按比例绘制。附图仅为表示,并不意图描绘本发明的特定参数。附图意图描绘本发明的示例性实施例,且因此不应被视为在范围上受到限制。在附图中,相似编号表示相似元件。
此外,出于清楚说明的目的,一些附图中的某些元件可以省略或不按比例说明。此外,为了清晰起见,一些参考标号可以在某些附图中省略。
具体实施方式
现将在下文参考附图来更充分地描述根据本发明的方法和设备,在附图中示出方法和设备的实施例。方法和设备可以许多不同形式体现,且不应解释为受限于本文中所阐明的实施例。替代地,提供这些实施例是为了使得本发明透彻且完整,且将向所属领域的技术人员充分传达系统和方法的范围。
为了方便和清晰起见,例如“顶部”、“底部”、“上部”、“下部”、“垂直”、“水平”、“横向”和“纵向”的术语在本文中可以用于描述这些组件以及其组成部分的相对放置和定向,每一者在呈现在附图中时相对于半导体制造装置的组件的几何形状和定向。所述术语将包含具体提到的词、其派生词和类似意思的词。
如本文中所使用,以单数形式叙述并且前面有词“一(a)”或“一个(an)”的元件或操作应被理解为包含多个元件或操作,直到明确叙述此类排除。此外,对本发明的“一个实施例”的参考并不意图为限制性的。额外的实施例也可以并入到所叙述的特征中。
在各种实施例中,揭示提供衬底中的孔穴的改进处理,例如,沟槽或通孔的改进填充的技术和设备。具体而言,本发明涉及在孔穴填充期间倾角离子束的使用,其中相对于衬底平面的垂线以非零入射角将离子引导至衬底。
图1A、图1B、图1C、图1D和图1E共同地描绘根据本发明实施例的填充孔穴的实例。图1A、图1B和图1C中所示的情形说明处理装置结构102的不同情况。在图1A中,装置结构102被示为包含孔穴106的衬底104。在各种实施例中,孔穴可以为沟槽、通孔或具有侧壁108、下表面110和上表面112的类似结构。衬底104可以包含未示出的其它特征并且可以包含例如任何数目的层,其中至少一些层由不同材料制成。衬底104可以包含例如类似于孔穴106的多个孔穴。衬底104可以由给定材料组成,其中侧壁108、下表面110和上表面112由相同材料组成。实施例在此上下文中不受限制。在一些实例中,衬底材料可以由单晶硅或其它形式的硅、氧化物或氮化物组成。实施例在此上下文中不受限制。
在具体实施例中,孔穴106的尺寸沿着至少一个方向可以小于100nm。例如,孔穴106可以具有沟槽结构,其中沟槽的宽度W小于100nm。在一些例子中,此沟槽的高度H可以大于100nm。在此实例中,高宽比H/W视为大于一。图1A至图1C的实施例解决了填充孔穴的挑战,例如,具有前述小尺寸的孔穴,包含具有大于一的高宽比的孔穴。实施例在此上下文中不受限制。
现在转向图1B和图1C,示出根据本发明的实施例的采用用于填充孔穴106的离子的情况。在一些实施例中,离子120可以提供为离子束,其中离子束内的不同离子轨迹彼此平行或一般位于跨越10度或更小度数的角范围内。实施例在此上下文中不受限制。图1B和图1C中执行的操作可以在不同实施例中同时或依序执行。如图1B中所示,离子120可以提供为方向性离子束,其中离子轨迹被布置成相对于衬底104的平面P的垂线122形成示为角θ的非零入射角。如图1B中进一步说明,可以从等离子体源130提供离子120,其中等离子体源130在各种实施例中可以包含等离子室。如本文中所使用,通用术语“等离子体源”可以包含发电机、等离子体激励器、等离子体室以及等离子体本身。等离子体源130可以是电感耦合等离子体(inductively-coupled plasma,ICP)源、环形耦合等离子体源(toroidalcoupled plasma source,TCP)、电容耦合等离子体(capacitively coupled plasma,CCP)源、螺旋波源、电子回旋共振(electron cyclotron resonance,ECR)源、间热式阴极(indirectly heated cathode,IHC)源、辉光放电源,或本领域技术人员已知的其它等离子体源。在具体实施例中,等离子体源130可以包含提取组合件132,所述提取组合件132包含提取板134和光束阻断器136,且提取板134和光束阻断器136一起定义出孔穴140及孔穴142。相对于图3A和图3B更详细地论述这些组件的操作。
等离子体源130可以用于提供包含冷凝物质以及惰性气体物质的离子物质。如下详述,这些物质可以交互操作以通过增强填充材料的自下而上增长的方式控制填充材料在孔穴106内的沉积。以此方式,可以避免夹止,从而防止在孔穴106内形成不需要的空隙。
在具体实施例中,离子120可以包含惰性气体物质和冷凝物质的混合物,而在其它实施例中,离子120可以包含冷凝物质。等离子体源130中的物质的组分可以类似于用于沉积已知材料的已知高密度等离子体化学气相沉积过程的组分。例如,在沉积例如二氧化硅的填充材料的实例中,可以将包含硅烷(SiH4)、一氧化二氮和氩气的物质提供到等离子体源130。这些物质中的至少一些可以离子化并且可以如图所示形成离子120。在例如使用硅烷和氧气(O2)形成二氧化硅的其它实施例中,可以将氧气单独传送到衬底104,如下文所论述。在各种实施例中,除了离子120之外,可以将用于有助于形成孔穴106中的填充材料的包含沉积物质的中性物质(未示出)与离子120一致地提供到衬底104。在一些情况下,中性物质可以具有与离子120的轨迹不同的轨迹。
在具体实施例中,结合离子120提供到衬底104的中性物质可以包含反应性物质(未示出),其中在不穿过等离子室的情况下将反应性物质提供到衬底104。可以选择反应性物质与其它物质反应,所述其它物质包含形成离子120的一部分的其它中性物质或冷凝物质。反应性物质因此可以形成累积在孔穴106内的填充材料的一部分。根据本发明的实施例的填充材料124的实例包含二氧化硅、氮化硅、氧化铝、非晶硅、一氧化碳、钽、钨、铝。实施例在此上下文中不受限制。
在图1B和图1C的实施例中,可以通过控制离子120的方向性来控制填充材料124在孔穴106内的累积。例如,填充材料124可以立即沉积在孔穴106的不同表面上,包含下表面110、侧壁108和上表面112。同时,离子120可以配备有用于起始蚀刻的足够能量,例如,从暴露于离子120的表面的材料的再溅射。通过控制离子120的轨迹,在填充材料124沉积在孔穴106时,可以对准孔穴106的某些部分以供离子120蚀刻。填充材料124的净累积轮廓因此可以表示孔穴106的部分的沉积和空间对准蚀刻的组合。
如图1B和图1C中所示,填充材料124可以第一速率累积在孔穴106的下表面110上,可以不同速率或与第一速率类似的速率累积在侧壁108的下部部分108B上。另外,填充材料124可以小于第一速率的第二速率累积在侧壁108的上部部分108A上。在具体实施例中,示为θ的非零入射角相对于垂线122可以为30度或更小。离子120因此可以撞击侧壁108的暴露区域,从而减小填充材料124在例如上部部分108A的暴露区域中的累积速率。在各种实施例中,离子120的离子能量可以被调谐成产生填充材料124的蚀刻,而不会对装置102造成不必要的损坏。离子120的示例性离子能量范围包含500eV至1500eV。由于离子120通过上表面112的遮蔽,孔穴106的下部区域可以更少暴露于通过离子120的蚀刻。以此方式,材料在侧壁108的下表面110和下部部分108B上的累积速率可以主要由形成填充材料124的沉积物质的沉积速率确定。
图1D和图1E说明在图1B和图1C的情形之后的情况下填充材料124的轮廓的演变。为了产生图1D或图1E中所示的填充材料124的结构,可以重复或继续一般在图1B和图1C中所示的操作。如所提及,非离子化材料可以部分冷凝在孔穴106内,而离子120以非零入射角被引导至孔穴106中。与上部部分108A附近的区域相比,此过程引起填充材料124在下表面110附近以及在下部部分108B附近的更快继续累积。图1E示出在图1D的情形之后的额外情况,其中表示最低表面的填充材料124的下表面几乎与孔穴106的上表面112齐平。图1A至图1E中所说明的填充过程因此在填充材料124的不同沉积阶段期间在填充材料124中产生非重入轮廓,从而能够填充孔穴106而不夹止或形成空隙。
根据本发明的额外实施例,除了用于控制用于填充孔穴的填充材料的轮廓的沉积操作之外,可以采用单独的蚀刻操作。图2A、图2B、图2C和图2D共同地说明根据本发明的额外实施例填充孔穴。图2A中,描绘示例性填充操作,其中相对于垂线122以非零入射角将离子引导至孔穴106。在此具体实施例中,离子202可以被引导在一个侧壁处,而离子204被引导在相对侧壁处,其中两个侧壁被示为侧壁108。离子202和离子204可以与非离子化物质(未示出)同时提供到孔穴106,其中非离子化物质的至少一部分可以引起填充材料的沉积。在一些实施例中,可以将离子202和离子204同时提供到彼此。
再次参考图1B,在一个实例中,可以通过经由提取孔径144从等离子体源130提取离子作为离子束而提供离子202和离子204,其中提取孔径144在提取组合件132内形成。具体而言,离子的第一部分,示为离子202,可以通过提取孔径144的第一部分提取为第一离子束,而离子的第二部分,示为离子204,可以通过提取孔径144的第二部分提取。在一个实施例中,离子202可以相对于垂线122形成第一非零入射角,示为角θ,而离子204相对于垂线122形成第二非零入射角,示为角-θ。具体而言,垂线122可以平分第一非零入射角θ和第二非零入射角-θ。对于孔穴106的对称孔穴结构,此几何形状可以使离子202和离子204以相同入射角(入射角的绝对值)拦截相对侧壁的相同相应部分。在这些情形下,填充材料210的对称轮廓可以显现。在其它实施例中,可以相对于垂线122以不同角度提供离子202和离子204。
尽管一般图2A中所示的过程可以在发展期间产生填充材料210的可接受轮廓,如一般在图1B至图1E中所说明,但是在一些情形下,至少一些蚀刻操作可以用于在图2A的情形之后执行。此蚀刻可以通过自下而上过程进一步减小孔穴106的增强填充,从而避免夹止和直埋空隙。
现在转向图2B,示出在图2A之后的情形,其中执行蚀刻工艺以清除填充材料210的一部分。在图2B中,蚀刻剂212被引导至孔穴106。在各种实施例中,蚀刻剂212可以是选择性蚀刻剂,其中蚀刻剂212引起执行填充材料210的选择性蚀刻。具体而言,可以相对于衬底104的材料选择性地清除填充材料210的一部分。蚀刻剂212可以包含用于选择性蚀刻的已知物质。例如,在填充材料沉积在二氧化硅中并且在硅中形成孔穴106的实施例中,蚀刻剂212可以源自氟甲烷。在具体实施例中,氟甲烷可以流动到等离子体源(例如,等离子体源130)中,并且可以至少部分离子化且提供到孔穴106。在图2B中,在由蚀刻剂212执行选择性蚀刻工艺之后,示出填充材料210的所得轮廓。沿着侧壁108的上部部分108A清除填充材料210,而不清除衬底104的材料。
在各种实施例中,沉积填充材料210并且执行填充材料的选择性蚀刻可以构成填充循环其中在初始填充循环之后执行至少一个额外的填充循环。如图2C中所说明,例如,可以执行与图2A的操作类似的随后沉积工艺以沉积额外的填充材料210。如所说明,可以朝向一对相对侧壁引导离子束,示为离子224,和另一离子束,示为离子226,而非离子化物质(未示出)可以提供到孔穴106。这可以产生填充材料210的轮廓,如图所示。在图2D中,可以通过在图2C中所示的沉积之后将蚀刻剂232引导至孔穴106中来执行额外选择性蚀刻。在一些实施例中,蚀刻剂232可以与蚀刻剂212相同。同样,填充材料210可以选择性地从侧壁108的上部部分108A清除。尽管在一些实施例中,在多次重复图2A至图2B的操作时,蚀刻剂232以及蚀刻剂212可以部分地蚀刻下表面110附近的填充材料210,但是填充材料210的总体轮廓可以继续为非重入的,从而允许在避免夹止结构时填充孔穴106。图2E示出当多次重复图2A至图2B的操作时产生的填充材料的轮廓的演变的实例。轮廓240说明在图2D的情形之后的第一情况下的填充材料210的填充材料轮廓,而轮廓242示出在由轮廓240表示的情况之后的第二情况。
在一些实施例中,在图2B和图2D的情形中,例如,在相对于垂线122以非零入射角引导离子,类似于离子202和离子204的几何形状时,可以将选择性蚀刻剂提供到孔穴106。以此方式,选择性蚀刻剂可以仅蚀刻暴露于离子的填充材料的部分,而填充材料的其它部分,例如,与下表面110相邻的部分可以未暴露于蚀刻。此选择性蚀刻剂的实例可以包含氟甲烷。选择性蚀刻剂的另一实例可以是氟甲烷和氩气混合物。在孔穴侧壁的一部分在沉积工艺之后保持暴露的一些实例中,离子束的离子能量可以维持为低于其中发生形成孔穴壁的所暴露衬底材料的大量溅射的水平。
这种类型的选择性蚀刻因此提供空间选择性蚀刻,因为孔穴的某些区域保持未暴露于离子,同时还提供化学选择性,其中衬底104保持未蚀刻或相对于填充材料的蚀刻以减小的速率蚀刻。以此方式,可以通过有助于填充材料朝向孔穴的下部部分的沉积,同时从侧壁的上部区域清除填充材料来增强自下而上填充过程。
在又一实施例中,用于沉积填充材料的已知沉积操作可以后跟着填充材料的选择性蚀刻,其中例如一般根据图2A中所示的几何形状朝向孔穴侧壁引导形成选择性蚀刻剂的离子。已知沉积操作的实例涉及高密度等离子体化学气相沉积过程,其中沿着衬底平面的垂线引导离子。尽管就其自身而言,已知高密度等离子体化学气相沉积过程的使用往往会在孔穴中产生填充材料的非理想轮廓,但是在此实施例中,沉积操作可以通过使用非垂直离子与选择性蚀刻操作交替,其中相较于下部区域,沿着侧壁的上部部分优先清除填充材料。
在本发明的又另外的实施例中,可以在填充过程期间调整朝向孔穴引导的离子的轨迹。例如,再次参考图1B至图1E,可以在一方面图1B、图1C的情形与图1D的情形之间调整离子120的非零入射角的量值。在一个具体实例中,在图1B和图1C的情形下θ的量值可以是25度,而在图1D的情形下θ的量值可以减小到15度。在图1E的情形下θ的量值可以进一步减小到10度。此调整可以在不同实施例中在离散操作中或以连续方式发生。在沟槽的接受角度随着填充材料的累积而变化时,θ的量值的调整允许在不同填充过程阶段处以合适的角度引导离子。
图3A描绘根据本发明的实施例示为系统300的示例性处理系统。系统300可以尤其被调适成根据本文所揭示的技术执行衬底中的孔穴的填充。系统300可以包含等离子室302、处理室310以及包含等离子室泵330和处理室泵332的各种已知组件。在不同实施例中,等离子室302可以形成电感耦合等离子体(inductively-coupled plasma,ICP)源、环形耦合等离子体源(toroidal coupled plasma source,TCP)、电容耦合等离子体(capacitively coupled plasma,CCP)源、螺旋波源、电子回旋共振(electron cyclotronresonance,ECR)源、间热式阴极(indirectly heated cathode,IHC)源、辉光放电源,或本领域技术人员已知的其它等离子体源的一部分。如图3A中所提出,等离子室302可以是由RF功率源308驱动的电感耦合等离子体源的一部分。系统300可以进一步包含提供前驱气体以形成冷凝物质的气体源304。在一个实例中,前驱气体可以是将用于形成氧化硅(SiO2)或氮化硅(Si3N4)的硅烷。实施例在此上下文中不受限制。气体源306可以用于将例如氩气或其它惰性气体等的惰性气体提供到等离子室302。例如,此惰性气体可以形成离子120、离子202、离子204、离子224或离子226的一部分。实施例在此上下文中不受限制。在额外的实施例中,额外的气体源(未示出)可以耦合到等离子室以提供其它气体,例如,含氧气体或含氮气体。
系统300可以包含偏置系统318,所述偏置系统318被布置成相对于处理室310偏置等离子室302。在图3A中所示的具体实例中,处理室310可以接地,同时通过偏置系统318将正电压施加到等离子室302。
系统300可以进一步包含提取组合件316,其中提取组合件316是提取组合件132的变体。提取组合件316可以安置于在等离子室302中产生的等离子体与安置于处理室310中的衬底平台312之间。衬底平台312可以耦合到衬底104,并且可以沿着平行于X轴的方向移动。在一些实施例中,衬底平台312可以沿着平行于Z轴的方向移动,并且可以另外沿着平行于Y轴的方向移动。
当将气体提供到等离子室302并且通过RF功率源308供应电力时,可以在等离子室302中产生等离子体。当将偏置电压施加到等离子室302时,离子可以通过脉冲或连续方式从等离子室302中的等离子体提取并且被引导至衬底314。在图3A中所描绘的实例中,将离子束320从等离子室302引导至衬底314。还参考图1B和图1C,在一对离子束相对于垂线122以非零入射角冲击衬底314时,可以引导图3A的离子束320。在图3A的实例中,垂线122平行于所示的笛卡尔坐标系统的Z轴布置。
根据各种实施例,可以在等离子室302中产生冷凝物质,其中冷凝物质的至少一部分形成包含于离子束320中的离子。冷凝物质可以进一步包含如上所述的中性物。通过系统300产生的填充材料的所得轮廓可以类似于前述图中所示的填充材料的轮廓。
根据各种实施例,系统300可以包含反应气体组合件以在不穿过等离子室的情况下将反应气体物质提供到衬底104。在图3A的实例中,气体源322可以将第一气体326供应到处理室310,而气体源324可以将第二气体328提供到处理室310。可以使用绕过等离子室302的气体管线提供这些气体,使得气体不流过等离子室302。例如,为了根据一般在图1A至图2E中所示的过程沉积孔穴中的二氧化硅,可以将硅烷提供到等离子室302,其中来自硅烷的冷凝物质形成离子束320的一部分。为了在孔穴内形成二氧化硅,可以结合硅烷供应氧气源。具体而言,使用气体源322或气体源324使氧气直接流动到处理室310可以是有用的。这可以通过在与供应硅的冷凝物质分离的增长填充材料中提供氧气源而避免传送管线中的任何沉积。
现在转向图3B,示出提取组合件316的实施例的平面图。在此实例中,提取组合件316包含提取板342,取板342具有相较于沿着X轴的长度具有沿着Y轴的更大宽度的细长提取孔径344。提取组合件316还可以包含邻近于细长提取孔径344安置的光束阻断器346。光束阻断器346和细长提取孔径344因此可以被布置成提取离子束320作为穿过间隙348的第一带状光束并且提取穿过间隙350的第二带状光束。
此类带状光束可以用于在给定情况下暴露衬底104的整个宽度。这可以将跨越衬底104布置的多个孔穴106暴露于填充过程,如前述实施例中所描述。通过细长提取孔径344提取的带状光束一般可以具有平行于X轴的轨迹,而所述轨迹还相对于Z轴,或相对于垂线122形成非零入射角。因此,跨越衬底104的宽度沿着Y轴安置的多个孔穴(例如,沟槽)可以通过类似方式暴露于离子束320。因此,可以跨越衬底104的宽度立即提供改进的孔穴填充过程。此外,通过沿着X方向扫描衬底104,衬底104的目标区域,例如,整个衬底104可以通过依序方式暴露于改进的孔穴填充过程。
根据一些实施例,系统300可以用于执行涉及例如在图2A至图2D中所示的交替沉积和蚀刻操作的过程。例如,为了用二氧化硅填充孔穴,沉积操作可能需要使硅烷和氩气流动到等离子室302中以形成等离子体。在用二氧化硅填充孔穴的沉积操作中,离子束320可以被引导至衬底104,同时气体源324将氧气提供到衬底104。在蚀刻操作中,可以将例如氟甲烷的气体提供到等离子室302,其中氟甲烷可以用于形成冲击孔穴106的目标部分的蚀刻离子束。可以在给定沉积操作与给定蚀刻操作之间执行净化操作以消除在用于不同操作的物质之间的任何交叉污染。
在各种实施例中,系统300可以包含用于在孔穴的填充期间提供填充材料的动态轮廓控制的组件。在图3A中进一步所示的一个实例中,系统300可以包含用于控制系统300的不同组件的控制系统340。控制系统340可以包含用于控制一组沉积参数以及蚀刻参数的控制器340A。控制系统340可以进一步包含媒体340B,例如,包含指令的至少一个计算机可读存储媒体,其中所述指令在执行时使控制器340A执行某些操作。在这些操作之中包含:发送第一控制信号以在沉积暴露期间调整离子束的非零入射角,以及发送第二控制信号以调整提供到等离子室中的冷凝物质的气流。控制在其它操作之中的这些操作使系统300能够提供给定孔穴内的经唯一调适的填充材料轮廓。
在不同实施例中,发送用于调整非零入射角的第一控制信号可以涉及将调整信号发送到RF功率源308以调整等离子室302中的等离子体中的功率。在已知的等离子体系统中,调整等离子体功率可以调整在提取孔径处形成的等离子体的弯液面的形状,并且因此可以调整从等离子体的离子提取角度,从而引起入射在孔穴上的离子束的非零入射角的变化。如上所述,在填充过程继续进行时,减小相对于垂线的入射角可以是有用的。因此,控制系统340可以用于在沉积暴露期间周期性地或连续地调整等离子体功率,以在孔穴内的填充材料的量增加时调整入射角。这可以例如允许填充材料的演变轮廓的最佳调适以确保孔穴的适当填充而不会引起夹止。
在不同实施例中,发送用于调整非零入射角的第一控制信号可以引起发送移动信号以沿着平行于Z轴的方向调整在衬底104与提取组合件316之间的间隔。调整此间隔还可以影响从等离子室302提取的离子束的非零入射角,并且因此可以用于在填充期间动态地改变离子束的入射角。
用于调整进入等离子室302中的气流的控制信号的发送可以用于在用例如二氧化硅填充孔穴期间调整硅烷流。硅烷流的此调整可以用于在填充过程期间调整沉积与蚀刻的比率,并且因此可以影响填充材料的所得轮廓。
图4示出根据本发明的实施例的示例性处理流程400。在块402处,在等离子室中产生等离子体。在一些实施例中,等离子体可以包含冷凝物质以及惰性气体物质。
在块404处,执行将包括冷凝物质和惰性气体物质中的至少一个的离子从等离子体引导至衬底内的孔穴的操作。具体而言,可以相对于衬底的平面的垂线以非零入射角引导离子。
在块406处,执行使用冷凝物质沉积孔穴内的填充材料的操作。填充材料可以第一速率累积在孔穴的下表面上并且以小于第一速率的第二速率累积在孔穴的侧壁的上部部分上。此轮廓可以有助于孔穴的填充而不引起夹止(例如)。
图5示出根据本发明的实施例的示例性处理流程500。在块502处,在等离子室中产生等离子体。在块504处,执行将包括冷凝物质和惰性气体物质中的至少一个的离子从等离子体引导至衬底内的孔穴的操作,其中孔穴具有下表面和侧壁。
在块506处,执行使用冷凝物质沉积孔穴内的填充材料的操作。在一些实施例中,冷凝物质可以提供于相对于衬底的平面的垂线以非零入射角引导的离子束中。
在块508处,执行选择性蚀刻。所述选择性蚀刻可以涉及相对于衬底的平面的垂线以选择非零入射角将蚀刻剂离子束从等离子室引导至孔穴。由此可以相对于安置于孔穴的其它区域中的填充材料选择性地清除在沉积操作期间沉积在孔穴的侧壁的上部部分上的填充材料。
总而言之,本发明的实施例提供优于用于填充沟槽或其它孔穴的已知技术的优点,包含提供用于防止有源设备区的损坏的更佳能力。例如,通过相对于垂线以非零入射角引导离子,尤其在填充沟槽的初始阶段处,离子可以不受撞击沟槽底部的影响,其中可以定位敏感装置组件。另外,本发明的实施例提供避免空隙形成(包含在具有较高高宽比的沟槽中)的更佳能力。
虽然已在本文中描述了本发明的某些实施例,但本发明不限于此,因为本发明在范围上与本领域将允许的一样宽泛,且可以同样地来理解说明书。因此,不应将以上描述解释为限制性。实际上,以上描述仅仅是作为具体实施例的例证。本领域的技术人员将在本文所附的权利要求的范围和精神内设想其它修改。

Claims (15)

1.一种使用倾角离子束填充孔穴的方法,其特征在于,包括:
在等离子室中产生等离子体;
提供衬底至处理室,其中所述衬底具有孔穴,所述孔穴邻近所述等离子室;以及
通过提供中性物质至所述衬底以于所述孔穴内沉积填充材料,且相对于所述衬底的平面的垂线以非零入射角将包括冷凝物质的作为离子束的离子从所述等离子体引导至所述孔穴,其中所述离子在沉积所述填充材料的期间未撞击所述孔穴的下表面,其中所述中性物质具有的轨迹与所述离子具有的轨迹不同,
其中沉积所述填充材料与引导所述离子同时发生,
其中所述填充材料以第一速率累积在所述孔穴的下表面上,并且其中所述填充材料以小于所述第一速率的第二速率累积在所述孔穴的侧壁的上部部分上。
2.根据权利要求1所述的使用倾角离子束填充孔穴的方法,引导所述离子包括通过具有细长孔径的提取板提取所述离子。
3.根据权利要求2所述的使用倾角离子束填充孔穴的方法,引导所述离子进一步包括:
提供与所述细长孔径相邻的所述等离子室内的光束阻断器;
通过所述细长孔径的第一部分提取所述离子的第一部分作为第一离子束,所述离子的所述第一部分相对于所述垂线形成第一非零入射角;以及
通过所述细长孔径的第二部分提取所述离子的第二部分作为第二离子束,所述离子的所述第二部分相对于所述垂线形成第二非零入射角,其中所述垂线平分所述第一非零入射角和所述第二非零入射角的总和。
4.根据权利要求1所述的使用倾角离子束填充孔穴的方法,其进一步包括:在不穿过所述等离子室的情况下将反应性物质提供到所述衬底,所述反应性物质形成所述填充材料的一部分。
5.根据权利要求1所述的使用倾角离子束填充孔穴的方法,其进一步包括于所述填充材料中产生非重入轮廓,直到所述填充材料的最低水平与所述孔穴的顶部齐平。
6.根据权利要求1所述的使用倾角离子束填充孔穴的方法,其中所述非零入射角为30度或小于30度。
7.根据权利要求1所述的使用倾角离子束填充孔穴的方法,其进一步包括:在引导所述离子的期间减小所述非零入射角的量值。
8.根据权利要求7所述的使用倾角离子束填充孔穴的方法,其中减小所述非零入射角的量值包括增加所述衬底与用于从所述等离子室提取所述离子的提取板之间的间隙。
9.根据权利要求1所述的使用倾角离子束填充孔穴的方法,其中所述冷凝物质由引导至所述等离子室中的前驱气体形成,所述方法进一步包括在沉积所述填充材料的期间在第一情况下使所述前驱气体以第一速率流动到所述等离子室中,且在沉积所述填充材料的期间在所述第一情况之后的第二情况下使所述前驱气体以小于所述第一速率的第二速率流动到所述等离子室中。
10.根据权利要求1所述的使用倾角离子束填充孔穴的方法,其中所述衬底包括不同于所述填充材料的第二材料,所述方法进一步包括在沉积所述填充材料之后执行所述填充材料的选择性蚀刻,其中相对于所述第二材料选择性地清除所述填充材料的一部分。
11.一种使用倾角离子束填充孔穴的设备,其特征在于,包括:
等离子室;
第一气体源和第二气体源,用于分别将惰性气体和冷凝物质提供到所述等离子室;
等离子体产生器,用于在所述等离子室中产生包括源自所述惰性气体的第一离子和源自所述冷凝物质的第二离子的等离子体;
提取组合件,用于从所述等离子体提取离子束、所述第一离子和所述第二离子,并且相对于衬底的平面的垂线以非零入射角将沉积暴露的所述离子束引导至所述衬底内的孔穴;
控制器,用于控制一组沉积参数;以及
至少一个计算机可读存储媒体,包括指令,其中所述指令在执行时使所述控制器执行以下各项中的至少一个:
发送第一控制信号以在所述沉积暴露的期间调整所述非零入射角;以及
发送第二控制信号以调整进入所述等离子室中的所述冷凝物质的气流。
12.根据权利要求11所述的使用倾角离子束填充孔穴的设备,其进一步包括反应气体组合件,用于在不穿过所述等离子室的情况下将反应气体物质提供到所述衬底。
13.根据权利要求11所述的使用倾角离子束填充孔穴的设备,其中所述提取组合件包括:
提取板,其具有细长提取孔径;以及
光束阻断器,其邻近于所述细长提取孔径安置,所述光束阻断器和所述细长提取孔径被布置成提供所述离子束作为第一带状光束并且提取第二带状光束,所述第一带状光束和所述第二带状光束分别相对于所述垂线界定第一非零角和第二非零角。
14.一种使用倾角离子束填充孔穴的方法,其特征在于,包括:
在等离子室中产生等离子体;
提供衬底至处理室,其中所述衬底具有孔穴,所述孔穴邻近所述等离子室;
通过提供中性物质至所述衬底以于所述孔穴内沉积填充材料,且将包括冷凝物质和惰性气体物质中的至少一个的离子从所述等离子体引导至所述孔穴,所述孔穴包括下表面和侧壁,所述离子相对于所述衬底的平面的垂线形成非零入射角,其中所述离子在沉积所述填充材料的期间未撞击所述孔穴的所述下表面,其中所述中性物质具有的轨迹与所述离子具有的轨迹不同,其中沉积所述填充材料与引导所述离子同时发生;以及
执行选择性蚀刻,包括相对于所述衬底的平面的垂线以选择非零入射角将蚀刻剂离子束从所述等离子室引导至所述孔穴,其中相对于安置于所述孔穴的其它区域中的所述填充材料选择性地清除沉积在所述侧壁的上部部分上的所述填充材料。
15.根据权利要求14所述的使用倾角离子束填充孔穴的方法,其中所述离子包括相对于所述衬底的平面的所述垂线的第二非零入射角。
CN201680071850.8A 2015-12-08 2016-11-30 使用倾角离子束填充孔穴的设备和方法 Active CN108369924B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/962,642 US9997351B2 (en) 2015-12-08 2015-12-08 Apparatus and techniques for filling a cavity using angled ion beam
US14/962,642 2015-12-08
PCT/US2016/064169 WO2017100053A1 (en) 2015-12-08 2016-11-30 Apparatus and techniques for filling a cavity using angled ion beam

Publications (2)

Publication Number Publication Date
CN108369924A CN108369924A (zh) 2018-08-03
CN108369924B true CN108369924B (zh) 2022-08-02

Family

ID=58798561

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680071850.8A Active CN108369924B (zh) 2015-12-08 2016-11-30 使用倾角离子束填充孔穴的设备和方法

Country Status (6)

Country Link
US (1) US9997351B2 (zh)
JP (2) JP7098522B2 (zh)
KR (1) KR102639549B1 (zh)
CN (1) CN108369924B (zh)
TW (1) TWI725071B (zh)
WO (1) WO2017100053A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6719416B2 (ja) * 2017-03-30 2020-07-08 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
US10629437B2 (en) * 2018-05-09 2020-04-21 Varian Semiconductor Equipment Associates, Inc. Techniques and structure for forming dynamic random-access device using angled ions
JP7471074B2 (ja) * 2019-12-02 2024-04-19 キヤノントッキ株式会社 成膜方法及び成膜装置
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11942361B2 (en) * 2021-06-15 2024-03-26 Applied Materials, Inc. Semiconductor device cavity formation using directional deposition
US11862458B2 (en) 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US6117345A (en) * 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
US7217658B1 (en) * 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01149957A (ja) * 1987-12-07 1989-06-13 Hitachi Ltd 薄膜形成装置および薄膜形成方法
JP3031079B2 (ja) * 1992-09-21 2000-04-10 三菱電機株式会社 配線膜形成装置
JPH0794512A (ja) * 1993-09-20 1995-04-07 Hitachi Ltd 配線形成方法及び装置
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
JP2001338896A (ja) 2000-05-30 2001-12-07 Ebara Corp 基板の成膜・埋込方法及び装置
JP5019676B2 (ja) 2001-05-28 2012-09-05 アプライド マテリアルズ インコーポレイテッド Hdpcvd処理によるトレンチ充填
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
TWI223385B (en) 2003-09-04 2004-11-01 Nanya Technology Corp Trench device structure with single side buried strap and method for fabricating the same
US7344896B2 (en) 2004-07-26 2008-03-18 Infineon Technologies Ag Ferromagnetic liner for conductive lines of magnetic memory cells and methods of manufacturing thereof
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
JP2010278330A (ja) 2009-05-29 2010-12-09 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
SG10201607194PA (en) 2011-09-23 2016-10-28 Novellus Systems Inc Plasma activated conformal dielectric film deposition
US9288889B2 (en) * 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9190248B2 (en) 2013-09-07 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Dynamic electrode plasma system
US9530674B2 (en) 2013-10-02 2016-12-27 Applied Materials, Inc. Method and system for three-dimensional (3D) structure fill
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US6117345A (en) * 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
US7217658B1 (en) * 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill

Also Published As

Publication number Publication date
JP7098522B2 (ja) 2022-07-11
KR20180082599A (ko) 2018-07-18
JP2022141680A (ja) 2022-09-29
TW201724205A (zh) 2017-07-01
JP7425835B2 (ja) 2024-01-31
CN108369924A (zh) 2018-08-03
JP2019501489A (ja) 2019-01-17
US9997351B2 (en) 2018-06-12
WO2017100053A1 (en) 2017-06-15
US20170162384A1 (en) 2017-06-08
TWI725071B (zh) 2021-04-21
KR102639549B1 (ko) 2024-02-22

Similar Documents

Publication Publication Date Title
CN108369924B (zh) 使用倾角离子束填充孔穴的设备和方法
US9570317B2 (en) Microelectronic method for etching a layer
US10004133B2 (en) Apparatus and techniques to treat substrates using directional plasma and reactive gas
US10763083B2 (en) High energy atomic layer etching
CN107735851B (zh) 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
TWI702651B (zh) 處理基底的設備、系統及方法
JP2019501489A5 (zh)
US10886136B2 (en) Method for processing substrates
CN110783187A (zh) 等离子体处理方法和等离子体处理装置
US20070232070A1 (en) Method and device for depositing a protective layer during an etching procedure
US20140252589A1 (en) Charge Dissipation of Cavities
JP5174319B2 (ja) エッチング処理装置およびエッチング処理方法
US20200090909A1 (en) Filling a cavity in a substrate using sputtering and deposition
CN101989576A (zh) 半导体器件的制作方法
TWI747931B (zh) 成膜方法
Joubert et al. Towards new plasma technologies for 22nm gate etch processes and beyond
US11987879B2 (en) High aspect ratio taper improvement using directional deposition
CN108133888B (zh) 一种深硅刻蚀方法

Legal Events

Date Code Title Description
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant