CN104282521A - 离子束蚀刻系统 - Google Patents

离子束蚀刻系统 Download PDF

Info

Publication number
CN104282521A
CN104282521A CN201410323125.8A CN201410323125A CN104282521A CN 104282521 A CN104282521 A CN 104282521A CN 201410323125 A CN201410323125 A CN 201410323125A CN 104282521 A CN104282521 A CN 104282521A
Authority
CN
China
Prior art keywords
ion
extraction plate
substrate
chamber
ion extraction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410323125.8A
Other languages
English (en)
Other versions
CN104282521B (zh
Inventor
哈梅特·辛格
亚历克斯·帕特森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201710479405.1A priority Critical patent/CN107293468B/zh
Publication of CN104282521A publication Critical patent/CN104282521A/zh
Application granted granted Critical
Publication of CN104282521B publication Critical patent/CN104282521B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明涉及离子束蚀刻系统,具体而言,公开的实施例涉及用于从衬底去除材料的方法和装置。在各种实施方案中,从半导体衬底上的诸如沟槽、孔或柱等先前的蚀刻特征的侧壁上去除导电材料。在实施本文中的技术时,衬底设置在反应腔室中,反应腔室被成波纹状的离子提取板分隔成上方等离子体产生腔室和下方处理腔室,孔隙贯通所述离子提取板。提取板呈波纹状,使得等离子体鞘遵循提取板的形状,使得离子以相对于衬底成角度地进入下方处理腔室。如此,在处理期间,离子能够穿透进入先前蚀刻的特征并且在这些特征的侧壁上撞击衬底。通过该机制,可以去除特征的侧壁上的材料。

Description

离子束蚀刻系统
技术领域
本发明总体上涉及半导体制造领域,具体涉及离子束蚀刻系统以及用于从半导体器件结构中的特征的侧壁去除材料的方法
背景技术
半导体制造中经常采用的一种操作是蚀刻操作。在蚀刻操作中,从集成电路的半成品上部分地或全部地去除一种或多种材料。特别是在所涉及到的几何结构小、使用高深宽比或需要精确的图案转印的情况下,经常使用等离子体蚀刻。
随着从平面结构发展到3D晶体管结构(例如,用于逻辑器件的FinFET栅极结构)和诸如磁阻式随机存取存储器(MRAM)和电阻式随机存取存储器(ReRAM)之类的先进的存储器结构,为了生产出高品质产品,等离子体蚀刻工艺需要愈加精确和均匀。常规蚀刻技术的一个问题在于,蚀刻副产物没有被扫除,而是有时会再沉积到不期望有这种沉积的表面上。例如,副产物可能沉积到衬底上,特别是特征的侧壁上。副产物通常是金属或富金属膜。当蚀刻非易失性物质时,这在制作诸如MRAM、ReRAM、交叉点存储器器件等先进器件时是常见的情况,该再沉积现象以及不完全或非垂直侧壁蚀刻的相关问题尤其成问题。这些蚀刻工艺中占优势的可能是离子溅射,一种通常导致特征侧壁上有某些量的再沉积物的方法。
在衬底上的非期望的蚀刻副产物沉积会导致许多问题,包括差的蚀刻结果和不达标的器件。例如,沉积会导致非垂直蚀刻轮廓或其他蚀刻非均匀性。此外,沉积会导致电气问题,特别是在所蚀刻的结构具有交错层的介电膜和导电膜的情况下。在一些情况下,非期望的金属材料会沉积到蚀刻叠层的侧壁上,从而在应电绝缘的层之间形成电连接。该连接在叠层中形成了短路并且会导致器件故障。这种故障的一个示例是跨MRAM器件的磁隧道结(MTJ)势垒形成短路。
因此,对于改进的容许在衬底已蚀刻之后从半导体衬底去除不希望有的材料(特别是蚀刻特征的侧壁上的材料)的半导体制作方法和装置存在需求。
发明内容
本文的一些实施例涉及用于在蚀刻之后从衬底上去除不希望有的材料的方法和装置。在各个实施例中,衬底是半导体衬底半成品,并且所述不希望有的材料包括在蚀刻特征的侧壁上的金属沉积物。可以通过利用成角度的离子束从特征侧壁溅射去除非期望的金属沉积物的方式来去除材料。成角度的离子束可利用波纹状的离子提取板来得到,波纹状的离子提取板容许离子以相对于衬底表面的各种角度进入衬底处理腔室。
在本文的实施例的一个方案中,提供了用于从半导体器件结构中的特征侧壁去除材料的方法,该方法包括:(a)在反应腔室中接收衬底,其中所述反应腔室被离子提取板分隔成等离子体产生子腔室和处理子腔室,其中离子提取板至少部分成波纹状且具有孔隙,所述孔隙设计或构造为以相对于衬底的多种角度引导离子通过其中;(b)使等离子体产生气体流入等离子体产生子腔室并且在所述等离子体产生子腔室中产生等离子体;以及(c)使来自等离子体产生子腔室的离子加速、通过离子提取板、且以多种角度朝向衬底进入处理容积腔(volume),从而从朝向多个方向的特征侧壁去除材料。
在一些情况下,半导体器件结构的特征包括位于两个蚀刻导电层之间的蚀刻绝缘层。在各种实施方案中,特征可以是沟槽、孔或柱。至少一些孔隙通常将具有以相对于衬底非垂直的角度定向的中心轴线。在一些实施例中,在处理子腔室中基本上不存在等离子体。换言之,处理子腔室可基本上无等离子体。
在一些实施方案中,该方法还包括:在处理期间,使离子提取板旋转,但是在单个方向上小于或等于360°。离子提取板还可以旋转到使衬底的局部部分暴露于源自多个不同类型段(segments)中的每一个段的离子的程度。在一些实施例中,离子提取板沿着延伸贯通离子提取板的中心和衬底的轴线移动。在单次蚀刻操作期间,在多步骤蚀刻工艺中的不同步骤之间,或者在处理不同衬底其间,会发生旋转和/或平移。在这些或其他的实施例中,衬底保持器可以在处理期间为固定的。在各个实施方案中,所述方法还包括:在操作(a)之前,蚀刻衬底中的特征。在这些情况下,所述方法还可以包括:在(a)之前,将衬底从执行蚀刻的装置移动到反应腔室。此外,在一些实施例中,所述方法包括向离子提取板施加偏置。
在本文的实施例的另一方案中,提供了用于从半导体衬底表面上的特征的侧壁去除材料的装置,该装置包括:(a)反应腔室;(b)离子提取板,其位于反应腔室中,从而将反应腔室分隔成等离子体产生子腔室和处理子腔室,其中离子提取板的至少部分成波纹状,并且其中离子提取板具有孔隙,所述空隙设计或构造成容许离子通过其中;(c)所述等离子体产生子腔室的一个或多个气体入口;(d)所述反应腔室的一个或多个气体出口;(e)设计或构造为在等离子体产生子腔室中产生等离子体的等离子体产生源;以及(f)衬底支撑件。
所述装置还可以包括控制器。在一些情况下,控制器设计或构造为在等离子体产生子腔室中产生等离子体,向离子提取板施加偏置,以及使离子提取板旋转小于或等于沿单个方向测量的大约360°。控制器还可以设计或构造为在从衬底的特征的侧壁上去除材料的同时使离子提取板沿顺时针方向和逆时针方向旋转。
装置还可以包括将离子提取板与衬底支撑件连接的RF带,其中所述RF带设计或构造为在衬底支撑件上提供与施加到离子提取板上的偏置对应的偏置。在各个实施例中,延伸贯通孔隙中心的轴线可定向成与离子提取板的定位有孔隙的局部表面垂直,从而在与离子提取板的局部表面大致垂直的方向上引导离子的通过。在一些情况下,至少一些孔隙是锥形的,使得当考虑单个孔隙时,离子提取板的朝向处理子腔室的一侧上的孔隙开口面积比离子提取板的朝向等离子体产生子腔室的一侧上的孔隙开口面积大。
在这些或其他情况下,离子提取板的波纹部分可以包括多个锥形特征,其中孔隙定位成使得它们延伸贯通锥形特征的相对于衬底支撑件倾斜的表面。离子提取板还可以包括多个波纹段,其中波纹的定向在相邻段之间不同。在一些实施方案中,使用至少两种不同类型的波纹段。第一类型段可设计或构造为在径向上偏离于与衬底的加工面垂直的方向的方向上引导离子。第二类型段可设计或构造为在方位上偏离于与衬底的加工面垂直的方向的方向上引导离子。在一些情况下,波纹角可以在大约1-75°之间。此外,在一些情况下,使用至少两个波纹角。
穿过孔隙的离子的方向可以绕着延伸贯通孔隙中心的轴线成锥形分布。在其他情况下,离子的方向更不成锥形且更加准直(collimated)。在离子提取板的平均位置(或其波纹部分)和当衬底存在于衬底支撑件上时衬底的电镀面之间有距离。在一些实施例中,该距离小于大约10cm。在各种情况下,离子提取板能够绕着延伸贯通离子提取板的中心的轴线旋转。装置还可以包括平移致动器,在衬底处理期间,所述平移致动器用于使离子提取板朝向衬底支撑件以及远离衬底支撑件移动。平移致动器还可以设计或构造为使离子提取板在平行于衬底的方向上移动。在这些或其他情况下,相邻波纹峰之间的距离至少为大约2mm。在一些情况下,该距离在大约5-20mm之间。在一些情况下,孔隙位置的图案在相邻波纹特征之间变化。反应腔室还可构造为当波纹状的离子提取板不存在时在垂直方向上蚀刻衬底,并且构造为当波纹状离子提取板存在时在多个成角度方向上蚀刻衬底。
下面将参考相关的附图来描述这些和其他特征。
附图说明
图1示出了根据公开的实施例的成角度的等离子体蚀刻反应器的剖视图。
图2A-D示出了在处理的各阶段中集成电路半成品的的叠层结构。
图3A是示出了实施本文的实施例的方法的流程图。
图3B是示出了可以使用所公开技术的更宽泛的半导体制作方法的流程图。
图3C是示出了可以使用所公开技术的备选的宽泛的半导体制作方法的流程图。
图3D示出了在图3C中公开的各制造阶段中的半导体器件半成品。
图4A-D示出了根据本文中的一些实施例的离子提取栅格的各个实施例。
图5A-D示出了离子提取栅格的波纹特征,具体强调了孔隙的尺寸/形状对离子轨迹的影响。
图6A-B示出了离子提取栅格的波纹特征,具体强调了波纹尺度(scale)对离子轨迹的影响。
图7示出了根据公开的一些实施例的成角度的等离子体蚀刻反应器。
具体实施方式
在该申请中,可互换地使用术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“集成电路半成品”。本领域普通技术人员应理解的是术语“集成电路半成品”可以指在集成电路制作的许多阶段中任一阶段中的硅晶片。在半导体器件行业中使用的晶片或衬底通常具有200mm或300mm或450mm的直径。下面的详细说明假设在晶片上实现本发明。然而,本发明不限于此。工件可具有各种形状、尺寸和材料。除了半导体晶片之外,可利用本发明的其他工件包括诸如印刷电路板等各种物品。
在下面的说明中,阐述了多方面的具体细节,从而提供对提出的实施例的充分理解。所公开的实施例可在不具有这些具体细节中的一些或全部的情况下实施。在其他情形下,没有详细描述公知的工艺操作,以免不必要地使所公开的实施例不清晰。虽然结合具体实施例描述了公开的实施例,但是应当理解不意在限制所公开的实施例。
此外,虽然说明中经常提到“上方”和“下方”元件(或类似地提到“顶部”和“底部”、“左侧”和“右侧”,等等),但这些描述是以非限制方式使用,仅为了清晰的目的。本领域普通技术人员应理解的是同样可以使用其他构造。在一些实施例中,本文中描述为“上方”和“下方”的元件可以变成例如“下方”和“上方”或“左侧”和“右侧”元件。
本文的实施例一般涉及半导体处理方法和装置。更具体地,实施例涉及用于从蚀刻特征的侧壁去除诸如金属沉积物之类的不希望有的材料的方法和装置。所公开的方法通常是在已经对衬底进行等离子体蚀刻之后来实施的。在一些实施例中,蚀刻特征是沟槽或其他凹陷部。所述方法可以在单独的模块中实施,或者在自先前蚀刻工艺发生之时已经进行适当修改(例如,通过添加离子提取板)的蚀刻模块中实施。
在实施所公开的技术时,在处理腔室中提供衬底。图1示出了恰当的处理装置100的简化剖视图。衬底101位于反应腔室103中的衬底支撑件105上。在许多实施方案中,衬底支撑件是固定的(即,不旋转也不以其他方式移动)。在一些情况下,衬底支撑件105是静电卡盘。离子提取板107位于衬底101上方,且由与旋转致动器115连接的支撑件111支撑。在一些实施例中,离子提取板107包括附加罩部。罩可以包括从离子提取板垂直向下延伸的柱形侧壁132以及从侧壁132向内延伸的平坦环状表面133。离子提取板本身107可以充当罩的顶板。侧壁132和平坦表面133可以是导电的,并且支撑件111可以是非导电的。在其他实施例中,诸如图7所示的一个实施例,不存在该罩结构。离子提取板107将处理腔室103分隔成上方等离子体产生腔室123和下方处理腔室125。腔室123和125有时分别称为上方子腔室和下方子腔室。
离子提取板107具有非平面型的截面(如图1中从侧面看到的)。在图1的实施例中,离子提取板107的截面具有一系列的波峰127和波谷129,波峰和波谷形成了波纹状表面。
现在为清晰起见会定义几个基本的波纹单元。表面被视为基础波纹单元。表面是波纹特征的由两个顶点/边缘界定的连续部分(例如,在波峰和波谷之间的表面)。实施例包括但不限于,三角形/角锥状结构一个支腿的和圆锥的倾斜部分(即,非基底部分)的平坦表面。表面可以包括多个孔隙。
波纹特征通常是由多个不同角度/定向的表面构成的。波纹特征提供了统一地构成波纹段(或可能为板的整个波纹,其中不使用不同的段)的可能重复的特征组中的单个单元。特征典型地包括分离两个组成表面的顶点(例如,最高点、最低点,波峰或波谷),当在截面图中观察时,两个组成表面通常彼此定向不同。波纹特征的示例包括在手风琴状波纹图案中从波峰到波峰或波谷到波谷的单个跨距、或单个锥形、单个角锥状、单个半球等。在为例如锥形或半球形的波纹特征的情况下,应理解的是波纹特征的表面实际上可以是单个连续表面。尽管如此,当在截面图中观察时,这些特征能够视为具有分离两个不同定向的表面的顶点。锥形、角锥状、半球等都视为不同类型的波纹特征。另一种不同类型的波纹特征是手风琴形特征,其具有交替地向上和向下倾斜的平坦表面。
波纹段是离子提取板的具有单一类型的波纹特征的区域。举例而言,段可以:(a)仅具有锥形特征;或(b)仅具有半球形特征;或(c)仅具有手风琴形特征,其中顶点(即,波峰和波谷)类似且在第一方向上对齐;或(d)仅具有手风琴形特征,其中顶点类似且在第二方向上对齐。参考图4A,仅存在单个波纹段。该段包括多个波纹特征(锥形)。参考图4B,存在8个单独的波纹段,虽然仅存在两种不同类型的、交替的段404和406。段404是单个大致三角形的角锥形波纹特征,而段406包括多个手风琴形特征。这些图将在下文中进一步论述。
如本文所使用的,术语波纹用来描述当从侧面观察时具有交替地向上和向下倾斜的部分的特征/段/板。不视为波纹状的板的示例是这样一种板:即,在内平坦区域周围存在外倾斜区域(一个或多个),其中倾斜区域均朝向衬底中心大致面向内。在该情况下,板将具有梯形的截面部分(当从侧面观察时),并且不视为波纹状的,因为其不具有交替地向上和向下倾斜的表面。
离子提取板107具有延伸贯通板107的厚度的孔隙109。孔隙109定向成使得穿过它们的轴线与离子提取板107的局部表面垂直。因为表面是非平面型的,所以孔隙109中的许多或全部可以以与衬底的电镀面不垂直的角度定向。
在处理期间,等离子体产生气体通过气体入口117进入。用于产生或维持等离子体的任何气体或气体混合物可称为等离子体产生气体。通过等离子体产生装置121,主要地或者唯一地在等离子体产生腔室123中产生等离子体。在图1的实施例中,通过使用线圈121来产生电感耦合的等离子体,通过介电窗131将线圈121与等离子体产生腔室123分隔开。在各个实施例中,离子提取板107将等离子体约束在等离子体产生腔室123中,以使处理腔室125基本上无等离子体。在各个实施例中,提取板107的外边缘和腔室壁103分隔开一距离。该分隔距离有助于确保提取板107恰当地偏置,如下文将说明的。
偏置可施加到离子提取板107上。RF带113将离子提取板107与衬底支撑件105连接,以使得在一些实施例中,施加到离子提取板107的偏置与施加到衬底支撑件105的偏置相等。存在于等离子体产生腔室123中的离子穿过离子提取板107中的孔隙109。由于孔隙109的成角度的定向,以及施加到板107上的偏置,离子以相对于衬底101成角度地被引入处理腔室125中。离子接触衬底101的表面以溅射,并由此去除非期望的材料。因为离子正以相对于衬底表面成角度行进,所以离子的一部分会行进到衬底上的蚀刻特征中,以使它们溅射而从特征的侧壁中去除材料。在各个实施例中,旋转致动器115使离子提取板107在处理期间旋转。该旋转将蚀刻特征暴露于沿由离子提取板107上的多个不同定向的孔隙形成的角度范围内的角度导入的离子。在一些情况下,离子溅射/激活和活性化学物质的结合可用于去除不希望有的材料。活性化学蚀刻剂可提供于被引入反应腔室103中的处理气体中,在一些情况下,直接引入下方的处理腔室125。
图2A-D示出了根据本文中的实施例的在制造过程中的不同阶段的集成电路半成品。图2A示出了在被蚀刻之前的衬底。此处,衬底具有第一层202、第二层204、第三层206和图案化的掩模层208。可以根据常规技术来蚀刻衬底以产生图2B所示的蚀刻衬底。在蚀刻工艺中,不希望有的材料210会堆积在蚀刻特征的侧壁上。应当去除该不希望有的材料以改善蚀刻特征并且降低器件发生故障的可能性。在例如第一层202和第三层206导电且第二层204绝缘的情况下,尤其易于发生器件故障。在这种情况下,绝缘层204的一种用途可为将第一层202和第三层206电隔离。然而,可能导电的不希望有的材料210会在第一层202与第三层206之间形成短路,导致器件故障。因此,在进行进一步处理之前,应当去除该不希望有的材料210。
图2C示出了根据本文中公开的各个实施例的用离子轰击经蚀刻的衬底。离子的轨迹通过箭头示出。如图1所示,由于孔隙109在离子提取板107上的成角度的定向,因而离子成角度地行进。离子与衬底相互作用以溅射不希望有的材料210,使其离开特征的侧壁。图2D示出了根据所公开的方法处理之后的衬底。不希望有的材料210被去除,并且蚀刻轮廓被改善。
下文参考图7来描述可用于实现所公开技术的等离子体反应器的更具体的示例。下文参考图4A-C和图5-6来描述适合用作离子提取板的板式结构的示例。
方法
图3A示出了描绘依照各个公开的实施例从特征侧壁去除材料的工艺300A的流程图。在一些情况下,特征是宽为介于大约10-60nm之间或者宽为介于大约5-100nm之间的沟槽。在其它情况下,特征可以是具有大约5-100nm之间或大约10-60nm之间的直径的柱或孔。工艺300A开始于框301,其中在反应腔室中接收蚀刻的衬底。如上所述,反应腔室由离子提取板分隔成上方等离子体产生腔室和下方处理腔室。将衬底提供到下方处理腔室,并且通常由诸如静电卡盘之类的衬底支撑件来支撑。
在框303处,等离子体产生气体流入等离子体产生腔室。由等离子体产生气体来产生等离子体。在框305处,向离子提取板施加偏置。在各个实施例中,离子提取板与支撑衬底的静电卡盘电耦合。该连接可通过由导电材料构造而成的RF带来实现。通过这种方式,衬底可被偏置到与离子提取板相同的RF电位。
在框307处,上方等离子体产生腔室中的离子穿过离子提取板中的孔隙并且行进到下方处理腔室中,以使得在框309处它们可以与衬底的表面相互作用。在多种情况下,等离子体被约束到等离子体产生腔室且不会泄漏到下方处理腔室。
可通过施加的偏置使离子加速。离子中的许多或全部可以相对于衬底面成角度行进。如本文其它地方说明的,由于离子提取板中的孔隙的成角度的定向和尺寸,离子具有成角度的速率。由于离子的成角度的速率,许多离子能够穿透到蚀刻特征中并且撞击特征的侧壁。撞击蚀刻特征的侧壁的离子能够帮助去除沉积到蚀刻特征侧壁上的不希望有的材料。如果离子的轨迹是垂直的而不是成角度的,则穿透到蚀刻特征中的离子将很可能撞击特征的底部,而不是侧壁。此外,虽然具有一些垂直轨迹的离子将撞击侧壁,但是高的入射角导致了相当慢的去除过程。通过使用成角度的离子轨迹,穿透到特征中的离子将以小的入射角撞击侧壁,使得更快地去除其上面的材料。在一些情况下,离子会溅射而去除不希望有的材料。在其它情况下,离子可以激活表面(例如,蚀刻特征的侧壁),然后,活性化学物质可用于从激活的表面去除不希望有的材料。可通过与真空源连接的出口将不希望有的材料从反应腔室清扫出去。
图3B示出了可受益于所公开技术的示例性的半导体制作工艺。特别地,图3B涉及到更宽泛的制作背景,其中可以发生在图3A中所描述的成角度蚀刻工艺。在名为DRY ETCHING METHOD,MICROFABRICATIONPROCESS AND DRY ETCHING MASK的美国专利No.6,689,283中进一步论述和描述了该更宽泛的半导体制作方法的示例,该美国专利的全文通过引用合并于此。
工艺300B开始于框302,其中具有交替的导电材料层和绝缘材料层的叠层沉积在衬底上。在一个实施例中,叠层由交替的导电材料层和绝缘材料层制成。在各种情况下,沉积了叠层的衬底是半导体晶片。接着,在框304处,抗蚀剂层沉积到交替层的叠层上。可以利用光刻技术将抗蚀剂层微图案化。在特定情况下,图案化的抗蚀剂层为例如使用旋涂法沉积且利用UV或电子束曝光设备制出图案的正型抗蚀剂。在框306处,掩模层沉积到图案化的抗蚀剂层上。在一些情况下,掩模层由氮化钛(TiN)制成,可以通过反应性溅射法来沉积掩模层。
接着,在框308处,去除图案化的抗蚀剂层以形成图案化的掩模层。在一些实施例中,该去除可通过将衬底浸入容积池中以及施加超声波能量以去除图案化的抗蚀剂的剥离法来实现。接着,可以在框310处对衬底上的叠层进行蚀刻以形成蚀刻叠层。蚀刻可通过等离子体蚀刻技术来进行,例如,这通常会将一定量的不希望有的材料留在蚀刻特征的侧壁上。然后,在框312处,可以使用本文中公开的成角度离子束蚀刻技术来从蚀刻特征的侧壁上去除不希望有的材料。例如,图3A中所示的工艺300A可实现于操作312中。图3C-D示出了可使用所公开技术的附加的示例性的半导体制作工艺。图3C是说明主要处理操作的流程图,而图3D示出了在图3C的每个阶段之后的半导体器件半成品。在名为“DRY ETCHING METHOD FORMAGNETIC MATERIAL”的美国专利No.RE40,951中描述了该半导体制作方法的示例,该美国专利的全文通过引用合并于此。
工艺300C开始于框351,其中沉积了具有交替的导电材料层和绝缘材料层的叠层。在一个示例中,这些层包括Ta370、Al371、Ta372、PtMn373、CoFe374、Al2O3375、CoFe376、NiFe377和Ta378(从距晶片最近到距晶片最远)。层374-376(CoFe/Al2O3/CoFe组)构成了交替的导电材料层和绝缘材料层。上方的CoFe层376可称为自由层,下方的CoFe层374可称为钉扎层(pinned layer)。PtMn层373是反铁磁层。接着,在框353处,根据已知技术来沉积和图案化抗蚀剂层379。然后,在框355处,对最上层的Ta378进行蚀刻以形成图案化的掩模层378。以此方式,抗蚀剂层上的图案可以转印到掩模层上。当蚀刻磁性层(包括CoFe层374和376、Al2O3层375和PtMn层373)时,Ta适合于用作掩模层。在第一蚀刻工序中将掩模层蚀刻/图案化之后,层370-377未被蚀刻/连续,而层378-379被蚀刻/图案化。在一个实施例中,该第一蚀刻工序是通过CF4气体来进行的。在框355处的第一蚀刻工序之后,可以去除光致抗蚀剂层379。
接着,在框357处,执行第二蚀刻工序,其中蚀刻层373-377。在一些情况下,第二蚀刻工序使用甲醇作为蚀刻剂。在该第二蚀刻工序之后,层372和更低的层未被蚀刻/连续,而层373和更高的层被蚀刻/图案化。Ta层372充当掩模以保护下面的层,与图案化的Ta层378在存在层378的区域中充当下面的层的掩模的情形非常类似。通过使用该工艺,能够形成隧道磁阻结构(TMR)。在该第二蚀刻工序中,沉积材料层380可以形成在蚀刻叠层的侧壁上。此外,虽然在框357处显示图3D中的叠层具有极垂直的蚀刻轮廓,但是实际不一定是这样的,侧壁可以更倾斜,如图2C-D中所示。沉积材料层380会在CoFe层374与376之间形成短路。为解决该问题,在框359中可以使用本文中所描述的技术来执行成角度离子蚀刻,从而去除沉积在侧壁上的材料并且改进器件。
蚀刻机制
在一些情况下,从蚀刻特征的侧壁上去除不希望有的材料可通过仅利用离子溅射来实现。在其它实施例中,使用活性化学物质以及离子曝露来便于材料去除。在使用活性化学物质的情况下,离子的一个用途是激活表面以进行反应。
本文中的实施例可用于蚀刻各种材料。例如,在一些实施方案中,待蚀刻材料可以包括膜或包括含多层膜的叠层,膜或叠层包含具有以下元素或其化合物和合金:Ta,Ni,Fe,Co,Ru,Pt,Mn,Ir,Si,Cu,Mg,Zr,Pb,O,N等。该列表不是穷尽的,且不意在限制。在一些实施例中,待蚀刻衬底是MRAM或ReRAM器件半成品。此外,待蚀刻材料可以是沉积在衬底上的材料叠层。叠层可以具有交替的/交错的介电材料层和导电材料层。在特定的实施例中,叠层具有至少一个导电-介电-导电夹层(即,位于两个导电材料层之间的介电材料层)。
等离子体产生气体是输送到上方等离子体产生腔室的气体。该气体用于生成和/或维持该空间中的等离子体。典型地,等离子体的作用是作为注入下方处理腔室的离子的源。这些离子可以是惰性的或活性的。
可以使用的惰性气体的示例包括氦气、氖气、氩气、氪气和氙气。可使用的活性气体的示例包括但不限于氢气(H2)、氧气(O2)、氮气(N2)、甲烷(CH4)、四氟化碳(CF4)、氯气(Cl2)、溴化氢(HBr)、氨(NH3)、三氟化磷(PF3)、碳酰氟(COF2)、一氧化碳(CO)、一氧化氮(NO)、甲醇(CH3OH)、乙醇(C2H5OH)、乙酰丙酮(C5H8O2)、六氟乙酰丙酮(C5H2F6O2)、亚硫酰氯(SOCl2)、亚硫酰氟(SOF2)、醋酸(CH3COOH)、吡啶(C5H5N)和/或蚁酸(HCOOH)。在各个实施例中,使用这些蚀刻反应剂的组合。例如,在一些情况下,使用CO+NO的组合。在另一情况下,使用CO2+NO2的组合。在又一情况下,吡啶与亚硫酰氯和/或亚硫酰氟组合。其它组合同样是可能的。可以部分地基于所使用的蚀刻化学物质和待蚀刻材料来选择用于产生等离子体的气体以减少或消除反应腔室中的不希望有的反应。这些材料和反应仅仅是示例性的,不意在以任何方式限制实施例。本领域普通技术人员应理解的是,本文中的技术可与各种材料和反应一起使用。
在使用活性气体的情况下,其可以作为等离子体产生气体的部分输送,或者其可以单独地输送。例如,在一个实施例中,活性气体输送到等离子体产生腔室(其中有或没有惰性的等离子体产生气体)。在另一实施例中,活性气体直接输送到下方处理腔室,而另一气流将等离子体产生气体输送到上方的等离子体产生腔室。
用于从特征的侧壁去除不希望有的材料的机制将取决于输送到等离子体产生腔室和处理腔室的气体的选择。在惰性气体输送到等离子体产生腔室且不使用活性气体的情况下,去除是以离子蚀刻为主的。相反,在等离子体产生气体包括活性类物质的情况下,去除会受活性离子蚀刻影响或以活性离子蚀刻为主。此外,在等离子体产生气体包括惰性离子并且单独的蚀刻剂源直接输送到下方处理腔室的情况下,不同的去除机制可占优。
在各种实现方案中,可以使用离子蚀刻。离子蚀刻一般是指通过用惰性气体进行物理溅射来去除原子。物理溅射是通过离子和与这些离子碰撞的材料之间的动量交换来驱动的。在撞击时,入射离子引起目标中的碰撞级联。当这种级联反冲且以比表面结合能大的能量到达目标表面时,可以逐出原子,称为溅射。
在其它实施例中,活性离子蚀刻用于去除不希望有的材料。在该情况下,化学活性原子和/或基团可以与不希望有的材料反应以辅助其去除。在一些情况下,利用离子溅射与基团诱发的反应的结合。
在蚀刻剂气体直接输送到下方处理腔室且等离子体产生气体输送到等离子体产生腔室的情况下,去除机制会略微不同。不希望受任何理论或反应机制的制约,相信离子轰击可以通过在待蚀刻的金属或其它材料上产生悬浮键合和/或其它物理化学感受特征而在衬底上产生活跃部位。通过离子轰击进行的激活通常是通过暴露于等离子体来实现的。在这些常规的应用中,通常是在紧邻衬底上方的区域中产生等离子体,并且用于支撑衬底的静电卡盘可被施以偏置以将离子吸引到衬底表面上。
在本实施例中,离子轰击以不同方式发生。例如,可以主要地或者唯一地在通过离子提取板与处理腔室(衬底所在处)分隔的上方等离子体产生腔室中产生等离子体。因此,在各种实施方案中,衬底可以不直接暴露于等离子体环境。离子提取板和静电卡盘可被施以偏置,从而使来自上方等离子体产生腔室的离子加速、通过离子提取栅格、且进入下方处理腔室,在下方处理腔室中,离子会撞击衬底以激活和/或溅射表面,如上所述。
在一些情况下,在蚀刻工艺期间供给到下方子腔室的气体不仅包括蚀刻剂气体,而且包括一种或多种其它气体。附加气体可以是例如氧气、氢气或氮气。该气体可以与衬底表面反应以形成例如金属氧化物、金属氢化物或金属氮化物。在一些情况下,该氧化物/氢化物/氮化物材料会比裸金属更易于蚀刻。附加气体可连续地或者脉冲地供给。当脉冲供给时,可以脉冲的蚀刻气体和脉冲的第二处理气体的交替重复循环的方式供给第二处理气体。
在另一实施例中,驱动氧化物/氢化物/氮化物的形成的材料以电离形式从上方子腔室输送到下方子腔室。在一些实施方案中,衬底的表面暴露于交替的化学惰性离子流和化学活性离子流。
气体输送到上方等离子体产生腔室和下方处理腔室可通过各种机制中的任一种来实现。在一个实施例中,入口喷嘴位于上方腔室和下方腔室中。可存在用于每个腔室的多个入口。在一种实施方案中,许多入口置于子腔室的周边周围以提供均匀的处理气体流。在另一实施例中,离子提取板充当淋浴头以将气体提供给上方子腔室和下方子腔室中的任一者或两者。在另一情况下,气体可以通过延伸到各子腔室中的中央喷嘴供给。等离子体产生气体输送到等离子体产生腔室,而附加气体(例如,蚀刻剂气体)可以输送到等离子体产生腔室或处理腔室。本领域普通技术人员将理解,可以在所公开的实施例的范围内使用不同形式的气体输送。
等离子体产生
在上方等离子体产生腔室中产生等离子体。在上述“蚀刻机制”部分中描述了适合于形成等离子体的气体。各种类型的等离子体产生技术可用于在等离子体产生腔室中产生等离子体。在一个实施例中,等离子体可以是例如通过使用位于等离子体产生腔室上方的线圈来产生的电感耦合等离子体。在另一实施例中,等离子体是电容耦合等离子体、微波等离子体或电子回旋谐振(ECR)等离子体。在为电感耦合等离子体的情况下,可以使用宽范围的激励频率。在一些情况下,激励频率是射频或微波频率。可根据本文中的教导来变型的等离子体产生反应器的一个示例是可从Lam ResearchCorporation of Fremont,CA获得的Kiyo反应器。
在一些实施方案中,等离子体产生腔室中的压强非常低(例如,大约20毫托或更低,或大约5毫托或更低,或在大约1-2毫托之间)。使用低压等离子体的一个原因在于,减小离子与等离子体中的气体碰撞的可能性。当离子与气体原子/分子碰撞时,它们失去方向性和动量,因此在从衬底去除不希望有的沉积物方面变得不太有利。使用低压等离子体的另一原因在于,减小在下方处理腔室中形成等离子体的可能性。虽然在一些情况下优选的是使用高密度等离子体(这种等离子体具有可用于处理的更高数量的离子),但是等离子体密度不应如此大以至于等离子体通过栅格泄漏而进入下方处理腔室中。在一些实施例中,等离子体产生腔室中的等离子体的密度在大约5e9cm-3-1e12cm-3之间,或者在大约1e10cm-3-5e11cm-3之间。
在一些实施例中,离子提取板在等离子体产生中不起重要的作用。然而,离子提取板可以在将等离子体约束到上方等离子体产生腔室以及滤出用于输送到下方处理腔室的物质方面起作用。
离子提取板在反应器中的位置。
离子提取板位于反应腔室中,从而将反应腔室分隔成上方等离子体产生腔室和下方处理腔室。这些腔室有时还称为上方腔室和下方腔室或上方子腔室和下方子腔室。如本文所描述的,适合于变型以包括离子提取板的反应腔室的示例是来自Lam Research Corporation of Fremont,CA的Kiyo反应器。为了上下文,参考在上文进一步描述的图1来考虑下面的描述。
在一些实施例中,提取板的外周与反应腔室的外周共延伸(或近似共延伸),反应腔室的外周与所处理的衬底的外周大致共延伸(例如,在大约5%内或大约10%内)。然而,在一些情况下,提取板和反应腔室的外周超过衬底的外周延伸。虽然期望的是将反应腔室/提取板设计成比衬底大(以使蚀刻特征的径向向内侧壁可被离子更充分地轰击),这种大型装置的益处必须与装置所占据的大幅增加的空间以及在等离子体产生腔室的增加的体积中维持更大量的等离子体所需要的显著增加的电量进行权衡。因此,在一些实施例中,所处理的衬底的直径在离子提取板的直径的大约50-90%之间,或大约60-90%之间。在一些实施方案中,提取板的外边缘与反应腔室壁的内表面分隔开,从而使得当腔室壁保持接地且提取板被施以偏置时会发生的电弧放电的风险最小化。在一个示例中,提取器的外边缘与腔室壁分隔开至少大约3cm的间隙。
衬底的镀覆面与离子提取板的波纹部分的最低表面(或者在一些情况下为提取板的波纹部分的平均位置)之间的距离应当相对较小(例如,小于大约10cm,或者小于大约8cm,或者小于大约5cm)。该相对较小的分隔距离有助于确保板和卡盘之间的电场弱,以使等离子体不保持在下方处理腔室中。在这些以及其它实施方案中,离子提取格栅可位于距上方等离子体产生腔室的顶板的距离介于约10-25cm之间。
然而,提取板不应定位得太靠近衬底,因为这会导致在晶片面上发生板的表面图案的印刷。换言之,提取板中的孔隙的图案会不期望地出现在处理后的衬底的面上,导致显著的蚀刻非均匀。对于多种应用,从衬底顶部到提取板底部的至少大约1英寸的分隔距离足以避免这种印刷。
离子提取板设计
各种设计可用来实施离子提取板。示例性的离子提取板显示在图4A-4D中,且在下面进一步描述。一般地,离子提取板将包括波纹表面,波纹表面具有延伸贯通板厚度的孔隙。在各个实施例中,使用单个提取板。许多或全部的孔隙可定向成使得它们既与提取板的局部表面垂直,又相对于衬底面成角度。
孔隙的直径或其它主要尺寸应当足够大以容许足量的离子从上方腔室转移到下方腔室。孔隙的主要尺寸在与提取板的局部表面平行的方向上且跨过孔隙中沿该方向的最长线性路径。在提取板的面向上方等离子体产生腔室的一侧测量主要尺寸。在孔隙的主要尺寸过小和/或在板上无充足量的孔隙的情况下,相对少的离子将进入下方处理腔室而与衬底相互作用。在等离子体产生子腔室中产生的相对大量的离子将与孔隙侧壁或板顶部碰撞,而在孔隙侧壁或板顶部离子无用处。在一些情况下,孔隙的直径或其它主要尺寸至少为大约0.5mm,或者至少为大约1mm。在提取板是由细网(而不是具有孔的板)制成的情况下,孔隙可较小。如下文要说明的,可接受孔隙尺寸存在上限。适当的孔隙主要尺寸的范围可为大约0.5mm至5mm。
孔隙的直径或其它主要尺寸应当足够小以将连续等离子体鞘保持在孔隙/板之上。在孔隙过大的情况下,等离子体鞘会围绕孔隙缠绕,靠近孔隙明显凹陷,或者以其它方式在孔隙近处变得过于不均匀。如果允许等离子体鞘变得不连续(例如,在孔隙过大的情况下,使得鞘缠绕到孔隙的边缘上),则存在等离子体产生腔室中的等离子体将通过等离子体鞘中的开口、通过孔隙、泄漏到下方处理腔室中的可能性。这会造成问题,因为在许多实施例中,下方处理腔室中应当基本上无等离子体。
接近孔隙的等离子体鞘的形状是重要的,因为鞘的形状/定向有助于确定引导离子通过离子提取板且进入下方处理腔室的轨迹。特别地,趋向于以与等离子体鞘的局部边缘/边界的方向垂直的角度来引导离子。虽然等离子体鞘在孔隙周围一定程度的凹陷在一些实施例中是可接受的,但是凹陷/微坑不应如此大以至于导致不可接受量的离子在行进通过孔隙时撞击板/侧壁。在孔隙尺寸设计成允许在等离子体鞘中有相对小程度的凹陷的实施方案中,离子的轨迹会略微不太准直且更呈溅开状。因此,等离子体鞘中小程度的凹陷可有益于在衬底上方实现较宽范围的入射离子角。在一些实施例中,孔隙的直径或其它主要尺寸为大约5mm或更小,或者大约3mm或更小。在一些情况下,等离子体鞘的厚度在大约200μm-1mm之间。
另一种表征孔隙的方式是孔隙的主要尺寸与等离子体鞘的厚度之间的比率(例如Dap/Ts,其中Dap是孔隙的直径或其它主要尺寸,Ts是鞘的厚度)。该比率称为孔隙-鞘比率,在一些实施例中,该比率在大约0.5-15之间,或者在大约0.5-4之间。
图5A-C示出了如上所述的孔隙尺寸构思。这些图不必是按尺度绘制的,仅是为了清晰的目的而提供。在这些图中的每个图中,箭头表示离子轨迹。仅示出了离子提取板501的单个波峰。图5A示出了离子提取板501中的成角度的孔隙502A。在图5A中,孔隙502A的直径是适当的,以使可接受数量的离子可从上方处理腔室505穿过以接触到下方处理腔室506中的衬底(未显示)。此外,等离子体鞘510A是连续的且在孔隙上方非常均匀。图5B显示了具有过小的直径的孔隙502B。虽然等离子体鞘510B的形状是可接受的,但是过多的离子将与孔隙502B的侧壁碰撞,并且不足量的离子将能够穿过而进入下方处理腔室506。诸如图5B所示的过小的孔隙导致不能从衬底充分去除不希望有的材料。接着,图5C示出了过大的孔隙502C。此处,等离子体鞘510C的形状在接近孔隙处是非均匀的,因此,大量的离子将被不恰当地导向。特别地,将在与等离子体鞘510C的局部表面/边界垂直的方向上引导离子。因为该局部表面/边界不垂直于贯通提取板的孔隙的方向,所以离子可能与孔隙的侧壁碰撞。在一些实施例中,期望在孔隙上方具有有限的略微呈微坑的鞘边界。这产生了通过孔隙的锥形或溅开的离子方向的分布,这样增大了撞击衬底局部区域中的沟槽侧壁的角度范围。
图5D示出了孔隙502D定形为类似于截头锥的实施例。换言之,与提取板501(面向处理腔室506)的下表面上的孔隙的面积相比,孔隙的面积在提取板502(面向等离子体产生腔室505)的上方表面上较小。通过这种构造,离子更不易于与孔隙502D的侧壁碰撞,因为随着离子行进通过板502,它们具有供行进的增加量的面积/体积。因此,否则将与侧壁碰撞的一些离子能够穿过而进入下方处理腔室506。此外,因为在提取板的上表面上的孔隙的面积相对较小,所以等离子体鞘的形状将非常均匀。这种类型的锥形孔隙设计会导致离子不太准直、更显“溅开状”地输送到下方处理腔室506中。如上所述,这可有益于获得在衬底上方的一系列的入射角。
可修整孔隙的尺寸和形状以提供成组的期望的离子轨迹。在一些情况下,孔隙设计成提供来自各孔隙中的一些或全部的严格准直的离子供给。在这些或其他情况下,孔隙中的一些或全部设计成提供在一系列方向上的离子溅射。在一些实施例中,板设计成具有呈锥形截面且具有足够大的主要尺寸的孔隙以在局部鞘边界上产生微小凹窝。
在各个实施例中,孔隙中的一些或全部是圆形形状。然而,在一些实施例中,孔隙中的一些或全部具有非圆形形状。示例的孔隙形状(如从平行于孔隙所在的局部表面的平面看到的)可以包括椭圆、狭槽、多角形、C形、T形等。
会影响离子准直度的相关因素是提取板的厚度。一般而言,较厚的板将得到更准直的离子轨迹,而较薄的板将得到较宽范围的轨迹。提取板应当足够厚以使其具有结构完整性且基本上在中部附近不会向下屈曲。在一些实施例中,提取板至少为大约1mm厚,或者至少大约3mm厚。此外,提取板应当足够薄以使得实现可接受的离子通量。在一些实施例中,提取板小于大约25mm厚,或者小于大约10mm厚。
离子提取板将具有一定量的开口面积。开口面积定义为从上方等离子体产生腔室到下方处理腔室有无阻视线的面积总量。由于提取板的波纹和孔隙的对应的成角度本质,不是所有的无阻视线都将是从单个有利点同时可见。开口面积是基于在离子提取板的上侧(即,面向等离子体产生腔室的一侧)的表面积和孔隙面积来计算的。在一些实施例中,离子提取板的总开口面积在大约1%-5%之间,或者大约10%-40%之间。在一个实施例中,开口面积为大约30%。
返回图4A-D,可以多种方式来设计离子提取板。一般而言,优选的是沿一系列角度引导离子以使离子最佳能够穿透到蚀刻特征中并且去除沉积在这些特征的侧壁上的材料。因为既定衬底上的侧壁可在多种不同方式上定向在衬底上的任意特定位置,因此有益的是使用大量的离子轨迹来实现宽范围的入射离子角。通过这种方式,蚀刻特征的每一个侧壁更易于暴露于能够清除沉积到其上面的不希望有的材料的离子,从而达到优良的去除结果。
在一些实施例中,板包括多个锥形部。每一个锥形部包含一个或多个与提取板上的锥形部的局部表面垂直定向的孔隙(例如,至少大约2个孔隙,至少大约3个孔隙,或者至少大约4个孔隙)。在一些实施例中,锥形部其高度和/或直径是变化的。这些几何结构的变化允许以不同的角度朝向衬底引导离子。在锥形部之间可能变化的其他因素是孔隙的布置/定向,以及每个锥形部的孔隙的数量。
图4A示出了从上方看到的具有这种类型的带孔隙锥形结构的离子提取板400A。每个小圆圈403代表一个锥形部。在每个锥形部的中心的黑点405代表锥形的尖峰。椭圆(此处显示有成对的4个)代表孔隙407。由于锥形部的成角度的表面,所以从该视角看孔隙407具有卵形截面。虽然锥形部403仅显示位于提取板的一部分上,但是应当理解的是,这些锥形部可以延伸遍及板的整个表面。在另一实施例中,锥形部是截头的,使得锥形的顶端是小的、平坦的表面,而不是一个点。为了该申请的目的,除非特别指出,否则截头锥形结构被视为锥形结构。具有单个截头锥形部的板不被视为是波纹状的;然而,在板的表面上方具有多个截头锥形部的板将被视为波纹状的,因为其将具有一些交替的向下和向上的倾斜表面(例如,在相邻锥形部之间)。类似地,除非特别指出,否则半球形、椭圆和卵形的缺口可视为锥形结构。在相关实施例中,提取板可具有金字塔形结构,而不是锥形结构。金字塔形结构的每个面可具有一个或多个孔隙。
在另一实施例中,提取板具有彼此偏移的多个波纹截面。在一个示例中,截面是饼形。在另一示例中,截面是矩形的。图4B显示了离子提取板400B具有彼此偏移的多个饼形波纹截面。在该实施例中,存在两种交替类型的饼形段404和406。孔隙407还是显示为椭圆形。为清晰起见,孔隙407仅显示位于八段中的两段上,但是应当理解孔隙407存在于板400B上的段404和406中的每一个上。粗实线409显示饼形段404与406之间的分隔。细实线411代表存在于波纹段上的波峰/顶部。虚线413代表波峰之间的波谷。换言之,细实线411显示“脱离页面”的特征,而虚线413显示“进入页面”的特征。
通过使用具有不同孔隙图案/角度的多段,可实现一系列入射离子角以使去除结果最大化。在图4B的实施例中,使用两个不同的段图案。一个段图案406是手风琴状的,具有一系列交替的波峰和波谷。因为孔隙407定向成与提取板的局部表面垂直,所以行进通过这种类型段406中的孔隙的离子将在径向偏离孔隙位置的方向上(即,朝向板中心或远离板中心)被引导。图4B中所示的另一段图案404具有为大致三角金字塔的顶面形状。如图4B所示,金字塔的顶部可朝向板的外部偏移,而不是在半径的中心点处。此外,由于圆形板400B的曲率,外边缘会略微弯曲。该段404中的孔隙407沿非径向方向(既不直接朝向板中心,也不直接远离板中心)引导离子。在一些情况下,该段404中的孔隙407沿垂直于径向方向的方向引导离子。也即,这些孔隙407可以沿方位上大致偏离孔隙位置的方向引导离子。
图4C显示出具有不同波纹段的附加实施例。在该实施例中,段408和410为大致方形形状,并且在图中由粗实线421分隔开。此处,段408和410在彼此垂直的两个波纹图案之间交替。用细实线411显示波纹的波峰,而用虚线413显示波纹的波谷。孔隙407显示为椭圆形。
图4D显示出具有波纹状的饼形段427的可选实施例。同样,用细实线411显示波纹的波峰,而用虚线413显示波纹的波谷,孔隙407显示为椭圆形。
在图4A-D所示的各实施例中,波峰的高度、波谷的深度以及这些波峰和波谷之间的距离可以变化以提供将离子引入下方处理容积腔中的一系列角度。可选地,波峰-波谷高度和/或波峰与波谷之间的水平距离可以在板的面上是恒定不变的。图4A-D提供了可用于实现所公开实施例的波纹特征的非限制示例。为了本申请的目的,波纹特征被视为最小的成组/成对的向上和向下的倾斜表面。例如,单个锥形部包含了向上和向下的倾斜表面(当从侧面观察时),并且因此视为波纹特征。类似地,手风琴形状的波纹特征的一个“波峰到波峰”或“波谷到波谷”被视为波纹特征。在使用较大的金字塔结构的情况下,诸如图4B中的段404,整个金字塔段被视为单个波纹特征。
在一些实施方案中,离子提取板能够相对于衬底移动。该移动可通过旋转或平移而发生。平移型移动可通过以下方式进行:例如使提取板沿z方向移动,即朝向和/或远离衬底而移动,和/或使提取板沿x和/或y方向移动,即在平行于衬底面的方向上移动,或者这些移动的某种组合。虽然在一些实施例中衬底支撑件本身可以是可移动的,但是在各情况下离子提取板是可移动的,而衬底支撑件和衬底保持固定。当与一些常规的衬底支撑件移动的设计相比时,该可移动的提取板设计具有相对少的设计要素/约束,这必须考虑到。例如,在衬底支撑件可移动的情况下,与静电卡盘任何电的、流体的连接或与静电卡盘的其他连接必须设计成适应这种移动。这些适应在空间和成本方面相对宽泛。
离子提取板的移动是有益的,因为移动使得有更均匀的离子输送到衬底表面上。在无这种移动的情况下,离子可以在离开提取板中的孔隙之后遵循特定的准直轨迹,以使得离子实际上仅轰击衬底表面的某些部分。通过旋转和/或平移提取板,让离子撞击衬底的区域可在空间上平均或散开。此外,邻波峰/波谷中的孔隙和/或相邻提取板段中的孔隙可略微地或明显地彼此偏移以帮助实现这种离子轰击的空间平均化。通过这种方式,实现了更均匀的轰击。在一些情况下,小的旋转程度(例如,在大约5-20°之间)可用于帮助将离子输送均衡。在不使用不同角度重复的提取板段的情况下,该小的旋转是有利的。另一方面,在使用角度重复的段的情况下,需要旋转程度较大。在某些实施例中,旋转覆盖了将全范围的波纹特征投影到衬底上任意位置的角路径。在一些实施例中,包括平移致动器以使离子提取板更靠近/更远离衬底而移动从而类似地使离子输送均衡。
离子提取板的旋转可通过各种方式来实现。在图1的实施例中,例如,旋转致动器连接到支撑件,支撑件与离子提取板连接。旋转致动器能够通过支撑件的移动来移动提取板。在另一实施例中,平移致动器可用于使离子提取板朝向和远离衬底而移动。在一些实施例中,提取板保持在适当位置和/或通过诸如带、齿轮等另一类型的移动引发元件而移动。
在板包括重复段的波纹/孔隙的情况下,诸如图4B所示的实施例,离子提取板的旋转特别有益。在使用重复段的情况下,如所示的,旋转程度应当足以将衬底的每个部分暴露于每个全段类型。该旋转程度是由图4B中的曲线双箭头来标记的。在另一示例中,仅使用两个不同的段,并且每个段占据了提取板的180°的跨度,板应当旋转360°。类似地,在板包括16个单独的段(8个第一类型与8个第二类型交替)并且每个段占据了提取板的22.5°跨度的情况下,板应当旋转至少大约45°。
在一些实施方案中,旋转程度至少为最宽段的角范围的大约两倍。然而,在使用多于两种类型的段的情况下,旋转程度应当较大。例如,在对于总共12段使用三组四个重复的角相等段类型的情况下(例如,A-B-C-D/A-B-C-D/A-B-C-D的顺时针段次序,其中A、B、C和D代表不同类型的段),旋转程度应当至少为大约120°。该旋转角度确保衬底的处理面的每个部分暴露于来自全A段、全B段、全C段和全D段的离子。与较小数量的较大段相对,使用较大数量的较小段是有益的。该布置允许旋转程度较小,从而简化反应器设计。在一些实施例中,在处理的旋转程度在大约15-180°之间,或者大约20-120°之间,或者大约30-90°之间。
在一些情况下,不同段类型的数量在大约1-36之间(例如,在大约2-10之间,或者在大约2-5之间)。如果波纹波峰和波谷的设计/几何结构/位置在段之间不同,或者如果孔隙的布置在段之间不同,则一种段类型不同于另一种段类型。例如,如果一种饼形的手风琴状段与另一种饼形的手风琴状段之间的波峰和波谷倒置/切换,则即使这些段其他方面等同,该一种饼形的手风琴状段也不同于该另一种饼形的手风琴状段。
在一个特定实施例中,提取板包括四个不同的段类型A、B、C和D。段类型A类似于在图4B中显示为上段的大致三角金字塔形段。段类型B类似于在图4B中显示为右上段手风琴状段。段类型C和D分别类似于类型A和B,但是使用波峰替代波谷,以及波谷替代波峰。一系列不同的段类型重复4次(A-B-C-D/A-B-C-D/A-B-C-D/A-B-C-D)(共16段,每段为如图4B所示的段的一半那样宽)。通过该设计,旋转程度可为大约90°,足以将衬底暴露于段类型A-D的单个全范围。通过将段之间的离子提取板波纹中的波峰和波谷倒置并且在蚀刻期间旋转提取板,可以在衬底的局部部分上实现较宽范围的入射离子角。
确保离子被正确地引向衬底的另一重要因素是在离子提取板上使用的波纹尺度。图6A示出了从侧面观察到的离子提取板601A的具有孔隙602的部分的剖视图。图6A-B中的实线箭头代表离子轨迹(下面说明图6B中的虚线箭头)。波纹尺度的长度定义为波纹中相邻波峰之间的距离,并且在图6A中标示为尺寸LA。如图6A中所示,该尺寸应当足够大以使等离子体鞘610A能够遵循提取板601A的表面形状。
对比而言,图6B显示了具有过小的波纹长度尺寸LB的离子提取板601B的部分的剖视图。换言之,图6B中的波纹波峰彼此过于靠近。此处,等离子体鞘610B不能够遵循提取板的表面。结果,等离子体鞘不引导离子通过孔隙602。相反,离子更可能与提取板的某部分碰撞。如上所示,一般在与等离子体鞘边界垂直的方向上引导离子。在该等离子体鞘边界基本上不与提取栅格的局部表面平行(且因此基本上不垂直于贯通提取板的孔隙的方向)的情况下,离子不易于穿过而进入下方处理腔室,并且不希望有的材料的去除性能易于受损。
在一些实施例中,在离子提取板的段内的相邻波纹波峰之间的距离至少是大约2mm,或者至少是大约5mm。此外,图6A中标识为α的角度可以在大约1°-60°之间,例如,在大约5°-45°之间。下面进一步论述其他可容许的角度。
在一些实施方案中,离子提取板在板的整个区域上方是波纹状的。换言之,提取板可以基本上不包含与衬底平行的表面。在其他实施方案中,提取板可以包括波纹区段和非波纹区段。在这些实施例中,在投影到衬底形平面上时,提取板的波纹部分可占板的表面积的至少大约50%,或者至少大约90%(即,在计算波纹面积的百分比时,衬底的总面积(At)被视为At=π*r2,其中r是提取板的半径,并且波纹面积被视为总面积减去平坦的、非波纹面积)。
离子被引导进入下方处理腔室的角度取决于波纹角,在图6A和图6B中标记为角度α。在特定实施例中,α在波纹的不同部分之间变化以实现对衬底的不同的离子入射角。这得到了一系列的入射离子角,使得更易于使足量的离子能够穿透进入蚀刻特征从而去除这些特征的侧壁上的材料。入射离子角定义为离子的进入轨迹和与整个衬底表面垂直的方向之间的角度。在离开提取板的离子极准直的情况下,波纹角等于入射角。在离开提取板的离子不太准直且更加呈溅开状的情况下,所达到的入射离子角的范围将绕波纹角定中心。为简化起见,相对于整个衬底表面定义入射离子角(除非另有明确说明),但是应当理解为,某些量的离子将穿透进入衬底上的沟槽或其他特征并且撞击定向完全不同于整个衬底表面的表面。
应当结合波纹尺度和孔隙的位置来选择波纹角,以使穿过提取板的离子不会以与提取板的底面撞击而告终。在图6B中,例如,因为波纹尺度LB过小,所以能够穿过提取板中的孔隙的极少的离子(如果有的话)极可能与板的底面碰撞,如虚线箭头所示。应避免这种结果。在一些实施例中,波纹角在大约0-75°之间,或者在大约1-75°之间,或者在大约0-60°之间,或者在大约1-60°之间,或者在大约30-55°之间。在这些或其他实施方案中,波纹角可为大约60°或更小,例如,大约45°或更小,或者大约15°或更小。在一个特定实施例中,使用大约45°的波纹角。如本文其他地方所提到的,在一些实施例中,对于单个离子提取板使用一系列不同的波纹角。在该情况下,最小波纹角和最大波纹角之差可至少为大约10°,例如,至少大约20°,至少大约30°,至少大约50°,或者在一些情况下甚至更大。
离子提取板通常由导电材料制成。合适的板材料的例子包括但不限于Al、W、Co、Mo、低电阻率的硅以及低电阻率的SiC。如上所述,板应当由足够刚性的材料构造而成。
提取板通常跨腔室的几乎整个水平部分,如图1所示。如所提到的,在一些设计中,在提取板与腔室壁之间存在间隙以防止电弧放电。间隙应当为大约3cm或更大。在腔室为圆形(从上方观察或者面向工件)的情况下,板也将是圆形的。这允许栅格将反应腔室有效地分隔成两个子腔室。在一些设计中,提取板的形状是由衬底的几何形状(其通常但不一定是圆形晶片)来限定的。众所周知,晶片通常以各种尺寸提供,诸如200mm、300mm、450mm等。对于方形或其他多边形衬底或更小/更大的衬底,其他形状是可能的。因此,栅格的截面(从上方观察)可具有各种形状和尺寸。
在一些实施方案中,提取板具有包括用于允许探测装置能通过栅格布置的特征的诸如中央区域之类的区域。探测装置能够设置以探测与操作期间等离子体处理系统相关联的工艺参数。探测过程可以包括光发射端点检测、干涉端点检测、等离子体密度测量、离子密度测量以及其他计量探测操作。在一些实施例中,板的中央区域是开口的。在其他实施例中,板的中央区域包含光透明材料(例如,石英、蓝宝石等)以允许光透过板。
在一些实施例中,提取板可以包括嵌入板中的冷却通道,并且这些冷却通道可填充有流动的或非流动的冷却剂材料。在一些实施例中,冷却材料是诸如氦气或其他惰性气体之类的流体或者诸如去离子(DI)水、工艺冷却水、来自3M的fluoroinertTM之类的液体,或者诸如全氟化碳、氢氟烃、氨水和CO2之类的冷冻剂。在这些或其他实施例中,提取板可以包括嵌入式加热元件和/或温度测量器件。冷却通道和嵌入式加热器允许进行精确的温度控制,这容许对颗粒和壁条件进行精密的控制。在一些情况下,该控制可用于调整下方子腔室中的条件。例如,在下方栅格或栅格组件保持在较冷温度的情况下,来自晶片的蚀刻副产物将优先沉积到下方栅格上,从而降低下方子腔室中蚀刻副产物的气相密度。可选地,下方栅格或栅格组件可保持在高温(例如,80℃以上)下以减少栅格上的沉积物并且确保腔室能够保持相对清洁和/或减少在无晶片自动清洁(WAC)期间清洁腔室所需的时间。
对离子提取板和衬底支撑件施加偏置
离子提取板包括与电源连接的电连接件。这些元件可用于在处理期间对提取板施加偏置。在各种实施方案中,离子提取板通过柔性RF带与衬底支撑件电连接。由于这些RF带的存在,衬底支撑件(以及因此衬底)可被偏置到与离子提取板相同的RF电位。通过将这些元件偏置到相同的电位,减小了在下方处理腔室中形成等离子体的可能性。
偏置离子提取板的一个原因在于为离开板的离子提供特定的能级。通过这种方式,可以控制偏置以为撞击衬底的离子提供特定的离子能量。
偏置通常将是具有负DC偏差的RF偏置。该负偏置有助于使正离子朝向提取板加速且加速通过提取板。在一些实施方案中,低频偏置(例如,大约15MHz或更低,或者大约4MHz或更低)施加到衬底和提取板上。该相对低的偏置频率可有益于确保RF带中的电感较小(例如,大约50V或更低)。在这些或其他实施例中,施加到提取板的偏置可具有大约50-750V的负DC基压(base)。在一个实施例中,使用400kHz的偏置频率。在一个实施例中,使用多个步骤,其中在每个步骤施加不同偏压到提取板。可选地,施加的偏压可在设定点和关断状态之间脉动,或在2个非零设定点之间脉动。在实质衬底充电发生的情况下,该技术可能特别有益。在一些应用中,偏压被关断以使得仅低能量离子(例如,<50V)入射到衬底上。
下方处理腔室中的条件
在许多实施例中,下方处理腔室在处理期间基本上无等离子体,使得离开提取孔的离子轨迹保持在提取板与衬底之间的空间中。在该空间中存在等离子体将使得离子主要以垂直角撞击衬底。
在一些实施例中,下方处理腔室中的压强可相对低(例如,大约20毫托以下,或者大约5毫托以下)。一般而言,下方处理腔室中的压强将低于上方等离子体产生腔室中的压强,这至少部分是由于物质传导通过提取板受到限制。实现低压的一种方式是在与反应腔室连接的真空泵上使用高泵送速度。该低压有助于确保通过提取板从等离子体中提取的离子能够撞击衬底,而在衬底上方没有大量的离子与气体的碰撞。在到达衬底之前与气体分子碰撞的离子对于处理和去除材料益处不太大。
可以利用静电夹具和背面He气体来控制衬底温度,以允许ESC与衬底之间的高效的热传导。当使用离子和活性化学物质从特征的侧壁去除材料的组合时,将温度设定在大约80℃之上是有利的。当仅使用离子时,将衬底温度控制在大约20-60℃之间是有利的。
装置
本文所描述的方法可通过具有波纹状离子提取板的任何合适的等离子体蚀刻装置来执行。合适的装置包括腔室和用于提供如本文所描述的蚀刻条件的电子硬件。合适的装置还可以包括系统控制器,系统控制器具有用于控制依照本发明所述的工艺操作的指令。在一些实施例中,等离子体蚀刻装置可以被包括以作为具有其他各种处理站的较大型处理工具中的处理站。
图7提供了依照一些实施例的电感耦合等离子体蚀刻装置700的剖视图。如之前所提到的,本文中的实施例同样可通过非电感耦合等离子体来实践。电感耦合等离子体蚀刻装置700包括由腔室壁701和窗口711限定了结构的整体蚀刻腔室。腔室壁701通常由不锈钢或铝或阳极化铝而制成。腔室壁可涂有Y2O3、YF、CeO2或其他耐等离子体涂层。窗口711通常由石英或诸如Al2O3、AlN之类的介电材料制成。
离子提取板750将整个蚀刻腔室分隔成上方等离子体产生腔室702和下方处理腔室703。衬底支撑件717通过连接件754、匹配电路系统755和连接件756与电源757电连接。提取板还通过柔性RF带777与衬底支撑件717电连接。电源757向提取板750和衬底支撑件717供给适当的偏置。在处理期间,在上方等离子体产生腔室702中通常存在等离子体,而在下方处理腔室703中基本上无等离子体。密封机构(未示出)可用在提取板外周近处以帮助防止等离子体泄漏到下方处理腔室703中。
提取板750可通过旋转或平移而移动。在图7的实施例中,没有示出移动引发元件。在一些情况下,诸如图1所示的实施例,移动引发元件是通过支撑件111与离子提取板107连接的旋转致动器115。移动引发元件位于离子提取板的下方是有益的,这样使得移动引发元件受到保护而不受等离子体环境的破坏,因为在等离子体环境中移动引发元件很可能更快速地变劣。在其它一些情况下,移动引发元件位于与提取板大致相同平面上,或者甚至在提取板的上方。其它可能的移动引发元件包括带、齿轮、提升机构等。可以使用任何致使板以增加离子与衬底的局部表面接触的面积/角空间的方式移动的移动引发元件。
卡盘717位于下方处理腔室703内靠近底部内表面。卡盘717构造为将要执行蚀刻工艺的半导体晶片719接收并保持在其上。卡盘717可以是用于当晶片存在时支撑晶片的静电卡盘。在一些实施例中,边环(未示出)围绕卡盘717,并且具有当晶片存在于卡盘717之上时与晶片的顶面近似在同一平面上的上表面。卡盘717还包括实现晶片的卡住和释放的静电电极。为此目的,可提供滤波器和DC箝位电源。还可以提供用于将晶片提升脱离卡盘717的其它控制系统。
线圈733位于窗口711上方。线圈733是由导电材料制成的,并且包括至少一整匝。图7所示的示例性的线圈733包括三匝。线圈733的截面中有“X”的符号表示线圈733可旋转地延伸到页面中。相反,线圈733的有“·”的符号表示线圈733可旋转地延伸脱离页面。RF电源741构造为向线圈733提供RF功率。一般而言,RF电源741通过连接件745与匹配电路系统739连接。匹配电路系统739通过连接件743与线圈733连接。通过这种方式,RF电源741与线圈733连接。可选的法拉第屏蔽件749位于窗口711的正下方。法拉第屏蔽件可防止金属或其它物质沉积到等离子体腔室的介电窗上。在递交于2011年8月4日、名为“INTERNAL FARADAYSHIELD HAVING DISTRIBUTED CHEVRON PATTERNS ANDCORRELATED POSITIONING RELATIVE TO EXTERNAL INNER ANDOUTER TCP COIL”的美国专利申请No.13/198,683中进一步论述和描述了法拉第屏蔽件,该申请的全文通过引用合并于此。
可通过位于上方等离子体产生腔室中的主注入口760以及可选地通过有时称为STG的侧注入口770供给处理气体。在各个实施例中,通过侧注入口770供给蚀刻剂气体,而用于产生等离子体的气体通过主注入口760供给。未显示出气体排出口。也没有显示出与腔室701连接从而实现真空控制以及在操作等离子体处理期间从腔室去除气态副产物的泵。
射频功率从RF电源741施加到线圈733以使RF电流流经线圈733。流经线圈733的RF电流围绕线圈733产生电磁场。电磁场在上方等离子体产生腔室702中产生感应电流。感应电流作用于存在于等离子体产生腔室702中的气体上以在其中产生等离子体。离子提取板750限制能够迁移到下方处理腔室703中的等离子体物质的量,并且特别地操作以成角度的方向将离子提供给下方处理腔室703。
各种离子和其它物质与晶片719的物理的和化学的相互作用选择性地蚀刻晶片的特征。蚀刻副产物可通过排出口(未示出)从下方处理腔室703中去除。离子提取板750的另一有益之处在于,其帮助屏蔽来自上方等离子体产生腔室702的等离子体环境的蚀刻副产物,使得某些蚀刻副产物(特别是金属-配位体复合体(如果存在))不大可能离解成会再次沉积到衬底719或装置700上的非易失性离解产物。
典型地,本文所公开的卡盘在范围在大约20摄氏度和大约250摄氏度之间的高温下工作,优选地在大约20-150摄氏度之间的高温下工作。温度将取决于蚀刻工艺操作和所使用的具体配方。
虽然没有示出,但当安装到清洁室或制造设施中时,腔室701通常与设施耦合。设施包括提供处理气体、真空、温度控制和环境颗粒控制的管道。当安装在目标制造设施中时,这些设施与腔室701耦合。另外地,腔室701可与转移腔室耦合,转移腔室将使机器人利用常规的自动化手段将半导体晶片移入移出腔室701。
在一个实施例中,离子提取板750是可移除的,并且腔室701构造为执行垂直定向的蚀刻工艺(当波纹状的提取板750被去除时)和所公开的成角度的蚀刻工艺(当存在波纹状的提取板750时)。在一些情况下,在垂直定向的蚀刻工艺中可以使用具有多个孔隙的非波纹状的离子提取板。该非波纹状板有助于沿大致垂直方向提供用于各向异性地蚀刻特征的离子。除了专门与波纹特征有关的教导之外,本文中关于波纹状离子提取板的设计的教导还适用于非波纹状的提取板。
系统控制器
在一些实施例中,系统控制器(可包括一个或多个物理或逻辑控制器)控制处理工具的操作中的一些或全部。系统控制器通常将包括一个或多个存储器设备和一个或多个处理器。处理器可以包括中央处理单元(CPU)或计算机、模拟和/或数字输入/输出连接件、步进电动机控制板以及其它类似部件。在处理器上执行用于实现适当的控制操作的指令。这些指令可存储在与控制器关联的存储器设备上,或者它们可通过网络来提供。在一些实施例中,系统控制器执行系统控制软件。
系统控制软件可以包括用于控制正时、处理气体成分的混合(例如,在可适用的情况下,等离子体产生气体的组成和蚀刻剂气体的组成)、腔室压强、腔室温度、晶片温度、施加到卡盘/晶片/离子提取板和任何其它电极上的电流和电压、晶片位置、提取栅格位置以及处理工具所执行的特定处理的其它参数的指令。系统控制软件可以以任何适合的方式构造。例如,可以撰写各种处理工具部件子程序或控制对象来控制处理工具部件实施各种处理工具的处理所需的操作。系统控制软件可通过任何适当的计算机可读编程语言来编码。
在一些实施例中,系统控制软件包括用于控制上述各种参数的输入/输出控制(IOC)序列指令。例如,蚀刻工艺的每个阶段可以包括一条或多条由系统控制器执行的指令。用于设定等离子体产生工艺阶段的处理条件的指令可以包含在相应的等离子体产生配方阶段中。在一些实施例中,蚀刻配料阶段可以按顺序布置,使得用于蚀刻工艺阶段的所有指令与该工艺阶段同时执行。
在一些实施例中,可以采用其它的计算机软件和/或程序。用于该目的的程序或程序段的示例包括衬底定位程序、等离子体气体组成控制程序、蚀刻气体组成控制程序、气体入口正时控制程序、离子提取板偏置控制程序、离子提取板位置控制程序、静电卡盘偏置控制程序、压强控制程序、加热器控制程序和电压/电流电源控制程序。本文所提到的任何软件/程序可以包含用于在蚀刻期间修正相关参数的指令。在一个示例中,离子提取板偏置控制程序可以包括在蚀刻期间施加偏置到提取板和静电卡盘以及可选地修正施加到提取板和静电卡盘上的偏置的指令。结果,可以在蚀刻工艺期间修正进入下方处理腔室的离子的离子能量。
在一些情况下,控制器控制以下功能中的一项或多项:将等离子体产生气体输送到上方等离子体产生腔室,将蚀刻剂气体输送到下方处理腔室,等离子体产生腔室中的等离子体产生条件,施加到离子提取板的偏置,等等。例如,气体输送到子腔室可通过将某些阀门定向以在特定时刻打开和关闭来实现。这使得控制器能够控制气体输送的正时以及输送气体的组成。控制器可以通过例如引导电源以特定频率/功率水平向等离子体产生器(例如,ICP反应器的线圈)提供电力来控制等离子体产生条件。此外,控制器可以通过引导惰性气体(和/或在一些实施例中为活性气体)流进等离子体产生腔室或者通过控制子腔室中的压强或者通过控制子腔室中的温度等等来控制等离子体产生条件。控制器可以基于传感器输出(例如,当电流、电流密度、电势、压强等达到某阈值时)、操作正时(例如,工艺中在某些时刻打开阀门)或者基于从用户处接收到的指令来控制这些方面。
上述各种硬件和方法的实施例可以与例如用于制作或制造半导体器件、显示器、LED、光伏电池板等的光刻制图工具或工艺相结合使用。典型地,但不是必要的,这些工具/工艺将在共同的制作设施中一起使用或进行。
膜的光刻制图通常包括以下步骤中的一些或全部,每个步骤需要数个可能的工具:(1)利用旋涂或喷涂工具对工件施加光致抗蚀剂,工件例如上面形成有氮化硅膜的衬底;(2)利用热板或炉或其它合适的固化工具来固化光致抗蚀剂;(3)借助诸如晶片步进式光刻机(stepper)之类的工具将光致抗蚀剂暴露于可见光或UV或x射线光;(4)将抗蚀剂显影从而选择性地去除抗蚀剂并且因此利用诸如湿式工作台或喷射显影器之类的工具将其图案化;(5)利用干式或等离子体辅助的蚀刻工具将抗蚀剂图案转印到下层膜或工件上;以及(6)利用诸如RF或微波等离子体抗蚀剂剥离器之类的工具来去除抗蚀剂。在一些实施例中,在施加光致抗蚀剂之前,可以沉积可灰化硬掩模层(诸如,无定形碳层)以及另一适合的硬掩模(诸如防反射层)。
应当理解,本文所描述的构造和/或方法本质上是示例性的,并且这些具体的实施例和示例不应视为有限制意义,因为多方面的改动是可能的。本文所描述的具体的例程和方法可代表任意数量的处理策略中的一种或多种。如此,所图示的各种操作可按图示的顺序、按其它顺序、并行地执行,在一些情况下可以省去。同样,上述工艺的次序可以改变。
本公开的主题包括本文所公开的各种工艺、系统和构造以及其它的特征、功能、操作和/或属性的所有的新颖性和非显而易见的组合和子组合,以及它们的任意的和所有的等同方案。

Claims (32)

1.一种用于从半导体器件结构中的特征的侧壁去除材料的方法,所述方法包括:
(a)在反应腔室中接收衬底,其中所述反应腔室被离子提取板分隔成等离子体产生子腔室和处理子腔室,其中所述离子提取板至少部分地成波纹状且具有孔隙,所述孔隙设计或构造为以相对于所述衬底的多个角度引导离子通过其中;
(b)使等离子体产生气体流入所述等离子体产生子腔室并且在所述等离子体子腔室中产生等离子体;以及
(c)使来自所述等离子体产生腔室的离子加速、通过所述离子提取板、且以多个角度朝向所述衬底进入所述处理容积腔,从而从朝向多个方向的特征侧壁去除材料。
2.如权利要求1所述的方法,其中所述半导体器件结构的特征包括位于两个蚀刻导电层之间的蚀刻绝缘层。
3.如权利要求1所述的方法,其中所述孔隙中的至少一些孔隙具有以相对于所述衬底的非垂直的角度定向的中心轴线。
4.如权利要求1所述的方法,其中,在处理期间,在所述处理子腔室中基本不存在等离子体。
5.如权利要求1所述的方法,其还包括:在处理期间,旋转所述离子提取板,但是在单个方向上小于或等于360°。
6.如权利要求5所述的方法,其中,所述离子提取板旋转到使所述衬底的局部部分暴露于源自于多个不同类型段中的每一个段的离子的程度。
7.如权利要求1所述的方法,其中,在处理期间,所述衬底保持器是固定的。
8.如权利要求1所述的方法,其还包括:使所述离子提取板沿着延伸贯通所述提取板的中心和衬底的轴线移动。
9.如权利要求1所述的方法,其还包括:使所述离子提取板在平行于所述衬底的方向上移动。
10.如权利要求1所述的方法,其还包括:在(a)之前蚀刻所述衬底中的所述特征。
11.如权利要求10所述的方法,其还包括:在(a)之前,使所述衬底从执行所述蚀刻的装置移动到所述反应腔室。
12.如权利要求1所述的方法,其还包括:向所述离子提取板施加偏置。
13.一种用于从半导体衬底表面上的特征的侧壁去除材料的装置,所述装置包括:
(a)反应腔室;
(b)离子提取板,其位于所述反应腔室中,从而将所述反应腔室分隔成等离子体产生子腔室和处理子腔室,其中所述离子提取板的至少部分成波纹状,并且其中,所述离子提取板具有孔隙,所述孔隙设计或构造为容许离子通过其中;
(c)所述等离子体产生子腔室的一个或多个气体入口;
(d)所述反应腔室的一个或多个气体出口;
(e)等离子体产生源,其设计或构造为在所述等离子体产生子腔室中产生等离子体;以及
(f)衬底支撑件。
14.如权利要求13所述的装置,其还包括控制器,所述控制器设计或构造为在所述等离子体产生子腔室中产生等离子体,向所述离子提取板施加偏置,且使所述离子提取板旋转小于或等于在单个方向上测量的约360°。
15.如权利要求14所述的装置,其中,所述控制器还设计或构造为在从所述衬底的所述侧壁去除材料的同时使所述离子提取板沿顺时针方向和逆时针方向旋转。
16.如权利要求13所述的装置,其还包括将所述离子提取板与所述衬底支撑件连接的RF带,其中所述RF带设计或构造为将与施加到所述离子提取板上的所述偏置对应的偏置提供到所述衬底支撑件上。
17.如权利要求13所述的装置,其中延伸贯通所述孔隙的中心的轴线定向成与所述离子提取板的定位有所述孔隙的局部表面垂直,从而沿与所述离子提取板的所述局部表面大致垂直的方向引导离子通过。
18.如权利要求13所述的装置,其中,所述孔隙中的至少一些孔隙是锥形的,使得当考虑单个孔隙时,在所述离子提取板的朝向所述处理子腔室的一侧上的孔隙开口面积比所述离子提取板的朝向所述等离子体产生子腔室的一侧上的孔隙开口面积大。
19.如权利要求13所述的装置,其中,所述离子提取板的波纹部分包括多个锥形特征,其中所述孔隙定位成使得它们延伸贯通所述锥形特征的相对于所述衬底支撑件倾斜的表面。
20.如权利要求13所述的装置,其中,所述离子提取板包括多个波纹段,其中所述波纹的定向在相邻段之间不同。
21.如权利要求20所述的装置,其中,使用至少两个不同类型的波纹段。
22.如权利要求21所述的装置,其中,第一不同类型段设计或构造为在径向上偏离于与所述衬底的加工面垂直的方向的方向上引导离子,并且第二类型段设计或构造为在方位上偏离于与所述衬底的所述加工面垂直的方向的方向上引导离子。
23.如权利要求13所述的装置,其中,波纹角在大约1-75°之间。
24.如权利要求23所述的装置,其中,使用至少两个波纹角。
25.如权利要求13所述的装置,其中,离子穿过孔隙的方向绕延伸贯通所述孔隙的中心的轴线成锥形分布。
26.如权利要求13所述的装置,其中,当衬底存在于所述衬底支撑件上时,所述离子提取板的平均位置与衬底的镀覆面之间的距离小于大约10cm。
27.如权利要求13所述的装置,其中,所述离子提取板能够绕着延伸贯通所述离子提取板的中心的轴线旋转。
28.如权利要求13所述的装置,其还包括平移致动器,在所述衬底处理期间,所述平移致动器用于使所述离子提取板朝向所述衬底支撑件以及远离所述衬底支撑件移动。
29.如权利要求13所述的装置,其中,所述离子提取板的相邻波纹峰之间的距离至少为大约2mm。
30.如权利要求13所述的装置,其中,所述离子提取板的相邻波纹峰之间的距离在大约5-20mm之间。
31.如权利要求13所述的装置,其中,孔隙位置的图案在相邻波纹特征之间变化。
32.如权利要求13所述的装置,其中,所述反应腔室构造为当成波纹状的离子提取板不存在时沿垂直方向蚀刻衬底,并且当成波纹状的离子提取板存在时沿多个成角度的方向蚀刻衬底。
CN201410323125.8A 2013-07-08 2014-07-08 离子束蚀刻系统 Active CN104282521B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710479405.1A CN107293468B (zh) 2013-07-08 2014-07-08 离子束蚀刻系统

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/936,930 US9017526B2 (en) 2013-07-08 2013-07-08 Ion beam etching system
US13/936,930 2013-07-08

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710479405.1A Division CN107293468B (zh) 2013-07-08 2014-07-08 离子束蚀刻系统

Publications (2)

Publication Number Publication Date
CN104282521A true CN104282521A (zh) 2015-01-14
CN104282521B CN104282521B (zh) 2017-07-21

Family

ID=52133090

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410323125.8A Active CN104282521B (zh) 2013-07-08 2014-07-08 离子束蚀刻系统
CN201710479405.1A Active CN107293468B (zh) 2013-07-08 2014-07-08 离子束蚀刻系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710479405.1A Active CN107293468B (zh) 2013-07-08 2014-07-08 离子束蚀刻系统

Country Status (6)

Country Link
US (3) US9017526B2 (zh)
JP (1) JP6469374B2 (zh)
KR (1) KR20150006390A (zh)
CN (2) CN104282521B (zh)
SG (1) SG10201403639PA (zh)
TW (1) TWI638403B (zh)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104878392B (zh) * 2015-06-24 2017-05-31 安徽纯源镀膜科技有限公司 离子束清洗刻蚀设备
CN107004591A (zh) * 2015-10-02 2017-08-01 佳能安内华股份有限公司 离子束蚀刻方法和离子束蚀刻设备
CN107123733A (zh) * 2016-02-25 2017-09-01 朗姆研究公司 利用低温晶片温度的离子束蚀刻
CN107408487A (zh) * 2015-03-27 2017-11-28 瓦里安半导体设备公司 倾角离子束用的多孔径提取系统
CN107924838A (zh) * 2015-08-07 2018-04-17 瓦里安半导体设备公司 使用方向性等离子体与反应气体处理衬底的装置与技术
CN107924993A (zh) * 2015-09-18 2018-04-17 英特尔公司 自旋转移矩存储器(sttm)、使用易失性化合物形成元素来形成其的方法以及包括其的设备
CN109216540A (zh) * 2017-06-30 2019-01-15 中电海康集团有限公司 Mtj器件与其制作方法
CN109786194A (zh) * 2018-12-20 2019-05-21 丰豹智能科技(上海)有限公司 一种改变离子束方向的装置
US10483085B2 (en) 2014-10-21 2019-11-19 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US10580628B2 (en) 2014-08-12 2020-03-03 Lam Research Corporation Differentially pumped reactive gas injector
CN111052319A (zh) * 2017-10-20 2020-04-21 株式会社Lg化学 使用法拉第笼的等离子体刻蚀方法
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US11062920B2 (en) 2014-08-29 2021-07-13 Lam Research Corporation Ion injector and lens system for ion beam milling
CN113196442A (zh) * 2018-12-17 2021-07-30 应用材料公司 用于光学设备制造的离子束源
CN114503246A (zh) * 2019-09-13 2022-05-13 应用材料公司 半导体处理腔室
CN114829671A (zh) * 2019-12-18 2022-07-29 应用材料股份有限公司 用于各向异性沉积薄膜的带状射束等离子体增强化学气相沉积系统
WO2024097538A1 (en) * 2022-11-02 2024-05-10 Applied Materials, Inc. Faraday faceplate
US12029133B2 (en) 2020-02-26 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP2014212310A (ja) * 2013-04-02 2014-11-13 東京エレクトロン株式会社 半導体デバイスの製造方法及び製造装置
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9522821B2 (en) * 2013-04-18 2016-12-20 Bo Cui Method of fabricating nano-scale structures and nano-scale structures fabricated using the method
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9460961B2 (en) 2014-08-05 2016-10-04 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for anisotropic metal etching
US9396965B2 (en) * 2014-08-05 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for anisotropic metal etching
US9570509B2 (en) * 2015-01-29 2017-02-14 Qualcomm Incorporated Magnetic tunnel junction (MTJ) device array
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US20180047595A1 (en) * 2015-05-22 2018-02-15 Hitachi High-Technologies Corporation Plasma processing device and plasma processing method using same
US20160365227A1 (en) 2015-06-09 2016-12-15 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10062548B2 (en) * 2015-08-31 2018-08-28 Varian Semiconductor Equipment Associates, Inc. Gas injection system for ion beam device
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9812349B2 (en) 2015-12-01 2017-11-07 Lam Research Corporation Control of the incidence angle of an ion beam on a substrate
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US9589850B1 (en) * 2015-12-10 2017-03-07 Globalfoundries Inc. Method for controlled recessing of materials in cavities in IC devices
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
GB201608926D0 (en) * 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
CN109643630A (zh) * 2016-08-26 2019-04-16 应用材料公司 用于等离子体处理腔室的等离子体屏
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
KR20180083027A (ko) 2017-01-11 2018-07-20 삼성전자주식회사 반도체 소자의 패턴 형성 방법
CN207396531U (zh) 2017-01-31 2018-05-22 杭州探真纳米科技有限公司 一种悬臂末端纳米探针
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
TWI729285B (zh) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 金屬薄膜的選擇性沈積
US10193066B2 (en) * 2017-06-30 2019-01-29 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for anisotropic substrate etching
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10354887B2 (en) * 2017-09-27 2019-07-16 Lam Research Corporation Atomic layer etching of metal oxide
JP7012347B2 (ja) * 2017-11-01 2022-02-14 国立研究開発法人産業技術総合研究所 二次元層状材料の積層体
US10276340B1 (en) * 2017-12-20 2019-04-30 Varian Semiconductor Equipment Associates, Inc. Low particle capacitively coupled components for workpiece processing
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102273084B1 (ko) 2018-06-29 2021-07-06 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US10535522B1 (en) 2018-08-21 2020-01-14 Varian Semiconductor Equipment Associates, Inc. Angular control of ion beam for vertical surface treatment
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11158786B2 (en) 2019-09-25 2021-10-26 International Business Machines Corporation MRAM device formation with controlled ion beam etch of MTJ
TWI750521B (zh) * 2019-10-23 2021-12-21 聚昌科技股份有限公司 磁力線遮蔽控制反應腔室磁場之蝕刻機結構
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
CN111463107B (zh) * 2020-04-07 2023-04-28 北京晶亦精微科技股份有限公司 一种晶圆清洗设备
CN211957594U (zh) * 2020-05-29 2020-11-17 北京鲁汶半导体科技有限公司 一种离子束刻蚀旋转平台
US11948781B2 (en) 2020-06-16 2024-04-02 Applied Materials, Inc. Apparatus and system including high angle extraction optics
US11637242B2 (en) 2020-08-21 2023-04-25 Tokyo Electron Limited Methods for resistive RAM (ReRAM) performance stabilization via dry etch clean treatment
US20220102139A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for multiple step directional patterning
KR20220076976A (ko) 2020-12-01 2022-06-08 삼성전자주식회사 웨이퍼 처리 장치
JP7500450B2 (ja) * 2021-01-21 2024-06-17 東京エレクトロン株式会社 プラズマ処理装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6260146A (ja) * 1985-09-10 1987-03-16 Matsushita Electric Ind Co Ltd 平板状情報記録担体の基板作成方法
JPH04137727A (ja) * 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JPH04341744A (ja) * 1991-05-17 1992-11-27 Nec Corp 枚葉式プラズマエッチング装置の上部電極
JP2643457B2 (ja) * 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
JPH1041276A (ja) * 1996-07-22 1998-02-13 Nec Corp 半導体装置の製造方法
CN202633210U (zh) * 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH02131550U (zh) * 1989-03-31 1990-11-01
US5374456A (en) * 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US6007673A (en) * 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JPH1154717A (ja) * 1997-08-06 1999-02-26 Sanyo Electric Co Ltd 誘電体素子の製造方法
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
IL165383A0 (en) 2002-06-21 2006-01-15 Transform Pharmaceuticals Inc Pharmaceutical compositions with improved dissolution
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
TWI391518B (zh) * 2005-09-09 2013-04-01 愛發科股份有限公司 離子源及電漿處理裝置
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
EP2333821A4 (en) * 2008-09-01 2014-07-30 Japan Science & Tech Agency PLASMA METHOD, PLASMA COUNTER DEVICE AND METHOD FOR PRODUCING A PHOTONIC CRYSTAL
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP5216918B2 (ja) * 2009-07-16 2013-06-19 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP2534674B1 (en) 2010-02-09 2016-04-06 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP5864879B2 (ja) * 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
JP5689980B2 (ja) 2011-10-31 2015-03-25 キヤノンアネルバ株式会社 磁性膜のイオンビームエッチング方法及びイオンビームエッチング装置
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6260146A (ja) * 1985-09-10 1987-03-16 Matsushita Electric Ind Co Ltd 平板状情報記録担体の基板作成方法
JP2643457B2 (ja) * 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
JPH04137727A (ja) * 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JPH04341744A (ja) * 1991-05-17 1992-11-27 Nec Corp 枚葉式プラズマエッチング装置の上部電極
JPH1041276A (ja) * 1996-07-22 1998-02-13 Nec Corp 半導体装置の製造方法
CN202633210U (zh) * 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580628B2 (en) 2014-08-12 2020-03-03 Lam Research Corporation Differentially pumped reactive gas injector
US11062920B2 (en) 2014-08-29 2021-07-13 Lam Research Corporation Ion injector and lens system for ion beam milling
US10998167B2 (en) 2014-08-29 2021-05-04 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US10483085B2 (en) 2014-10-21 2019-11-19 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
CN107408487B (zh) * 2015-03-27 2019-06-25 瓦里安半导体设备公司 等离子体处理设备
CN107408487A (zh) * 2015-03-27 2017-11-28 瓦里安半导体设备公司 倾角离子束用的多孔径提取系统
CN104878392B (zh) * 2015-06-24 2017-05-31 安徽纯源镀膜科技有限公司 离子束清洗刻蚀设备
CN107924838A (zh) * 2015-08-07 2018-04-17 瓦里安半导体设备公司 使用方向性等离子体与反应气体处理衬底的装置与技术
CN107924993B (zh) * 2015-09-18 2022-03-18 英特尔公司 自旋转移矩存储器(sttm)、使用易失性化合物形成元素来形成其的方法以及包括其的设备
CN107924993A (zh) * 2015-09-18 2018-04-17 英特尔公司 自旋转移矩存储器(sttm)、使用易失性化合物形成元素来形成其的方法以及包括其的设备
CN107004591B (zh) * 2015-10-02 2020-05-01 佳能安内华股份有限公司 离子束蚀刻方法和离子束蚀刻设备
CN107004591A (zh) * 2015-10-02 2017-08-01 佳能安内华股份有限公司 离子束蚀刻方法和离子束蚀刻设备
CN107123733B (zh) * 2016-02-25 2019-10-08 朗姆研究公司 利用低温晶片温度的离子束蚀刻
CN110634726A (zh) * 2016-02-25 2019-12-31 朗姆研究公司 利用低温晶片温度的离子束蚀刻
CN107123733A (zh) * 2016-02-25 2017-09-01 朗姆研究公司 利用低温晶片温度的离子束蚀刻
US11289306B2 (en) 2016-02-25 2022-03-29 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
CN110634726B (zh) * 2016-02-25 2022-05-31 朗姆研究公司 利用低温晶片温度的离子束蚀刻
CN109216540A (zh) * 2017-06-30 2019-01-15 中电海康集团有限公司 Mtj器件与其制作方法
CN111052319A (zh) * 2017-10-20 2020-04-21 株式会社Lg化学 使用法拉第笼的等离子体刻蚀方法
CN111052319B (zh) * 2017-10-20 2023-09-19 株式会社Lg化学 使用法拉第笼的等离子体刻蚀方法
CN113196442A (zh) * 2018-12-17 2021-07-30 应用材料公司 用于光学设备制造的离子束源
CN113196442B (zh) * 2018-12-17 2024-05-24 应用材料公司 用于光学设备制造的离子束源
CN109786194A (zh) * 2018-12-20 2019-05-21 丰豹智能科技(上海)有限公司 一种改变离子束方向的装置
CN114503246A (zh) * 2019-09-13 2022-05-13 应用材料公司 半导体处理腔室
CN114829671A (zh) * 2019-12-18 2022-07-29 应用材料股份有限公司 用于各向异性沉积薄膜的带状射束等离子体增强化学气相沉积系统
US12029133B2 (en) 2020-02-26 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning
WO2024097538A1 (en) * 2022-11-02 2024-05-10 Applied Materials, Inc. Faraday faceplate

Also Published As

Publication number Publication date
US20150011093A1 (en) 2015-01-08
JP6469374B2 (ja) 2019-02-13
US9257295B2 (en) 2016-02-09
US9017526B2 (en) 2015-04-28
CN107293468B (zh) 2020-02-11
CN104282521B (zh) 2017-07-21
CN107293468A (zh) 2017-10-24
SG10201403639PA (en) 2015-02-27
JP2015019064A (ja) 2015-01-29
TW201517162A (zh) 2015-05-01
US20150179465A1 (en) 2015-06-25
KR20150006390A (ko) 2015-01-16
US20160211156A1 (en) 2016-07-21
TWI638403B (zh) 2018-10-11

Similar Documents

Publication Publication Date Title
CN104282521A (zh) 离子束蚀刻系统
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
US11171021B2 (en) Internal plasma grid for semiconductor fabrication
TWI647731B (zh) 蝕刻基板的設備及方法
TWI687962B (zh) 使用離子束蝕刻以產生環繞式閘極結構

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant