JP2016103632A - エネルギー吸収体ガスへの衝突共鳴エネルギー伝達によるプラズマのvuv放出の調節 - Google Patents

エネルギー吸収体ガスへの衝突共鳴エネルギー伝達によるプラズマのvuv放出の調節 Download PDF

Info

Publication number
JP2016103632A
JP2016103632A JP2015220973A JP2015220973A JP2016103632A JP 2016103632 A JP2016103632 A JP 2016103632A JP 2015220973 A JP2015220973 A JP 2015220973A JP 2015220973 A JP2015220973 A JP 2015220973A JP 2016103632 A JP2016103632 A JP 2016103632A
Authority
JP
Japan
Prior art keywords
plasma
neon
helium
processing chamber
etchant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015220973A
Other languages
English (en)
Other versions
JP6758818B2 (ja
JP2016103632A5 (ja
Inventor
アンドレアス・フィッシャー
Andreas Fischer
トルステン・リル
Lill Thorsten
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2016103632A publication Critical patent/JP2016103632A/ja
Publication of JP2016103632A5 publication Critical patent/JP2016103632A5/ja
Application granted granted Critical
Publication of JP6758818B2 publication Critical patent/JP6758818B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)

Abstract

【課題】半導体処理チャンバ内でプラズマからの真空紫外(VUV)放射の放出を調節する方法を提供する。【解決手段】処理チャンバ内でプラズマを発生するステップであって、プラズマが、VUV放出体ガスと衝突エネルギー吸収体ガスとを含むステップと、プラズマ中のVUV放出体ガスと衝突エネルギー吸収体ガスとの濃度比を変えることによって、プラズマからのVUV放射の放出を調節するステップとを含む。VUV放出体ガスはヘリウムであり、衝突エネルギー吸収体ガスはネオンである。VUV放出を調節するステップが、プラズマ中のヘリウムとネオンの濃度比を変えるためにある比率でヘリウムおよび/またはネオンを処理チャンバ内に流すステップを含んでよい。【選択図】図5

Description

半導体産業においてデバイスおよびフィーチャのサイズが絶えず縮小されていることに伴って、また3Dデバイス構造(例えば、IntelのTri−Gateトランジスタ構造)が集積回路(IC)設計でより広まっていることに伴って、薄い共形被膜(非平坦の場合でさえ、下にある構造の形状に対して均一な厚さを有する材料の被膜)を堆積することができることが重要性を増し続けている。原子層堆積(ALD)は、ALDの1回のサイクルが材料薄層を1層のみ堆積することにより、共形被膜の堆積によく適した被膜形成技法であり、厚さは、1つまたは複数の被膜前駆体反応物の量によって制限され、反応物は、被膜形成化学反応自体の前に基板表面上に吸着する(すなわち吸着制限層を形成する)ことがある。次いで、複数回の「ALDサイクル」を使用して、所望の厚さの被膜を蓄積することができ、各層が薄く共形であるので、得られる被膜は、下にあるデバイス構造の形状に実質的に一致する。同様に、原子層エッチング(ALE)は、ALDと同様の吸着媒介エッチング技法である。これは、エッチャントの吸着制限層の反応を含むので、シリコン基板を高レベルの精度で制御可能におよび選択的にエッチングするために使用することができる。しかし、ALDと同様に、所望の基板エッチング量を達成するために、典型的には複数回の「ALEサイクル」が必要とされる。ALDとALEはどちらも大抵はプラズマ活性化プロセスであるので、多くのサイクルの過程にわたって(ALDにおける被膜形成反応またはALEにおけるエッチング反応を活性化するために使用される)プラズマの特性を制御することがかなり重要となり得る。
本明細書では、半導体処理チャンバ内でプラズマからの真空紫外(VUV)放射の放出を調節する方法が開示される。方法は、処理チャンバ内でプラズマを発生するステップであって、プラズマが、VUV放出体ガスと衝突エネルギー吸収体ガスとを含むステップと、プラズマ中のVUV放出体ガスと衝突エネルギー吸収体ガスとの濃度比を変えることによって、プラズマからのVUV放射の放出を調節するステップとを含むことがある。幾つかの実施形態では、VUV放出体ガスはヘリウムであり、幾つかの実施形態では、衝突エネルギー吸収体ガスはネオンである。幾つかの実施形態では、プラズマからのVUV放射の放出を調節するステップが、プラズマ中のヘリウムとネオンの濃度比を変えるためにある比率でヘリウムおよび/またはネオンを処理チャンバ内に流すステップを含むことがある。幾つかの実施形態では、方法は、さらに、プラズマおよび/または基板の特性を測定するステップと、測定された特性に応じて、処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定するステップとを含むことがある。特定の実施形態では、測定される特性は、プラズマの励起状態種の放出バンドからの放出強度でよい。特定の実施形態では、測定される特性は、計測ツールを用いて測定された半導体基板のエッチングされたフィーチャのプロファイルでよく、そのフィーチャは、処理チャンバ内でエッチングされている。幾つかの実施形態では、そのVUV放出が調節されるプラズマは、容量結合プラズマである。
VUV放出プラズマが発生され、そのVUV放出が調節される半導体処理装置も本明細書で開示される。装置は、処理チャンバと、プラズマ発生器と、処理チャンバ内にヘリウムおよびネオンを流すように構成された1つまたは複数のガス流入口と、装置の動作を制御するための制御装置とを含むことがある。いくつかの実施形態では、制御装置は、ヘリウムおよびネオンを含むVUV放出プラズマを処理チャンバ内で発生するようにプラズマ発生器を操作するための機械可読命令と、プラズマ中のヘリウムとネオンの濃度比を変えるためにある比率で処理チャンバ内にヘリウムおよび/またはネオンを流すことによってプラズマからのVUV放射の放出を調節するために、1つまたは複数のガス流入口を操作するための機械可読命令とを含むことがある。
いくつかの実施形態では、装置は、光学検出器をさらに含むことがあり、制御装置の機械可読命令は、プラズマの放出バンドの放出強度を測定するために光学検出器を操作するための命令と、測定された放出強度に応じて処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定するために、1つまたは複数のガス流入口を操作するための命令とをさらに含むことがある。
幾つかの実施形態では、1つまたは複数のガス流入口は、処理チャンバ内にエッチャントガスを流すようにさらに構成されることがあり、装置は、真空ポンプと、真空ポンプへの弁制御式管路とをさらに含むことがある。特定のそのような実施形態では、制御装置の機械可読命令は、エッチャントガスを処理チャンバ内に流すために1つまたは複数のガス流入口を操作するための命令と、半導体基板の表面上にエッチャントが吸着してエッチャントの吸着制限層を形成するように、処理チャンバ内部の条件を設定するための命令とをさらに含むことがある。制御装置の機械可読命令は、吸着されたエッチャントの周囲の体積から未吸着のおよび/または脱着したエッチャントを除去するために、弁制御式管路および真空ポンプを操作するための命令と、エッチャントの吸着、ならびに未吸着のおよび/または脱着したエッチャントの除去後に、半導体基板上にフィーチャをエッチングするためにプラズマ発生器を操作するための命令とをさらに含むことがある。
幾つかの実施形態では、装置は、半導体基板のフィーチャのエッチングプロファイルを測定するための計測ツールをさらに含むことがある。特定のそのような実施形態では、制御装置は、半導体基板上のエッチングされたフィーチャのエッチングプロファイルを測定するために計測ツールを操作するための命令と、測定されたエッチングプロファイルに応じて処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定するために、1つまたは複数のガス流入口を操作するための命令とをさらに含むことがある。
半導体基板の表面をエッチングするための原子層エッチング(ALE)プロセスを概略的に示す図である。 ヘリウムとネオンに関するエネルギーレベル図であって、ネオンの特定の励起状態が、ヘリウムの特定の励起状態とエネルギー的に並び、したがって、ヘリウムからネオンへの共鳴エネルギー伝達を誘発することができることを示す図である。 約1インチの小さなチャンバギャップを有する容量結合プラズマ(CCP)リアクタ内で発生されたヘリウム−ネオンプラズマからのネオンの632.8nmラインの放出強度をプロットする図である。具体的には、(4つの異なるネオン分圧に対応する)4つの異なるチャンバ圧力で、ネオンの632.8nm放出強度が、CCPリアクタ内へのヘリウム流量に対してプロットされる。 約6インチの大きなチャンバギャップを有する誘導結合プラズマ(ICP)リアクタ内で発生されたヘリウム−ネオンプラズマからのネオンの632.8nmラインの放出強度をプロットする図である。具体的には、(4つの異なるネオン分圧に対応する)4つの異なるチャンバ圧力で、ネオンの632.8nm放出強度が、ICPリアクタ内へのヘリウム流量に対してプロットされる。 VUV放出調節および/または制御を採用する原子層エッチング(ALE)プロセスの流れ図である。 本明細書で述べる様々なALEプロセスを実施するのに適した容量結合プラズマ(CCP)リアクタの概略図である。 本明細書で述べる様々なALEプロセスを実施するのに適した容量結合プラズマ(CCP)リアクタの概略図である。 本明細書で述べる様々なALEプロセスを実施するのに適した容量結合プラズマ(CCP)リアクタの概略図である。 本明細書で述べる様々なALEプロセスを実施するのに適した誘導結合プラズマ(ICP)リアクタの概略図である。 本明細書で述べる様々なALEプロセスを実施するのに適する基板処理クラスタツールの概略図である。
以下の説明では、本発明を完全に理解できるように多くの特定の詳細を記載する。しかし、本発明は、これらの特定の詳細の幾つかまたは全てを伴わずに実施することもできる。なお、よく知られているプロセス操作またはハードウェアは、本願の発明の態様を不要に曖昧にしないように、詳細には説明していない。本発明を特定の詳細な実施形態に関連付けて述べるが、これらの特定の詳細な実施形態が、本明細書で開示される発明の概念の範囲を限定することは意図されていないことを理解されたい。
原子層エッチング技法および操作
次に、半製造された半導体基板の表面上にフィーチャをエッチングするためのエッチング技法、操作、方法などを述べる。幾つかの実施形態では、エッチング技法は、原子層堆積(ALD)に類似したプロセスを採用することがあり、表面反応、この場合には表面をエッチングする反応を原子層精度で制御することができる。幾つかの実施形態では、そのような原子層エッチング(ALE)技法が表面反応の活性化を引き起こす様式に応じて、表面フィーチャは、実質的に水平ではなく、垂直にエッチングされることがある。例えば、エッチング操作は、フィーチャの底部/ベースをエッチングすることがあるが、その側壁は実質的にエッチングしないことがある。そのような選択性は、エッチングプロセスの吸着制限性、および(上記のように)活性化の様式によって一部実現される。図1の様々な部分図(a)〜(e)に示される概略基板断面図は、そのような原子層エッチング(ALE)プロセスを示す。
図1(a)に示されるように、幾つかの実施形態では、そのようなALEプロセスは、エッチングに使用される化学種120(すなわちエッチャント種)を処理チャンバ内に導入することから始まることがあり、それにより、エッチャント120は、基板110の表面に送達される(図中に下向きの矢印によって示される)。幾つかの実施形態では、エッチャントは、ハロゲン含有種でよく、特定のそのような実施形態では、XeF2、BrF3、IF5、ClF3などフッ素含有種でよい。幾つかの実施形態では、エッチャントは、フッ化炭素、例えばC48などのハロゲンおよび炭素含有種でよい。他の例は、F2、CF4、CHF3、CH22、CH3Fでよい。幾つかの実施形態では、エッチャントは、例えば、Cl2、CCl4、CHCl3、CH2Cl2、CH3Cl、BCl3など塩素含有種でよい。
処理チャンバ内への導入後、エッチャント120(またはエッチャントの一部)は、基板110の表面上に吸着し、それにより、表面110の上に吸着制限層を形成する。時として、この吸着制限層は単一層と表されることもあり、吸着された分子1つ分の均一な厚さをほぼ有する。これが当てはまるような条件である場合、単一層形成は、時として、吸着先となる露出面を必要とする化学吸着プロセスの結果である。すなわち、表面上の所与の点での吸着された単一の原子または分子が、その点でのさらなる吸着を妨げる。
エッチングすべき表面110上へのエッチャント120の単一層のそのような吸着が、図1(b)に概略的に示されている。図1(b)は、基板の表面の上層130に直接結合するエッチャント120を概略的に示す。本明細書で開示するALE法は、エッチャント120と基板表面の上層130との間の実際の化学結合が存在するレジームに限定されず、幾つかの場合には、共有結合などの化学結合が生じ得る。他の場合には、エッチャントの吸着時にエッチャントと基板表面との間で他の形態の引力相互作用が存在することがある。
図1(a)〜図1(d)では、エッチャントと基板表面の上層とは「ボール」として表され、それらの互いの結合は「スティック」として表されるが、単一の「ボール」としての各そのような種の描画は、各そのような種が必ず原子種であることを示唆するものとは解釈すべきでないことに留意されたい。そうではなく、実施形態によっては、エッチャントおよび基板表面種は、多原子分子種でもよい。表面吸着プロセスの基本的な図示を提供するために、単一の「ボールとスティック」の図を使用する。また、図1(a)〜図1(b)は、便宜上、同じ種が導入されて、その後吸着される様子を示すが、吸着種は、処理チャンバ内に導入されるエッチャント全体を成さないことがあることにも留意すべきである。すなわち、幾つかの実施形態では、エッチャントの一部のみが吸着されることがある。
例えば、処理チャンバ内に導入されるエッチャントがCl2である場合、吸着されるエッチャントは、基板表面に共有結合される原子塩素(Cl)ラジカルまたは塩素原子でよい。したがって、この例では、技術的には、原子塩素(Cl)がエッチングを行う種であるということができる。それにも関わらず、明瞭かつ単純にするために、本明細書では、処理チャンバ内に導入されるエッチャント(この例では分子Cl2)と、実際に吸着される種(この例では原子Cl)とのどちらも「エッチャント」と呼ぶことがあり、この語は、表面上に実際に吸着され、本明細書で述べるようにエッチングを実施する種である化合物、またはその種の発生源である化合物を表すものと理解される。
吸着プロセスは、プラズマ強化されてよく、または基板表面を中性エッチャントガスに露出することによって実現することもできることに留意すべきである。また、図1(b)は、水平表面上へのエッチャントの吸着を概略的に示すが、一般に、エッチャントは、エッチングされる基板フィーチャの側壁に吸着されることもあることに留意すべきである。しかし、以下に述べる理由から、これは、側壁のエッチングおよび場合によっては側壁からのエッチング除去を必ずしも生じない。
吸着が行われた後、図1(c)に示されるように、余分な未吸着のエッチャント(場合によっては脱着したエッチャントを含む)が処理チャンバから除去されて、エッチングプロセスの後続のステップにおける化学吸着種の活性化および反応とのそれらの干渉(例えば、生じ得る同時発生の気相反応)を防止する。幾つかの実施形態では、余分な未吸着のエッチャントの除去は、未吸着のエッチャントを処理チャンバからポンプで排気することによって達成することができる。幾つかの実施形態では、除去は、処理チャンバを不活性ガスでパージすることによって達成することができる。幾つかの実施形態では、未吸着の種を除去するためにベース圧力まで反応チャンバをポンプで排気し、次いでパージする、またはその逆の順序の複合シーケンスが採用されることがある。
エッチャントの吸着制限層が基板の表面上に形成され、未吸着の前駆体が処理チャンバから除去されると、吸着されたエッチャントと、エッチャントが吸着された表面の上層との間での反応が活性化される。図1(d)に示されるように、これは、表面の幾らかのエッチング除去をもたらす。図1(c)は、表面反応を活性化するためにプラズマ140の電子励起種に吸着制限層が露出される様子を概略的に示す。
最後に、幾つかの実施形態では、図1(d)に示されるように表面を媒体とするエッチング反応が行われた後、図1(e)に示されるように、エッチング反応によって発生された副生成物を処理チャンバから除去することができる。これは、ポンプツーベース(PTB)および/または不活性ガスによる処理チャンバのパージなどによって達成することができる。吸着制限層がエッチャントとして塩素を含む実施形態では、副生成物は、塩素含有種を含むことがあり、適切に取り扱われるべきである。
図1(a)〜図1(e)は、原子層エッチング(ALE)の1回のサイクルを構成するイベントの例示的なシーケンスを概略的に示す。したがって、一般に、1回のALEサイクルは、エッチャントが半導体基板の表面上に吸着制限層を形成するように表面上にエッチャントを吸着する操作と、その後、吸着されたエッチャントの周囲の体積から、未吸着のおよび/または脱着したエッチャントを除去する操作とを含むことがある。そのような1回のALEサイクルは、次いで、処理チャンバ内部でプラズマを発生させ、吸着されたエッチャントをプラズマに接触させて、基板の表面をエッチングすることがある。プラズマにより活性化されたエッチング後、エッチング後の任意選択の除去操作が、エッチングされた基板の周囲の体積から、エッチング副生成物および/または脱着したエッチャントを除去することがある。
しかし、各ALEサイクルは、エッチャントの単一の吸着制限層の反応のみを成すので、典型的には、図1(e)に示されるように単一の単一層のみが基板表面から除去され、したがって、典型的には、相当量の基板表面を実質的にエッチング除去するためにALE操作のサイクルが複数回繰り返される。したがって、エッチングサイクルのこの反復が、図1(e)を図1(a)とつなぐ矢印によって図1に概略的に示されている。各サイクルで吸着されるエッチャントの層は、時として共形層と呼ばれることに留意されたい。すなわち、これは、実質的に均一な厚さを有し、そのエッチャントが吸着されるフィーチャの形状と実質的に一致する層である。したがって、基板の任意の部分が各サイクル中に露出される反応性エッチャントの量は一定であって再現性があり、それにより、全体的なマルチサイクルALEプロセスに均一性、制御性、および選択性を与える。
図1(d)に示されるプラズマ活性化操作を再び参照すると、プラズマは、イオン、フリーラジカル、および中性の非ラジカル種を含むことがあり、これらの種の1つまたは複数と吸着制限層との接触が、表面反応の活性化を達成するように作用することができる。実施形態によって、プラズマは、処理チャンバ内で生成/発生されることがあり、または遠隔プラズマ源から処理チャンバ内に導入されることもあり、またはそれらの組合せが採用されることもある。典型的には、表面反応を活性化するためにイオンが使用される場合には、イオンベースのプラズマが処理チャンバ内部で発生され、表面反応を活性化するためにフリーラジカル種が使用される場合には、それらは、処理チャンバから遠隔で発生されたフリーラジカルベースのプラズマから(すなわち遠隔のフリーラジカルベースプラズマ源から)処理チャンバ内に導入されることがある。幾つかの実施形態では、プラズマは、フリーラジカル種とイオン種とをどちらもかなりの数含むことがある。プラズマは、He、Ar、Ne、Kr、および/またはXeなどの不活性ガスから生成される/不活性ガスを含むことがある。
表面反応を活性化するためにイオンベースのプラズマが使用されるとき、プラズマのイオンは、印加される電磁(EM)場によって基板に向けて押し進められることがある。印加されるEM場は、衝突する種の一定のフラックスを発生することがあり、または、EM場のパルス化によって、衝突する種のパルスを発生させることができる。さらに、印加されるEM場は、特に選択された/望まれる運動エネルギーレベルまでイオンを加速させるために特に維持することができる。これは、イオンベースのスパッタエッチング技法に類似していることがあるが、相違点は、典型的なALEプロセスでは、イオンが、衝突される材料のスパッタ閾値未満の運動エネルギーを有することである。なぜなら、ALEプロセスでは、衝突するイオンによって伝達されるエネルギーは、せん断運動エネルギーのみによって表面から層を衝突によりアブレートするのに十分である必要はなく、吸着されたエッチャントの表面反応を活性化するのに十分であればよいからである。したがって、例えば、本明細書で開示するALE技法において、イオン種は、100エレクトロンボルト(eV)未満の相対運動エネルギーで、または30eVもしくはさらには10eV未満の相対運動エネルギーで衝突させることによって、(図1(d)におけるように)エッチャントの吸着制限層に接触することができる。当然、反応を活性化するためにフリーラジカル種が使用される場合、これらも時としてプラズマによって発生され、また、典型的にはより低い運動エネルギーを有する。いずれにせよ、表面反応を活性化するために使用される手段(例えば、衝突するプラズマ種の分子ビームとみなすことができる)が、下にある基板にイオンベースのスパッタエッチングよりもはるかに低いエネルギーを与えるので、原子層エッチング(ALE)技法は、下にある表面にはるかに少ない損傷しか及ぼさない可能性があり、また、エッチングマスクの使用と共に採用されるときなど、エッチングされることを望まれる基板の部分に対してはるかに制御可能であり特定的なものになる可能性がある。
吸着されたエッチャントのプラズマ活性化はまた、エッチングプロセスの特異性を制御するための追加のメカニズムとして作用することもある。特に、印加されるEM場が、プラズマフラックスを基板表面に向けるために使用されるとき、EM場の強度は、プラズマ種が基板の平面に実質的に垂直な速度を与えられるようなものでよく、したがって、これらのプラズマ種は、基板に衝突するとき、(水平速度成分が実質的にないので)フィーチャ底部よりもはるかに低い頻度でしかフィーチャ側壁に接触しない。したがって、これは、フィーチャ側壁よりも基板フィーチャの底部でのエッチング反応の優先的な活性化を引き起こし、それにより、限界寸法(CD)およびフィーチャアスペクト比(AR)を保つ働きをする。エッチングマスク層でカバーされた基板をエッチングする文脈では、衝突するプラズマ種を垂直下向きに(すなわち基板の平面に実質的に垂直に)向けることにより、エッチングプロセスは、エッチングマスクによって保護されていない領域で基板内へ下方向にエッチングし、そのような領域内へ側方または水平にはエッチングしない。エッチングプロセスが等方性である(すなわち等しい水平成分と垂直成分を有する)度合いとは対照的に、エッチングが垂直である度合いは、エッチングの異方性度と呼ばれることがある。多くの場合、垂直異方性エッチングが好ましい。なぜなら、これは、フィーチャ側壁を実質的に水平方向にエッチングすることなく、基板内へ下方向にエッチングするからである。水平方向のエッチングは、幾つかの場合には水平エッチング成分がエッチングマスク(マスクされる領域は、エッチングマスクの縁部によって垂直方向で定義される)の下から材料を除去することがある程まで、側壁を「陥凹」させる。
しかし、等方性エッチングによって側方にエッチングすることが望まれる場合もあり、さらには、エッチングプロセスが、1段階中には実質的に垂直方向に異方性であり、次いでさらなる後続の(または先行する)段階では等方性であるように設計される場合もある。一例は、(FINFET設計の後継となり得る)いわゆるゲートオールアラウンド(GAA)FETの製造に関し、ここで、ゲート電極は、トランジスタのドープチャネル領域の周りを360度取り囲み、本質的にナノワイヤを形成する。このタイプの設計では、異方性に(チャネルの側面に沿って垂直下向きに)エッチングし、次いで等方性に(ワイヤ形状のチャネルの下へ側方に)エッチングすることが望ましいことがある。それにより、これらのエッチング除去された領域を適切な導電性ゲート電極材料で充填することができ、それにより、導電性ゲート電極材料がチャネルのゲートオールアラウンド(GAA)を覆うことができる。したがって、次世代IC設計の製造は、エッチングプロセスの組込みから大きな利益を得ることができ、エッチング異方性度を、1つまたは複数のプロセスパラメータの変更によって「実行中に(on the fly)」調節、制御、および/または調整することができる。
エッチング活性化プラズマからのVUVの放出の調節および/または制御
近年、Ne、Xe、Kr、Ar、およびそれらの組合せなど様々な不活性ガスが、ALEプロセスで表面エッチング反応を活性化するために使用されるプラズマを生成するための効果的なガスとなり得るが、上記のガスの1つまたは複数と組み合わせたヘリウムの使用が、様々な実施形態において追加の利益を提供することが判明している。特定の理論に限定されることなく、ヘリウム原子の小さなサイズにより、エッチング活性化プラズマのヘリウム成分は、エッチャントの吸着層の構造内に、またはさらにはエッチングされる下層材料の構造内に進入する(またはより深く進入する)ことができ、それにより、そこでのエッチング反応をより効果的に活性化すると考えられる。
したがって、ヘリウムをベースとするプラズマを採用するALE操作を有益に採用することができる。しかし、特定のタイプのエッチングプロセスでヘリウムがプラズマ成分として使用されるときに生じ得るヘリウム関連の1つの問題は、その励起状態の幾つかが、真空紫外(VUV)放射を放出することである。以下に詳細に論じる図2は、基底状態から20.7および19.8エレクトロンボルト(eV)だけ離れたヘリウムの2つの励起状態を示し、これらの励起状態は、それぞれ59および62ナノメートル(nm)の光子の放出によって基底状態に減衰し、これらの光子は、VUV範囲(約200〜10nmまたは約6.20〜124eVと考えられる)内に十分に入る短波長の光である。
VUV放射は、非常に高いエネルギーを有し、したがって、放出されるVUV光子自体が(例えば表面吸着エッチャントの反応を活性化させることによって)表面エッチングを引き起こすことがあり、VUV光子は、(この場合はヘリウムから)等方性で放出されるので、基板を等方性にエッチングする。これは、(例えば基板の表面に垂直な)特定の指向性を有する制御された異方性エッチングが望まれる場合に問題となり得る。他方、特定のプロセスで等方性エッチングが望まれる場合、VUV光子の等方性の放出が利益をもたらすことがある。
しかし、本明細書でさらに述べるように、幾つかの状況では、VUV放出の等方性を利用して、ALEプロセスでの等方性/異方性度に対する制御および/または調節可能性を提供することができる。例えば、ALEプロセスで使用されるエッチング活性化プラズマがヘリウムなどのVUV放出体を含む場合、このプラズマ成分からのVUV放出の度合いを調節および/または制御するためのメカニズムは、ALEプロセスの等方性/異方性を調節および/または制御するためのメカニズムも提供することができる。これを実現する1つの方法は、VUV光子の放出が生じる前に、放出体ガスを(少なくともある程度)脱励起してそこからエネルギーを吸収するように働く追加のガスをエッチング活性化プラズマに含むことである。このエネルギー伝達が生じるためのメカニズムは、VUV放出体ガスの原子とエネルギー吸収体ガスの原子との非弾性衝突によるものである。したがって、ヘリウムの場合には、ヘリウムがVUV光子を放出する機会を得る前に、エネルギー吸収体ガスを使用して、ヘリウムを衝突脱励起することができる。
ヘリウムを脱励起するために好適に使用することができる1つのそのようなエネルギー吸収体ガスは、ネオンである。このプロセスのエネルギー図が、図2に概略的に示される。ヘリウムからネオンへの衝突エネルギー伝達の原理は、ヘリウム−ネオンレーザで長きにわたり使用されていることに留意されたい。図の右側は、エネルギーE1を有する基底状態と共に、エネルギーE2、E3、E4、およびE5を有するネオンの4つの電子励起状態を示す。図の左側は、エネルギーE3’およびE5’を有するヘリウムの2つの電子励起状態を示す(便宜上、各電子励起状態を、その対応するエネルギーE2、E3、E3’などによって表す)。図に示されるように、ヘリウムのE3’およびE5’励起状態は、基底状態E1(0eVとみなす)に対してそれぞれ19.8eVおよび20.7eVのエネルギーを有する。さらに、図に示されるように、ヘリウムのE3’およびE5’励起状態は、それぞれ波長62nmおよび59nmのVUV光子の放出によって、基底状態E1に放射減衰することがある。
しかし、図2は、19.8eVおよび20.7eVのエネルギーを有するヘリウムの励起状態(符号E3’およびE5’)が、ネオンの電子励起状態のうちの2つ(E3およびE5)にエネルギー的に非常に近いことも示す。E3とE3’の間およびE5とE5’の間の小さなエネルギーオフセット(約50MeV)は、衝突に関与する原子の運動エネルギーによって供給することができる。その結果、(これらの励起状態の1つでの)ヘリウムからネオンへの共鳴エネルギー伝達を、(図に示されるように)衝突により誘発することができる。例えば、励起状態E3’でのヘリウムは、ネオンへの衝突エネルギー伝達によって非放射でその基底状態に戻ることができ、このとき、ネオンは、基底状態E1から励起状態E3に励起される。同様に、ヘリウムの励起状態E5’は、衝突エネルギー伝達、および基底状態E1から励起状態E5へのネオンの励起によって、非放射でクエンチされることがある。
当然、ネオンが一旦励起し、次いでそれ自体がVUV光子を放出した場合には、このヘリウムからネオンへの共鳴エネルギー伝達は望ましい効果を有さない。しかし、ネオンに関する減衰経路の図2の図示によって示されるように、ネオンは、主にそのE3およびE5励起状態から中間のE2状態に減衰し、状態E5とE2の間および状態E3とE2の間のエネルギーギャップは、高エネルギーVUV範囲ではなく、可視または赤外範囲内で放出される光子に対応する。特に、ネオンのE5状態からE2状態への放射減衰は、632.8nmの光子(可視、赤)を発生し、ネオンのE3からE2状態への減衰は、1152nmの光子(赤外)の放出をもたらす。
サイクルを完了するために、ネオンはその基底状態に戻らなければならず、それにより再び、衝突によってヘリウムからエネルギーを吸収することができる。しかし、ネオンは、発光によって励起状態E2からその基底状態E1に戻ることができず、衝突脱励起を必要とする。これは、ネオン原子と処理チャンバの物理的構造(例えば、プラズマを発生するために使用されるプレート電極、処理チャンバの壁、または何らかの他の構造)との間の衝突エネルギー伝達によって達成される。物理的構造は、残りの電子エネルギーのための吸着浴として効果的に働く。ネオンのE2状態の脱励起は、ネオン原子が短時間で壁に達することができるようにネオン原子のための短い経路を有するプロセスチャンバ設計を必要とすることに留意すべきである。特に、ヘリウム−ネオンの衝突エネルギー伝達プロセスをサポートするために、狭いチャンバギャップを有するプラズマ処理が必要とされる。
したがって、エッチング活性化プラズマ中でヘリウムにネオンを同伴させることは、そのプラズマからのVUV放射の放出を調節および/または制御することができるメカニズムを提供し、この機能により、ALEプロセスにおける異方性エッチングの度合いを調節および/または制御することができるようになる。実際には、複数回のALEサイクルを含むエッチングの過程において(各サイクルで使用されるエッチャントの吸着制限量により、ALEの1回のサイクルは小さい厚さの材料しか除去しないので、複数回が典型的である)、表面エッチング反応を活性化するために使用されるプラズマからのVUV放射の放出を1回または複数回調節して、エッチングプロセス中に1つまたは複数のVUV放出プロファイルを実現する(例えばエッチング異方性を変える)ことができる。
この機能により、ALEプロセスは、例えば、(上述した印加されるEM場の影響によって)実質的に異方性の垂直エッチングとして始まり、次いで、数回のサイクル後に(および/または何らかの他のプロセスパラメータまたは基板特性が満たされた後に)、エッチングプラズマからのVUV放出のレベルを高めることによって等方性エッチングに変わる。その後、製造される基板フィーチャの種類に応じて、異方性の垂直エッチングに再び変換することなどもできる。
例えば、そのような制御が有用となり得る1つの特定のシナリオは、いわゆるゲートオールアラウンド(GAA)トランジスタの上述した例である。このタイプの設計では、異方性に(GAAトランジスタのチャネル領域の側面に沿って垂直下向きに)エッチングし、次いで等方性に(したがって、ワイヤ形状のチャネル領域の下をエッチングする実質的に側方への成分が存在する)エッチングすることが望ましいことがあり、調節可能なVUV放出強度を有することによって提供されるエッチング異方性の制御が、この機能を実現するためのメカニズムを提供することができる。
(最新のGAA設計でのゲート形成とは対照的に)エッチングマスクを採用する典型的なフィーチャエッチングなど他の実施形態では、目標は、できるだけ実質的に垂直に(すなわち基板の平面に垂直に)エッチングすることであり得る。なぜなら、水平エッチング成分は、エッチングマスクの下をエッチングすることがあり、形成される基板デバイス構造の損壊を引き起こすことがあるからである。このシナリオにおいて、この目標が実現可能になる程度までエッチング全体に関する等方性エッチング成分を最小限にすることが望ましく、したがって、これを実現するために、VUV放出を一貫して最小限にするようにプラズマ組成を調節することができる。これは、最も一般的なシナリオであり得るが、「等方性ALE」の場合など、等方性、したがってVUV放出を最大限にすることが望ましい場合もある。一般に、エッチングプロセスの様々な段階は、様々な度合いで等方性または異方性にすることが望まれることがあり、したがって、実施形態に応じて、プラズマ組成の変更によってプラズマVUV放出を変えることによって、様々な段階中に各ターゲットエッチング等方性/異方性を実現することが可能であり得る。
幾つかの実施形態では、VUV放出の調節は、プラズマ中のVUV放出体ガスと衝突エネルギー吸収体ガスとの濃度比を変えることを含むことがある。VUV放出体ガスがヘリウムであり、衝突エネルギー吸収体ガスがネオンである場合には、これは、例えば、プラズマ中のヘリウムとネオンの濃度比を変えるためにある比率で処理チャンバ内にヘリウムおよび/またはネオンを流すことによって行うことができる。処理チャンバ内へのヘリウムおよびネオンの連続的な供給が存在する場合、供給中の相対比率を変えて、プラズマ組成を変えることができる。連続的な供給がなく、ヘリウムおよびネオンの予め流された一定量のみがチャンバ内に存在する場合、チャンバ内での比率を変えるために一方または他方の流れを確立することができる。いずれにせよ、相対比率を変えるために流れが使用される場合、望まれるVUV放出プロファイルに応じて、放出強度は、処理チャンバ内にヘリウムを流してプラズマ中のネオンに対するヘリウムの比率を増加させることによって上方向に調節することができ、または処理チャンバ内にネオンを流してプラズマ中のネオンに対するヘリウムの比率を減少させるすことによって下方向に調節することができる。VUV放出体または衝突エネルギー吸収体の一方または両方のチャンバ内への流れは、チャンバの全圧が所望のレベルに留まるようにチャンバからガスを除去することによってバランス調整することができる。したがって、ヘリウムとネオンの様々なガス混合比を使用することによって、エッチング活性化プラズマから生じるVUV放出の量を調整することができる。最高のVUV放出強度は、ネオンをほとんどまたは全く含まないガス混合物によって生成され、最低のVUV放出強度は、約50%以上のネオンを含むガス混合物によって生成されることが分かる。
プラズマ中にネオンを含むことによって、およびプラズマ中のヘリウムとネオンの相対比率を変えることによってヘリウムプラズマからのVUV放出強度を変更することができることを実証するために、幾つかの実験を行った。実験は、2つの異なるエッチングチャンバ内で行った。
これらのエッチングチャンバの第1のものは、容量結合プラズマ(CCP)リアクタとした。これは、この場合の設置時、小さなギャップのリアクタの一例である。ここでは、具体的には、基板ホルダと他方のプレート状の電極(それらの間でプラズマが発生される)の間のギャップがわずか24mm(約1インチ)とした。小さなギャップは、ネオンとプラズマチャンバの構造との衝突を促進し、チャンバとのより大きい衝突エネルギー伝達、およびネオンのE2状態からその基底状態へのより高速の緩和をもたらす。このチャンバ内で発生されたヘリウム−ネオンプラズマに対応する、VUV調節機能を示す結果が図3に示されている。具体的には、図3は、4つの異なるチャンバ圧力レベルで行った4つの実験に関して、CCPリアクタ内へのヘリウム流量に対するネオンの632.8nmラインの放出強度をプロットする。4つの圧力は、図の凡例で示されているように、40mTorr〜400mTorrの範囲内であった(より高いチャンバ全圧は、より高いネオン分圧に対応する)。実際上、VUV放出を直接測定するのは難しいので(高真空環境外でVUVが吸着されるため)、図2に示される衝突共鳴エネルギー伝達プロセスによるヘリウムからネオンへのエネルギーの流れを評価するために、ネオンの632.8nmラインからの放出が使用されることに留意されたい。
図3での実験結果は、4つの異なるチャンバ全圧レベルそれぞれで、ヘリウム流量への約633nmの放出強度の依存性を示す。すなわち、より多くのヘリウムは、おそらくより多くのVUV放出を発生するが、ヘリウムとネオンの衝突の頻度も高め、ヘリウムのより多くの衝突脱励起をもたらし、より多くのエネルギーがネオンに流れ、したがってより多くの633nm放出が生じる。また、これらの実験は、所与のヘリウム流量に関して、(それぞれ250および550sccmのネオン流量に対応する)100mTorrおよび250mTorrのチャンバ全圧が最高の633nm放出をもたらし、したがってヘリウムからネオンへの最大のエネルギー伝達およびVUV放出の最大限の抑制をもたらすことを示す。特定の理論に限定されることなく、これらの中間チャンバ圧力レベルは、ヘリウム−ネオン衝突頻度と、ネオンと処理チャンバの構造との衝突の頻度とのバランスを提供することによって、ヘリウムからネオンへのエネルギー伝達を増加させると考えられる。より高い圧力は、ヘリウム−ネオン衝突事象の頻度を高めるが、圧力が高すぎる場合、プラズマの内部でのネオン原子がそれら自体の脱励起に必要とされる十分な頻度で処理チャンバの構造に達しない程にまで、ネオンの平均自由行程が短縮される。この脱励起がないと、図2に示されるエネルギー伝達サイクルを完了させることができない。(E2励起状態からのネオンの減衰の上記の論述を参照)。したがって、これらの実験に基づいて、一般に、所与のヘリウム分圧レベルで最大限のVUV抑制を提供することになる何らかの最適なネオン分圧レベルが存在すると結論付けられる。
第2のタイプのエッチングチャンバ内で行った同様の実験が図4に示されている。第2のエッチングチャンバは、誘導結合プラズマ(ICP)リアクタとした。これは、大きなギャップのリアクタの一例であり、この場合には、基板ホルダとプラズマ発生ハードウェアとの間のギャップを約6インチとした。大きなギャップは、(小さなギャップのリアクタに比べて)ネオンとプラズマチャンバの構造との衝突の頻度を減少させ、ネオンの衝突脱励起のはるかに大きい減少、およびネオンのE2状態から(その基底状態へ)のはるかに遅い緩和をもたらす。
図3と同様に、図4は、異なるチャンバ全圧レベルで行った4つの実験の結果を示し、各実験に関して、リアクタ内へのヘリウム流量に対する、ネオンの約633nmラインの放出強度をプロットする。ここで、4つの実験に関するチャンバ全圧は、20mTorr〜300mTorrの範囲内であった。ここでも、所与のヘリウム流量に関して、中間チャンバ圧力が最大のVUV抑制機能をもたらすことが分かる。しかし、この大きなギャップのリアクタの場合には、最高の633nm放出をもたらす中間チャンバ圧力は、40mTorrおよび90mTorrであり、小さなギャップのリアクタにおいてVUV抑制を最も良く促すことが判明した100mTorrおよび250mTorrの圧力レベル(図3参照)よりもかなり低い範囲であった。さらに、40mTorrでさえ、大きなギャップのリアクタでの実験は、わずかな633nm信号しか生じず、VUV抑制がほとんど行われないことを示す。ここでも、特定の理論に限定されずに、何らかの点で、より高い圧力は、ネオン原子がそれら自体の衝突脱励起に必要とされる処理チャンバの構造に頻繁に達することができない程にまで、ネオンの平均自由行程を短縮する。この脱励起がないと、図2に示されるエネルギー伝達サイクルを完了させることができない。ネオンがエッチングチャンバの構造に到達するまで進まなければならない距離は、小さなギャップのリアクタに比べて大きなギャップのリアクタにおいてはるかに大きいので、VUV抑制を実現することができる圧力は、小さなギャップのリアクタよりも低い。したがって、大きなギャップのリアクタ(これらの実験で使用したICPリアクタなど)でのVUV抑制、調節、および/または制御は、特定の低圧レジームに限定されると結論付けられる。いずれにせよ、図3および図4は、VUV放出を制御および/または調節するために、様々なエッチングチャンバ内で、VUV放出体ガスとエネルギー吸収体ガスとの間の衝突エネルギー伝達プロセスを使用することができることを示す。
したがって、(CCPリアクタで提供されることがあるような)小さなチャンバギャップを採用するエッチングリアクタは、典型的なICPリアクタなど典型的な大きなギャップのリアクタで行うことができるよりもVUV放出の制御および/または調節の機会がかなり増すことに留意されたい。しかし、幾つかの実施形態では、典型的なICPリアクタ(または他の大きなギャップのリアクタ)を修正して1つまたは複数の構成要素を追加し、その構成要素が、より高圧のレジームの結果として低い平均自由行程を有するにも関わらず、ネオン原子が衝突することができる構造を提供することが実用上可能であり得ることに留意されたい。例えば、大きなギャップのリアクタのギャップ領域内部に提供されるグリッドまたはメッシュが、そのような構造となり得る。別の例は、基板の平面に垂直な中心軸を有するように方向付けられた(場合によってはギャップや穴などを有する)1組の同心円筒体である。当然、幾つかの実施形態では、上述したように、特定の用途のためにVUV放出強度を調整することが望まれることがあり、したがって実際には、最大限のVUV抑制の実現が目標ではないこともあることを理解すべきである。
したがって、エッチングチャンバ内のプラズマからのVUV放射の放出を制御および/または調節する前述の機能に鑑みて、エッチング反応活性化プラズマを利用し、そのプラズマからのVUV放射の放出を調節する1つまたは複数の操作を採用する原子層エッチング(ALE)技法が開示される。図5は、VUV調節を採用するそのような反復ALEプロセスの流れ図を提供する。この図に示されるように、反復ALEプロセスは、エッチャントが半導体基板の表面上に吸着制限層を形成するように表面上にエッチャントを吸着する操作511と、次いで、吸着されたエッチャントの周囲の体積から未吸収のおよび/または脱着したエッチャントを除去する操作512とから始まる。次いで、図に示されるように、操作513で、VUV放射を放出する処理チャンバ内でヘリウム−ネオンプラズマが発生され、次いで操作514で、吸着されたエッチャントがプラズマと接触されて、基板の表面をエッチングする。
この時点で、1回のALEサイクルが実施されており、基板材料の1層のエッチング除去をもたらす。典型的には、複数回のALEサイクルがエッチングプロセスを構成するので、図5は、次に、特定のALEプロセスシーケンスを達成するために、前述のALEサイクルを任意の回数(例えばN回)繰り返すことができることを示す。したがって、操作514で表面がエッチングされた後、プロセスは、論理ブロック515に進み、ここで、N回のALEサイクルが行われたかどうかが判断される。特定の回数(N回)のALEサイクルが行われた場合、プロセスは終了する。そうでなく、N回未満のサイクルが行われた場合、プロセスは論理ブロック516に進む。
論理ブロック516は、エッチング活性化プラズマのVUV放出強度を有益に調節することができるか否かを判断することに関連する。プラズマから放出されるVUV放射の強度、および他の因子によって、先行のALEサイクルで行われた基板エッチングは実質的に等方性であったり、実質的に異方性の成分を有していたりすることがあり、また、先行のALEサイクル中の等方性/異方性度が最適であったり、何らかの点で最適でなかったりすることもある。
したがって、幾つかの実施形態では、採用される特定のALEプロセスに関して十分に等方性または異方性であるかどうかを判断するために、エッチング活性化プラズマの特性を測定することができる。例えば、プラズマのVUV放出の強度およびしたがってその等方性/異方性度を評価するために、エッチング活性化プラズマの励起状態種の放出バンドの放出強度を測定することができる。特定のそのような実施形態において、測定される放出バンドは、図3および図4に示されるように632.8nmに中心があるネオンの可視バンドでよい。
他の実施形態では、VUV放出調節が保証されるか否かの判断は、エッチングされる基板の特性に基づいて評価することができる。例えば、ALEプロセスでエッチングされる1つまたは複数の基板フィーチャのプロファイルを計測ツールで測定して、VUV放出調節が有益であり得るかどうかを判断することができる。より特定的には、例えば、エッチングされるフィーチャの側壁の測定された陥凹は、プラズマの等方性をより小さくすべきであり、VUV放出を減少させるべきであることを示すことがある。
さらなる他の実施形態では、今完了したALEサイクルで使用されたエッチング活性化プラズマが実質的に最適であった(または少なくともかなり最適であった)が、全体のエッチングプロセスは、エッチングされる基板フィーチャの設計により、次のサイクルで異方性度が変わる(例えば、(上で論じたように)GAAトランジスタでのゲート形成を実現するために垂直エッチングから水平エッチングに変わる)と推定されるようなものであることがあり得る。
したがって、図5の論理ブロック516に示されるように、プラズマのVUV放出強度を有益に調節することができるかどうかが判断される。ここで調節を行うのは、例えば、(測定されたプラズマおよび/または基板の特性から判断されるように)今完了したALEサイクルにおいてVUV放出強度が最適でなかったからであり、または全体的なエッチングプロセスにおいてVUV放出強度を調節するのに適切な時間であるからである。有益に調節することができると判断された場合、プロセスは操作517に進み、ここで、示されるように、プラズマ中のヘリウムとネオンの濃度比を変えることによってプラズマVUV放出が調節される。幾つかの実施形態では、これは、プラズマ中のヘリウムとネオンの濃度比を変えるために、測定された特性に応じて、処理チャンバ内へのヘリウムおよび/またはネオンの流量をある比率に設定することによって実現することができる。いずれにせよ、VUV放出調節が行われると、または論理ブロック516で調節が不要/無益と判断された場合、プロセスは、再び操作511〜514のALEサイクルを繰り返す。
示されるように、図5は、単一の基板をエッチングしながら、エッチング活性化プラズマから放出されるVUV放射の調節を組み込むALEプロセスシーケンスを示す。これは、例えば、ALEサイクルの継続中に、エッチング活性化プラズマの特性および/またはエッチングされる基板の特性が監視される場合に対応することがある。しかし、幾つかの実施形態では、所与の基板に関するALEプロセスの特性に関する情報は、エッチング後に得られる。したがって、この情報は、処理される一連の基板における次の基板のエッチングに関するプロセスパラメータの調節において有益に採用することができる。これらのタイプの実施形態において、エッチングされる基板の特性(例えば、その表面上の1つまたは複数のエッチングされるフィーチャの特性)を測定するために使用される計測ツールは、(必須ではないが)エッチングプロセスチャンバと(場合によっては同じクラスタツールにあるが)別個のデバイスでよく、基板がエッチングチャンバから出た後に上記のデータを獲得するために使用することができる。したがって、例えば、幾つかの実施形態では、個別の計測ツールからのフィードバックループを確立することができ、これは、エッチングプロファイル情報をエッチングチャンバの動作にフィードバックする。測定されたエッチングプロファイルが、要求される性能範囲内にない場合、ヘリウムとネオンの比を自動的に調節して補償することができる。これらの種類の実施形態では、一連の基板の文脈でVUV放出調節が行われるが、図5に示される原理は、さらに以下のように適用できることに留意されたい。すなわち、ALE動作のシーケンス中、VUV放出を調節することによってエッチングプロセスが利益を得られるかどうか判断することができ、そうである場合、後続のALEサイクルを改良するために、プラズマ中のヘリウムとネオンの濃度比を変えることによって調節が行われる。
追加のALEプロセスパラメータの詳細
(i)エッチャント照射および吸着:上記のALEサイクルのエッチャント照射および吸着動作中、エッチャントは、約100〜250sccm(standard cubic centimeter per minute:標準状態での立方センチメートル毎分)の間、またはより特定的には約150〜500sccmの間のレートで反応チャンバに流すことができる。実施形態によって、エッチャントは、約50〜250mTorrの間、またはより特定的には約100〜150mTorrの間のチャンバ内での分圧を有するように反応チャンバに流されることがある。流れの持続時間は、約0.5〜30秒の間、またはより特定的には約10〜20秒の間でよい。幾つかの実施形態では、このステップは、プラズマ強化されることもある。RF出力レベルは、約100〜1000ワットの間、または約200〜700ワットの間でよく、幾つかの実施形態では、誘導結合リアクタのアンテナへの約400ワットのRF出力、または容量結合リアクタの電極への同じ出力レベルでよい。
(ii)照射後のエッチャントの除去:上述したALEサイクルの未吸着のおよび/または脱着したエッチャントを除去する照射後の操作中、反応チャンバの不活性パージが採用されることがある。パージは、約100〜500sccmの間のレートで約1〜10秒間、またはより特定的には約1〜3秒間、または約2秒間、反応チャンバに不活性パージガス(除去ガスとして使用することができるHeおよび/またはNe、ならびにまたAr、Kr、および/またはXe)を流すものでよい。
(iii)プラズマ発生およびエッチング活性化:上述したALEサイクルのプラズマ活性化反応/変換操作中、VUV放出体ガス(ヘリウムなど)および衝突エネルギー吸収体ガス(ネオンなど)のイオンおよび/またはフリーラジカルを含む処理チャンバ内でプラズマを発生させることができる。プラズマは、処理チャンバに既に流された(または流れている)これらのガスにRF電磁(EM)放射を印加することによって生成される。プラズマ発生中のこれらのプラズマ前駆体に関する可能な流量は、約100〜250sccmの間、もしくはより特定的には約150〜500sccmの間でよく、または反応チャンバへの流量は、約50〜250mTorrの間、もしくはより特定的には約100〜150mTorrの間のVUV放出体ガスと衝突エネルギー吸収体ガスとの合計の分圧を確立するようなものでよい。
プラズマを発生するためのRF出力は、13.56MHzの周波数で(実施形態によっては、27.12MHz、40.68MHz、または54.24MHzなど13.56MHzの正の整数倍(および時として60MHzなど非整数倍)を使用することもでき、約13.56MHzまたはその倍数付近での幾らかの周波数調整を採用することもできる)、約30〜6000Wの間、または約200〜3100Wの間、または約300〜1100Wn間、または約350〜550Wの間、または約30〜100Wの間、または約400Wでよい。RF出力は、約0.1〜30秒間オンに切り替えられたままでよく、その結果、プラズマのイオンおよび/またはラジカルに対する吸着されたエッチャントの約0.1〜30秒間の対応する露出時間をもたらし、表面エッチング反応を引き起こす。より特定的には、約0.5〜3秒の間、または約0.5〜2秒の間、または約1〜2秒の間にわたってRF出力がオンに切り替えられる(吸着されたエッチャントがプラズマに露出される)ことがある。
さらに、従来、約13.56MHzに設定されたRF周波数で高周波プラズマが発生されるが、幾つかの構成では、周波数は、この標準値とは異なる値に浮動させることができる。周波数が(典型的には約±5%だけ)浮動するのを許し、その一方で、インピーダンス整合を所定の負荷(例えば、負荷は周波数依存でよいが、例えば50オーム負荷)に固定することによって、プラズマは、はるかに急速に安定することができ、この帰結は、時としてALEサイクルに関連付けられる非常に短いプラズマ持続時間を使用するときに重要となり得る。さらに、上記のように、特定の実施形態では、はるかに高周波のプラズマを発生させるために、13.56MHzの標準のHF値の倍数(または幾つかの実施形態では、60MHzや80MHzなど非倍数)を使用することができる。13.56MHzの標準値が使用されるとき、13.56MHzのより高い周波数倍数で発生されるHF放射が動的に調整されることもある。使用することができる13.56MHzの倍数は、実施形態によって、27.12MHz(=2×13.56MHz)、40.68MHz(=3×13.56MHz)、54.24MHz(=4×13.56MHz)などを含む。13.56MHzの倍数付近での周波数調整は、約±5%の周波数変動を含むことがある。より高いRF周波数は、RF出力1ワット当たりのより高いプラズマ密度、より低いシート電圧、および基板への衝突時のより低いイオン速度、ならびに、目標が等方性でエッチングすることであるときに有益となり得る指向性をもたらす。
(iv)エッチング副生成物および/または脱着したエッチャント種の除去:ALEサイクルにおいて、エッチング後の副生成物除去操作が採用されるとき、約100〜500sccmの間の流量で、1〜10秒の間、またはより特定的には約1〜3秒の間、または約2秒にわたって、不活性パージガス(例えばArまたはN2)でチャンバをパージすることによって除去を達成することができる。圧力に関して、パージ中のチャンバ内部の圧力は、約50〜250mTorrの間、またはより特定的には約50〜150mTorrの間でよい。上述した照射後の除去操作と共に、幾つかの実施形態では、このエッチング後の除去中にPTBが採用されることもある。したがって、照射後およびエッチング後の除去操作は、一般に、基板を取り囲む体積をパージすることや、またはベース圧力までポンプダウンすることによって真空化する(「ポンプツーベース」)ことなどによって行うことができる。
基板処理装置
本明細書で述べる方法は、任意の適切な半導体基板処理装置で実施することができる。適切な装置は、プロセス操作を達成するためのハードウェアと、本明細書で開示する様々なプラズマVUV放出調節および/または制御技法ならびにALE操作に従ってプロセス操作を制御するための命令を有するシステム制御装置とを含む。幾つかの実施形態では、ハードウェアは、マルチステーション処理ツール(以下に述べる)内に含まれる1つまたは複数のプロセスステーション/モジュールと、本明細書で述べる技法および操作に従って装置のプロセス操作を制御するための機械可読命令を有する(または機械可読命令へのアクセスを有する)制御装置(以下に述べる)とを含むことがある。
したがって、以下に述べる様々な容量結合および誘導結合プラズマリアクタの文脈でより特定的に述べるように、適切な基板処理装置は、一般に、処理チャンバと、プラズマ発生器と、処理チャンバ内にガスを流すように構成された1つまたは複数のガス流入口と、真空ポンプと、真空ポンプへの弁制御式管路と、これらの構成要素の動作を制御するための制御装置とを含むことがある。幾つかの実施形態では、そのような装置は、さらに、その処理チャンバ内で生成されたプラズマの放出強度を測定するための光学検出器を含むことがあり、上記の装置によって具現化される処理モジュールは、この装置を使用して半導体基板上にエッチングされるフィーチャのエッチングプロファイルを測定するための計測ツールへのアクセスを有することがある。以下の説明は、適切なエッチングチャンバをより詳細に示す。
ALE操作で使用するための容量結合プラズマリアクタ
特定の実施形態では、吸着のための塩素プラズマと、脱着のためのヘリウム−ネオン(ヘリウム−ネオン)プラズマとを採用し、VUV放出を調節および/または制御することができる原子層エッチング(ALE)操作に適していることがある容量結合プラズマ(CCP)リアクタは、米国特許出願公開第12/367,754号として2009年2月9日に出願された「Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non−contact particle seal」という名称の米国特許第8,552,334号に記載されており、その全体をあらゆる目的で参照により本明細書に組み込む。
例えば、図6A〜図6Cは、調節可能なギャップを有する容量結合閉じ込めRFプラズマリアクタ600の一実施形態を示す。示されるように、真空処理チャンバ602は、下側電極606を収容する内部空間を取り囲むチャンバハウジング604を含む。チャンバ602の上部で、上側電極608は、下側電極606から垂直方向に離隔される。(プラズマ発生のために使用されるように構成された)上側電極608と下側電極606の平坦面は、実質的に平行であり、電極間の垂直方向に対して直交する。好ましくは、上側電極608と下側電極606は、円形であり、垂直軸に関して同軸である。上側電極608の下面は、下側電極606の上面に面する。離隔されて面する電極表面は、調節可能なギャップ610を間に画定する。プラズマ発生中、下側電極606は、RF電源(整合)620によってRF出力を供給される。RF出力は、RF供給管路622、RFストラップ624、およびRF出力部材626を介して下側電極606に供給される。接地シールド636が、RF出力部材626を取り囲んで、より均一なRF場を下側電極606に提供することができる。米国特許出願公開第2008/0171444号(その全体をあらゆる目的で参照により本明細書に援用する)に記載されているように、ウェハは、ウェハポート682を通して挿入され、ギャップ610内で、処理のために下側電極606上に支持され、プロセスガスが、ギャップ610に供給され、RF出力によってプラズマ状態に励起される。上側電極608は、通電されても接地されてもよい。
図6A〜図6Cに示される実施形態では、下側電極606は、下側電極支持プレート616上に支持される。下側電極606と下側電極支持プレート616との間に挿間される絶縁体リング614は、下側電極606を支持プレート616から絶縁する。RFバイアスハウジング630は、下側電極606をRFバイアスハウジングボウル632上に支持する。ボウル632は、RFバイアスハウジング630のアーム634によって、チャンバ壁プレート618の開口を通して管路支持プレート638に接続される。好ましい実施形態では、RFバイアスハウジングボウル632とRFバイアスハウジングアーム634は、1つの構成要素として一体形成されるが、アーム634とボウル632は、一体にボルト留めまたは接合された2つの個別の構成要素でもよい。
RFバイアスハウジングアーム634は、下側電極606の裏側の空間で、RF出力および諸設備、例えばガスクーラント、液体クーラント、RFエネルギー、リフトピン制御用のケーブル、電気監視および作動信号を真空チャンバ602外部から真空チャンバ602内部に送るための1つまたは複数の中空経路を含む。RF供給管路622は、RFバイアスハウジングアーム634から絶縁され、RFバイアスハウジングアーム634は、RF電源620へのRF出力用の帰還経路を提供する。設備管路640は、設備構成要素用の経路を提供する。設備構成要素のさらなる詳細は、米国特許第5,948,704号および米国特許出願公開第2008/0171444号(どちらも、全体をあらゆる目的で参照により本明細書に組み込む)に記載されており、ここでは説明の便宜上、図示していない。ギャップ610は、好ましくは閉じ込めリングアセンブリ(図示せず)によって取り囲まれ、閉じ込めリングアセンブリの詳細は、米国特許出願公開第2007/0284045号(その全体をあらゆる目的で参照により本明細書に援用する)で見ることができる。
管路支持プレート638は、作動メカニズム642に取り付けられる。作動メカニズムの詳細は、米国特許出願公開第2008/0171444号(その全体をあらゆる目的で参照により本明細書に援用する)に記載されている。サーボ機械モータやステッパモータなどの作動メカニズム642が、ボールねじなどのねじギア646、およびボールねじを回転させるためのモータによって、垂直リニアベアリング644に取り付けられる。ギャップ610のサイズを調節するための動作中、作動メカニズム642は、垂直リニアベアリング644に沿って進む。図6Aは、作動メカニズム642がリニアベアリング644上で高い位置にあり、小さなギャップ610aを生じている配置を示す。図6Bは、作動メカニズム642がリニアベアリング644上で中間位置にあるときの配置を示す。図示されるように、下側電極606、RFバイアスハウジング630、管路支持プレート638、およびRF電源620は全て、チャンバハウジング604および上側電極608に対して低い位置に移動しており、中間サイズのギャップ610bを生じる。
図6Cは、作動メカニズム642がリニアベアリング上で低い位置にあるときの大きなギャップ610cを示す。好ましくは、上側電極608と下側電極606は、ギャップ調節中に同軸のままであり、ギャップにわたる上側電極と下側電極の向かい合う表面は平行のままである。
この実施形態は、マルチステップエッチングプロセス中のCCPチャンバ602内の下側電極606と上側電極608の間のギャップ610を調節できるようにし、例えば、300mmウェハまたはフラットパネルディスプレイなど大きな直径の基板にわたって均一なエッチングを維持する。特に、この実施形態は、下側電極606と上側電極608の間の調節可能なギャップを提供するのに必要な直線運動を容易にするための機械的な構成に関する。
図6Aは、近位端で管路支持プレート638に、および遠位端でチャンバ壁プレート618の段状フランジ628に封止された側方に偏向されたベロー650を示す。段状フランジの内径は、チャンバ壁プレート618の開口612を画定し、その開口612をRFバイアスハウジングアーム634が通過する。側方に偏向されたベロー650は、RFバイアスハウジング630、管路支持プレート638、および作動メカニズム642の垂直移動を可能にする一方で、真空シールを提供する。RFバイアスハウジング630と、管路支持プレート638と、作動メカニズム642とは、カンチレバーアセンブリと呼ぶこともできる。好ましくは、RF電源620は、カンチレバーアセンブリと共に移動し、管路支持プレート638に取り付けることができる。図6Bは、カンチレバーアセンブリが中間位置にあるときに中立位置にあるベロー650を示す。図6Cは、カンチレバーアセンブリが低い位置にあるときに側方に偏向されたベロー650を示す。
ラビリンスシール648が、ベロー650と、プラズマ処理チャンバハウジング604の内部との間の粒子バリアを提供する。固定シールド656が、チャンバ壁プレート618でのチャンバハウジング604の内側の内壁に不動に取り付けられて、ラビリンス溝660(スロット)を提供し、ラビリンス溝660内で、可動シールドプレート658は、カンチレバーアセンブリの垂直移動に対応するように垂直方向に移動する。可動シールドプレート658の外側部分は、下側電極606の全ての垂直位置でスロット内に留まる。
図示される実施形態では、ラビリンスシール648は、ラビリンス溝660を画定するチャンバ壁プレート618の開口612の周縁でチャンバ壁プレート618の内面に取り付けられた固定シールド656を含む。可動シールドプレート658が取り付けられ、RFバイアスハウジングアーム634から半径方向に延在し、ここで、アーム634は、チャンバ壁プレート618の開口612を通過する。可動シールドプレート658は、ラビリンス溝660内に延在し、第1のギャップによって固定シールド656から離隔され、第2のギャップによってチャンバ壁プレート618の内面から離隔されて、カンチレバーアセンブリが垂直に移動できるようにする。ラビリンスシール648は、ベロー650から剥落された粒子が移動して真空チャンバ内部に入るのを妨げ、プロセスガスプラズマからのラジカルがベロー650に移動するのを妨げる。ベロー650に入ったラジカルは堆積物を生成し、その後、その堆積物が剥落されるおそれがある。
図6Aは、カンチレバーアセンブリが高い位置にある(小さなギャップ610aである)ときに、RFバイアスハウジングアーム634の上方のラビリンス溝660内でより高い位置にある可動シールドプレート658を示す。図6Cは、カンチレバーアセンブリが低い位置にある(大きなギャップ610cである)ときに、RFバイアスハウジングアーム634の上方のラビリンス溝660内でより低い位置にある可動シールドプレート658を示す。図6Bは、カンチレバーアセンブリが中央位置にある(中程度のギャップ610b)ときのラビリンス溝660内部の中立または中央位置での可動シールドプレート658を示す。ラビリンスシール648は、RFバイアスハウジングアーム634に関して対称的なものとして示されているが、他の実施形態では、ラビリンスシール648は、RFバイアスアーム634に関して非対称でもよい。
ALE操作で使用するための誘導結合プラズマリアクタ
特定の実施形態では、吸着のための塩素プラズマと、脱着のためのヘリウム−ネオン(ヘリウム−ネオン)プラズマとを採用し、VUV放出を調節および/または制御することができる原子層エッチング(ALE)操作に適していることがある誘導結合プラズマ(ICP)リアクタをここで述べる。また、そのようなICPリアクタは、「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」という名称の2013年12月10日出願の米国特許出願公開第2014/0170853号に記載されており、その全体をあらゆる目的で参照により本明細書に組み込む。
例えば、図7は、本明細書での特定の実施形態を実施するのに適した誘導結合プラズマ700の断面図を概略的に示す。その一例は、Lam Research Corp.(米国カリフォルニア州フレモント)によって製造されているKiyo(商標)リアクタである。誘導結合プラズマエッチング装置700は、チャンバ壁701と窓711によって構造的に画定されるエッチングチャンバ全体を含む。チャンバ壁701は、ステンレス鋼またはアルミニウムから製造することができる。窓711は、水晶または他の誘電体材料から製造することができる。任意選択の内部プラズマグリッド750が、エッチングチャンバ全体を上側サブチャンバ702と下側サブチャンバ703に分割する。ほとんどの実施形態において、プラズマグリッド750を取り除くことができ、それにより、サブチャンバ702および703から形成されるチャンバ空間を利用する。チャック717が、底部内面付近で下側サブチャンバ703内部に位置決めされる。チャック717は、エッチングプロセスが行われる半導体ウェハ719を受け取って保持するように構成される。チャック717は、存在するときにはウェハ719を支持するための静電チャックでよい。幾つかの実施形態では、エッジリング(図示せず)が、チャック717を取り囲み、チャック717の上にあるときのウェハ719の上面とほぼ面一の上面を有する。また、チャック717は、ウェハをチャックおよびデチャックするための静電電極も含む。この目的のために、フィルタおよびDCクランプ電源(図示せず)を提供することができる。ウェハ719をチャック717から持ち上げるための他の制御システムを提供することもできる。チャック717は、RF電源723を使用して電気的に充電することができる。RF電源723は、接続部727を介して整合回路721に接続される。整合回路721は、接続部725を介してチャック717に接続される。このようにして、RF電源723がチャック717に接続される。
プラズマ発生のための要素は、窓711の上方に位置決めされたコイル733を含む。コイル733は、導電性材料から製造され、少なくとも完全な1ターンを含む。図7に示されるコイル733の例は、3ターンを含む。コイル733の断面は、記号で示されており、「×」で示されるコイルは、紙面に入る方向に回転して延び、「●」で示されるコイルは、紙面から出る方向に回転して延びる。また、プラズマ発生のための要素は、RF出力をコイル733に供給するように構成されたRF電源741を含む。一般に、RF電源741は、接続部745を介して整合回路739に接続される。整合回路739は、接続部743を介してコイル733に接続される。このようにして、RF電源741がコイル733に接続される。任意選択のファラデーシールド749が、コイル733と窓711の間に位置決めされる。ファラデーシールド749は、コイル733に対して離隔した関係で維持される。ファラデーシールド749は、窓711のすぐ上方に配設される。コイル733と、ファラデーシールド749と、窓711とはそれぞれ、互いに実質的に平行になるように構成される。ファラデーシールドは、プラズマチャンバの誘電体窓上に金属または他の種が堆積するのを防止することができる。
プロセスガス(例えば、ヘリウム、ネオン、エッチャントなど)は、上側チャンバ内に位置決めされた1つまたは複数のメインガス流入口760を通して、および/または1つまたは複数の側部ガス流入口770を通して処理チャンバ内に流すことができる。同様に、明示的には示されていないが、同様のガス流入口を使用して、図6A〜図6Cに示される容量結合プラズマ処理チャンバにプロセスガスを供給することができる。真空ポンプ、例えば1段階もしくは2段階の機械的乾燥ポンプおよび/またはターボ分子ポンプ740を使用して、プロセスチャンバ724からプロセスガスを引き出し、プロセスチャンバ700内部の圧力を維持することができる。弁制御式管路を使用して、真空ポンプを処理チャンバに流体接続することができ、それにより、真空ポンプによって提供される真空環境の適用を選択的に制御する。これは、プラズマ処理の実施中に、スロットル弁(図示せず)または振り子弁(図示せず)など閉ループ制御式の流量制限デバイスを採用して行うことができる。同様に、図6A〜図6Cでの容量結合プラズマ処理チャンバへの真空ポンプおよび弁制御式流体接続も採用することができる。
装置の動作中、1つまたは複数のプロセスガスは、ガス流入口760および/または770を通して供給することができる。特定の実施形態では、プロセスガスは、メインガス流入口760のみを通して、または側部ガス流入口770のみを通して供給することができる。幾つかの場合には、図に示されるガス流入口は、例えば、より複雑なガス流入口、1つまたは複数のシャワーヘッドによって置き換えることができる。ファラデーシールド749および/または任意選択のグリッド750は、チャンバへのプロセスガスの送達を可能にする内部チャネルおよび穴を含むことがある。ファラデーシールド749と任意選択のグリッド750の一方または両方は、プロセスガスの送達のためのシャワーヘッドとして働くこともある。
高周波出力は、RF電源741からコイル733に供給されて、RF電流がコイル733を通って流れる。コイル733を通って流れるRF電流は、コイル733の周りで電磁場を発生する。電磁場は、上側サブチャンバ702内部で誘導電流を発生する。様々な発生されたイオンおよびラジカルとウェハ719との物理的および化学的相互作用が、ウェハのフィーチャを選択的にエッチングする。
上側サブチャンバ702と下側サブチャンバ703の両方が存在するようにプラズマグリッドが使用される場合、誘導電流は、上側サブチャンバ702内に存在するガスに作用して、上側サブチャンバ702内で電子−イオンプラズマを発生する。任意選択の内部プラズマグリッド750は、下側サブチャンバ703内のホット電子の量を制限する。幾つかの実施形態では、装置は、下側サブチャンバ703内に存在するプラズマがイオン−イオンプラズマであるように設計および動作される。
上側電子−イオンプラズマと下側イオン−イオンプラズマはどちらも、正イオンおよび負イオンを含むことがあるが、イオン−イオンプラズマは、正イオンに対して負イオンの比率がより大きい。揮発性のエッチング副生成物は、下側サブチャンバ703からポート722を通して除去することができる。
本明細書で開示するチャック717は、約10℃〜約250℃の範囲内の高温で動作することができる。温度は、エッチングプロセス操作および特定のレシピに依存する。幾つかの実施形態では、チャンバ701は、約1mTorr〜約95mTorrの範囲内の圧力で動作することもできる。特定の実施形態では、上で開示したように、圧力はより高いこともある。
チャンバ701は、クリーンルームまたは製造施設内に設置されるときに施設(図示せず)に結合することができる。施設は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管を含む。これらの施設は、ターゲット製造施設内に設置されるときにチャンバ701に結合される。さらに、チャンバ701は、典型的な自動化を使用してチャンバ701内外に半導体ウェハをロボットが移送できるようにする移送チャンバに結合することができる。
幾つかの実施形態では、システム制御装置730(1つまたは複数の物理的または論理的制御装置を含むことができる)は、エッチングチャンバの動作の幾つかまたは全てを制御する。システム制御装置730は、1つまたは複数のメモリデバイスと、1つまたは複数の処理装置とを含むことがある。
一体型の計測ツールを有するクラスタツール
図8は、真空移送モジュール838(VTM)とインターフェースする様々なモジュールを有する半導体プロセスクラスタツール800を示す。複数の保管施設および処理モジュールの間でウェハを「移送」するための移送モジュールの構成は、「クラスタツールアーキテクチャ」システムと呼ぶこともできる。エアロック830(ロードロックまたは移送モジュールとしても知られている)が、VTM838内に4つの処理モジュール820a〜820dと共に示されており、これらの処理モジュール820a〜820dは、様々な製造プロセスを行うために個別に最適化することができる。
例えば、処理モジュール820a〜820dは、基板エッチング(ALEプロセスによる1次元および2次元でのパターンのエッチングなど)、堆積(原子層堆積(ALD)プロセスによる共形被膜の堆積など)、イオン注入、ウェハ洗浄、ウェハ平坦化、スパッタリング、および/または他の半導体プロセスを行うように実装することができる。したがって、例えば、処理モジュールは、(上述したような)誘導結合プラズマリアクタでよく、(やはり上述したような)容量結合プラズマリアクタでもよい。
幾つかの実施形態では、基板処理モジュールの1つまたは複数(820a〜820dの任意のもの)を、ウェハ計測データの獲得に特化させることができ、このデータは、クラスタツールでの他のウェハ処理モジュールの動作を調節および/または制御するための基礎として使用することができる。例えば、ウェハ計測ツールモジュールは、エッチング操作後に1つまたは複数の基板フィーチャの1つまたは複数の特性を測定することができ、次いで、得られたデータを使用して、クラスタツールで行われるさらなるエッチング操作でのプロセスパラメータ(例えば、ALEプロセスを活性化するために使用されるプラズマ中のヘリウムとネオンの相対比率など)を調節することができる。特定のそのような実施形態では、計測モジュール/ツールによって測定される基板フィーチャは、半導体基板のフィーチャのエッチングプロファイルでよい。
図8に示されるものと同様のクラスタツールで行われる幾つかのエッチング操作において、エッチング操作中に測定を行うことができ、その測定結果を分析して、同じエッチングの進行中に、および/または(例えば異なる基板に対する)後続のエッチング動作中に、1つまたは複数のプロセスパラメータを調節および/または制御する方法を決定することができる。例えば、誘導結合プラズマリアクタまたは容量結合プラズマリアクタは、例えばALD表面反応を活性化するために使用されるプラズマからの1つまたは複数の可視、赤外、紫外(UV)、および/または真空紫外(VUV)放出バンドからの放出強度を測定するための光学検出器を採用することができる。幾つかの実施形態では、測定された放出強度は、本明細書で述べるALE操作で使用されるヘリウム−ネオンプラズマ中のヘリウムとネオンの相対濃度を調節するために分析して使用することができる。
再び図8を参照すると、エアロック830およびプロセスモジュール820は、「ステーション」と呼ぶこともできる。各ステーションは、ステーションをVTM838にインターフェースするファセット836を有する。各ファセットの内部で、センサ1〜18を使用して、それぞれのステーション間で移動されるときのウェハ826の通過を検出する。ロボット822は、ステーション間でウェハ826を移送する。一実施形態では、ロボット822は1つのアームを有し、別の実施形態では、ロボット822は2つのアームを有し、ここで、各アームがエンドエフェクタ824を有して、輸送のためにウェハ826などのウェハを取り上げる。雰囲気移送モジュール(ATM)840内のフロントエンドロボット832が、カセットまたはロードポートモジュール(LPM)842内のFOUP(Front Opening Unified Pod)834からエアロック830にウェハ826を移送するために使用される。プロセスモジュール820内部のモジュールセンタ828が、ウェハ826を配置するための1つの位置である。ATM840内のアライナ844が、ウェハを位置合わせするために使用される。
処理シーケンスの一例では、ウェハは、LPM842内のFOUP834の1つに配置される。フロントエンドロボット832は、ウェハをFOUP834からアライナ844に移送し、これは、エッチングまたは処理される前にウェハ826を適切に中心合わせできるようにする。位置合わせされた後、ウェハ826は、フロントエンドロボット832によってエアロック830に移動される。エアロックモジュールは、ATMとVTMの間で環境を一致させることができる機能を有するので、ウェハ826は、損傷を受けることなく2つの圧力環境の間で移動することが可能である。エアロックモジュール830から、ウェハ826は、ロボット822によって、VTM838を通してプロセスモジュール820a〜820dの1つの中に移動される。このウェハ移動を実現するために、ロボット822は、その各アームにあるエンドエフェクタ824を使用する。ウェハ826は、処理された後、ロボット822によってプロセスモジュール820a〜820dからエアロックモジュール830に移動される。ここから、フロントエンドロボット832によって、FOUP834の1つまたはアライナ844にウェハ826を移動させることができる。
システム制御装置(以下に述べる)を使用して、クラスタツールの動作を制御する(例えば、クラスタツールの様々なステーション間での基板移動を制御する)ことができることに留意すべきである。システム制御装置は、クラスタアーキテクチャにローカルなものでよく、または製造フロア内でクラスタツールの外部に、もしくは遠隔位置に位置されて、ネットワークを介してクラスタツールに接続されてもよい。
システム制御装置
図8は、プロセスツール800およびそのプロセスステーションのプロセス条件およびハードウェア状態を制御するために採用されるシステム制御装置850の一実施形態も示す。システム制御装置850は、1つまたは複数のメモリデバイス856と、1つまたは複数のマスストレージデバイス854と、1つまたは複数の処理装置852とを含むことがある。処理装置852は、1つまたは複数のCPU、ASIC、汎用コンピュータ、および/または専用コンピュータ、1つまたは複数のアナログおよび/またはデジタル入出力接続、1つまたは複数のステッパモータ制御装置ボードなどを含むことがある。
幾つかの実施形態では、システム制御装置850は、個々のプロセスステーションの操作を含むプロセスツール800の操作の幾つかまたは全てを制御する。システム制御装置850は、機械可読システム制御命令858、幾つかの実施形態では、マスストレージデバイス854からメモリデバイス856にロードされるシステム制御命令858を処理装置852上で実行することができる。システム制御命令858は、タイミング、気体および液体反応物の混合、チャンバおよび/またはステーション圧力、チャンバおよび/またはステーション温度、ウェハ温度、ターゲット出力レベル、RF出力レベル、RF露出時間、基板ペデスタル、チャック、および/またはサセプタ位置、およびプロセスツール800によって行われる特定のプロセスの他のパラメータを制御するための命令を含むことがある。これらのプロセスは、様々なタイプのプロセスを含むことができ、そのようなプロセスは、限定はしないが、ALEなどによる基板上の被膜のエッチングに関係するプロセス、ヘリウム−ネオンプラズマなどの使用による吸着された表面反応物のプラズマ活性化に関する操作、ネオンなどの衝突エネルギー吸収体ガスの使用によるヘリウムなどからのプラズマVUV放出の調節、および他のタイプの基板処理操作を含む。
したがって、例えば、表面エッチング反応を活性化するために使用されるプラズマからの放出強度を測定するための光学検出器を有するALEプロセスを実施するための装置に関して、システム制御装置850によって実行される機械可読命令858は、以下のような命令を含むことがある:
ヘリウムおよびネオンを含み、VUV放射を放出するプラズマを処理チャンバ内で発生するようにプラズマ発生器を操作するための命令;および
プラズマ中のヘリウムとネオンの濃度比を変えるためにある比率で処理チャンバ内にヘリウムおよび/またはネオンを流すことによってプラズマからのVUV放射の放出を調節するために、1つまたは複数のガス流入口を操作するための命令。
また、例えば、実施形態によっては、以下のような命令も含むことがある:
プラズマの放出バンドの放出強度を測定するために光学検出器を操作するための命令;および
測定された放出強度に応じて処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定するために、1つまたは複数のガス流入口を操作するための命令。
同様に、エッチングプロファイルを測定するための計測ツールを有するALEプロセスを実施するための装置に関して、制御装置の機械可読命令は、以下のような命令を含むことがある:
エッチャントガスを処理チャンバ内に流すために1つまたは複数のガス流入口を操作するための命令;
エッチャントの吸着制限層を形成するために、内部に保持された半導体基板の表面上にエッチャントが吸着するように、処理チャンバ内部の条件を設定するための命令;
吸着されたエッチャントの周囲の体積から未吸着のおよび/または脱着したエッチャントを除去するために、弁制御式管路および真空ポンプを操作するための命令;
エッチャントの吸着、ならびに未吸着のおよび/または脱着したエッチャントの除去後に、半導体基板上にフィーチャをエッチングするためにプラズマ発生器を操作するための命令;
半導体基板上のエッチングされたフィーチャのエッチングプロファイルを測定するために計測ツールを操作するための命令;および
測定されたエッチングプロファイルに応じて処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定するために、1つまたは複数のガス流入口を操作するための命令。
システム制御命令858は、任意の適切な様式で構成することができる。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、様々なプロセスツールプロセスを実施するのに必要なプロセスツール構成要素の動作を制御するように書くことができる。システム制御命令858は、任意の適切なコンピュータ可読プログラミング言語で符号化することができる。幾つかの実施形態では、システム制御命令858は、ソフトウェアとして実装され、他の実施形態では、命令は、ハードウェアとして実装され、例えばASIC(特定用途向け集積回路)内の論理としてハードコード化されることがあり、または他の実施形態では、ソフトウェアとハードウェアの組合せとして実装されることがある。
幾つかの実施形態では、システム制御ソフトウェア858は、上述した様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含むことがある。例えば、堆積および/またはエッチングプロセスの各段階が、システム制御装置850が実行するための1つまたは複数の命令を含むことがある。例えば、被膜堆積および/またはエッチングプロセス段階に関するプロセス条件を設定するための命令が、対応する堆積および/またはエッチングレシピ段階に含まれることがある。幾つかの実施形態では、レシピ段階は順次に配置されることがあり、それにより、プロセス段階に関する全ての命令が、そのプロセス段階と同時に実行される。
幾つかの実施形態では、システム制御装置850に関連付けられるマスストレージデバイス854および/またはメモリデバイス856に記憶されている他のコンピュータ可読命令および/またはプログラムが採用されることがある。プログラムまたはプログラムの一部の例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、加熱器制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、ペデスタル(図5の参照番号508参照)上に基板を装荷するため、および基板と図5のプロセスツール500の他の部分との間隔を制御するために使用されるプロセスツール構成要素に関する命令を含むことがある。位置決めプログラムは、基板上に被膜を堆積および/またはエッチングするために必要に応じて反応チャンバの内外に基板を適切に移動させるための命令を含むことがある。
プロセスガス制御プログラムは、ガス組成および流量を制御するための命令、および任意選択で、堆積および/またはエッチング前に1つまたは複数のプロセスステーションの周囲の体積内にガスを流して、これらの体積内の圧力を安定させるための命令を含むことがある。幾つかの実施形態では、プロセスガス制御プログラムは、基板上への被膜堆積および/またはエッチング操作中に処理チャンバ内部の1つまたは複数のプロセスステーションの周囲の体積内に特定のガスを導入するための命令を含むことがある。また、プロセスガス制御プログラムは、堆積される被膜の組成および/または関連のエッチングプロセスの性質に応じて、同じレートで、同じ持続時間にわたって、または異なるレートで、および/または異なる持続時間にわたってこれらのガスを送達するための命令を含むこともある。また、プロセスガス制御プログラムは、加熱式の注入モジュール内で、ヘリウムまたは何らかの他のキャリアガスの存在下で液体反応物を霧化/蒸発させるための命令を含むこともある。
圧力制御プログラムは、例えばプロセスステーションの排気システム内のスロットル弁やプロセスステーション内のガス流量などを調整することによって、プロセスステーション内の圧力を制御するための命令を含むことができる。圧力制御プログラムは、基板上での様々な被膜タイプの堆積および/または基板のエッチング中に同じまたは異なる圧力を維持するための命令を含むことがある。
加熱器制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するための命令を含むことがある。代替または追加として、加熱器制御プログラムは、基板への伝熱ガス(例えばヘリウム)の送達を制御することができる。加熱器制御プログラムは、基板上への様々な被膜タイプの堆積および/または基板のエッチング中に、反応チャンバ内および/またはプロセスステーションの周囲の体積内で同じまたは異なる温度を維持するための命令を含むことができる。
プラズマ制御プログラムは、本明細書における実施形態による1つまたは複数のプロセスステーションでのRF出力レベル、周波数、および露出時間を設定するための命令を含むことがある。幾つかの実施形態では、プラズマ制御プログラムは、基板上への被膜堆積および/または基板のエッチング中に同じまたは異なるRF出力レベルおよび/または周波数および/または露出時間を使用するための命令を含むことがある。
幾つかの実施形態では、システム制御装置850に関連付けられるユーザインターフェースが存在することがある。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、および、ポインティングデバイス、キーボード、タッチ画面、マイクロフォンなどのユーザ入力デバイスを含むことがある。
幾つかの実施形態では、システム制御装置850によって調節されるパラメータは、プロセス条件に関係することがある。非限定的な例は、プロセスガス組成および流量、温度(例えば基板ホルダおよびシャワーヘッド温度)、圧力、プラズマ条件(例えばRFバイアス出力レベルおよび露出時間)などを含む。これらのパラメータは、レシピの形態でユーザに提供されることがあり、レシピは、ユーザインターフェースを使用して入力されることがある。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システム制御装置850のアナログおよび/またはデジタル入力接続によって提供されることがある。プロセスを制御するための信号は、プロセスツール800のアナログおよび/またはデジタル出力接続で出力されることがある。監視することができるプロセスツールセンサの非限定的な例は、マスフローコントローラ(MFC)、圧力センサ(圧力計など)、熱電対などの温度センサなどを含む。プロセス条件を維持するために、これらのセンサからのデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムを使用することができる。
システム制御装置850は、上述の堆積および/またはエッチングプロセスを実施するための機械可読命令を提供することができる。命令は、DC出力レベル、RFバイアス出力レベル、圧力、温度など、様々なプロセスパラメータを制御することができる。命令は、それらのパラメータを制御して、本明細書で述べるような被膜堆積および/またはエッチング操作を行うことができる。
したがって、システム制御装置は、典型的には、1つまたは複数のメモリデバイスと、本明細書で開示するプロセスに従って装置が操作を行うように機械可読命令を実行するように構成された1つまたは複数の処理装置とを含む。本明細書で開示する基板処理操作に従って操作を制御するための命令を含む機械可読の非一時的媒体が、システム制御装置に結合されることがある。
上述した様々な装置および方法は、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの作成または製造用のリソグラフィパターン形成ツールおよび/またはプロセスと共に使用することができる。典型的には、必須ではないが、共通の製造施設内で一緒におよび/または同時にそのようなツールが使用され、またはプロセスが実施される。
幾つかの実装形態では、制御装置はシステムの一部であり、システムは、上述した例の一部でよい。そのようなシステムは、処理ツール、チャンバ、処理用のプラットフォーム、および/または特定の処理構成要素(ウェハペデスタルやガスフローシステムなど)を含めた半導体処理機器を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、および処理後にそれらの動作を制御するための電子機器と一体化されることがある。電子回路は、「制御装置」と呼ばれることもあり、システムの様々な構成要素または一部を制御することができる。制御装置は、処理要件および/またはシステムのタイプに応じて、本明細書で開示されるプロセスの任意のものを制御するようにプログラムすることができ、そのようなプロセスは、処理ガスの搬送、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、出力設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体搬送設定、位置および動作の設定、ならびに、特定のシステムに接続またはインターフェースされたツールおよび他の移送ツールおよび/またはロードロックの内外へのウェハ移送を含む。
広範には、制御装置は、様々な集積回路、論理回路、メモリ、および/またはソフトウェアを有する電子回路として定義することができ、命令の受信、命令の送信、動作の制御、洗浄操作の有効化、およびエンドポイント測定の有効化などを行う。集積回路は、プログラム命令を記憶するファームウェアの形態でのチップ、デジタル信号処理装置(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または1つまたは複数のマイクロプロセッサ、もしくはプログラム命令(例えばソフトウェア)を実行するマイクロコントローラを含むことがある。プログラム命令は、様々な個別の設定(またはプログラムファイル)の形態で制御装置に通信される命令でよく、半導体ウェハ上で、もしくは半導体ウェハのために、またはシステムに対して特定のプロセスを実施するための動作パラメータを定義する。幾つかの実装形態では、動作パラメータは、ウェハの1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化ケイ素、表面、回路、および/またはダイの製造中に1つまたは複数の処理ステップを達成するためにプロセスエンジニアによって定義されるレシピの一部でよい。
幾つかの実装形態では、制御装置は、コンピュータの一部でよく、またはコンピュータに結合されていてよく、コンピュータは、システムと一体化されるか、システムに結合されるか、他の形でシステムにネットワーク化されるか、またはそれらの組合せの形態である。例えば、制御装置は、「クラウド」、または工場ホストコンピュータシステムの全てもしくは一部でよく、ウェハ処理の遠隔アクセスを可能にすることができる。コンピュータは、システムへの遠隔アクセスを可能にすることができ、製造操作の現在の進行状況の監視、過去の製造操作の履歴の検査、および複数の製造操作からの傾向または性能指標の検査を行って、現在の処理のパラメータを変更し、現在の処理に続くように処理ステップを設定し、または新たなプロセスを開始する。幾つかの例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワークまたはインターネットを含むことがあるネットワークを介してシステムにプロセスレシピを提供することができる。遠隔コンピュータはユーザインターフェースを含むことがあり、ユーザインターフェースは、パラメータおよび/または設定の入力またはプログラミングを可能にし、次いでパラメータおよび/または設定が遠隔コンピュータからシステムに通信される。幾つかの例では、制御装置は、1つまたは複数の操作中に行うべき各処理ステップに関するパラメータを指定するデータの形態で命令を受信する。パラメータが、実施すべきプロセスのタイプ、および制御装置がインターフェースまたは制御するように構成されたツールのタイプに特有のものであり得ることを理解すべきである。したがって、上述したように、制御装置は、例えば1つまたは複数のディスクリート制御装置を含むことによって分散されることがあり、それらの制御装置はネットワーク化され、本明細書で述べるプロセスや制御など共通の目的に向けて動作する。そのような目的のための分散制御装置の一例は、(例えばプラットフォームレベルで、または遠隔コンピュータの一部として)遠隔に位置された1つまたは複数の集積回路と通信するチャンバにある1つまたは複数の集積回路であり、これらは組み合わさってチャンバでのプロセスを制御する。
限定はせずに、例示的なシステムとしては、プラズマエッチングチャンバまたはモジュール(誘導または容量結合プラズマを採用する)、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相成長(PVD)チャンバまたはモジュール、化学気相成長(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、および、半導体ウェハの作製および/または製造に関連付けられるまたは使用されることがある任意の他の半導体処理システムを挙げることができる。
上述したように、ツールによって行うべきプロセスステップに応じて、制御装置は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近隣のツール、全工場内に位置されたツール、メインコンピュータ、別の制御装置、または、ウェハのコンテナを半導体製造工場内のツール位置および/または装填ポートに受け渡しする材料輸送で使用されるツールの1つまたは複数と通信することができる。
リソグラフィパターン形成
被膜のリソグラフィパターン形成は、典型的には、以下の操作の幾つかまたは全てを含み、各操作が、幾つかの使用可能なツールによって提供される:(1)スピンオンまたはスプレーオンツールを使用して、基板、例えば窒化ケイ素被膜が上に形成された基板にフォトレジストを塗布する操作;(2)ホットプレートまたは炉または他の適切な硬化ツールを使用してフォトレジストを硬化する操作;(3)ウェハステッパなどのツールを用いて可視光またはUV光またはX線光でフォトレジストを露光する操作;(4)ウェットベンチまたはスプレーディベロッパなどのツールを使用して、レジストを現像して、レジストを選択的に除去し、それによりレジストをパターン形成する操作;(5)ドライエッチングまたはプラズマエッチングツールを使用することによって、下にある被膜または基板にレジストパターンを転写する操作;および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去する操作。幾つかの実施形態では、アッシャブルハードマスク層(例えば非晶質炭素層など)と、別の適切なハードマスク(例えば反射防止層など)とが、フォトレジストを塗布する前に堆積されることがある。
他の実施形態
上記の開示される技法、操作、プロセス、方法、システム、装置、ツール、被膜、化学物質、および組成は、明瞭にして理解しやすくする目的で特定の実施形態の文脈で詳細に述べてきたが、本開示の精神および範囲に含まれる上記の実施形態を実施する多くの代替法が存在することを当業者は理解されよう。したがって、本明細書で述べる実施形態は、限定ではなく、開示される発明の概念を例示するものとみなすべきであり、最終的に本開示の主題を対象とする任意の特許請求項の範囲を不要に限定するような許容し得ない基礎として用いられるべきではない。

Claims (22)

  1. 半導体処理チャンバ内でプラズマからの真空紫外(VUV)放射の放出を調節する方法であって、
    前記処理チャンバ内で発生されるプラズマが、VUV放出体ガスと衝突エネルギー吸収体ガスとを含み、前記プラズマが、VUV放射を放出し、
    前記プラズマ中の前記VUV放出体ガスと前記衝突エネルギー吸収体ガスとの濃度比を変えることによって、前記プラズマからのVUV放射の前記放出を調節する
    方法。
  2. 前記VUV放出体ガスがヘリウムである請求項1に記載の方法。
  3. 前記衝突エネルギー吸収体ガスがネオンである請求項2に記載の方法。
  4. 前記プラズマからのVUV放射の前記放出の調節が、前記プラズマ中のヘリウムとネオンの前記濃度比を変えるために、ある比率でヘリウムおよび/またはネオンを前記処理チャンバ内に流す処理を含む請求項3に記載の方法。
  5. 前記プラズマからのVUV放射の前記放出が、前記プラズマ中のヘリウムとネオンの前記濃度比を増加させるために、ヘリウムを前記処理チャンバ内に流すことによって増加方向に調節される請求項4に記載の方法。
  6. 前記プラズマからのVUV放射の前記放出が、前記プラズマ中のヘリウムとネオンの前記濃度比を減少させるために、ネオンを前記処理チャンバ内に流すことによって減少方向に調節される請求項4に記載の方法。
  7. 更に、
    前記プラズマおよび/または半導体基板の特性を測定し、
    前記測定された特性に応じて、前記処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定する
    請求項4に記載の方法。
  8. 前記特性が、前記プラズマの励起状態種の放出バンドからの放出強度である請求項7に記載の方法。
  9. 前記測定された放出バンドが、632.8nmに中心を取られたネオンの前記放出バンドである請求項8に記載の方法。
  10. 前記特性が、計測ツールを用いて測定された半導体基板のエッチングされたフィーチャのプロファイルであり、前記フィーチャが、前記処理チャンバ内でエッチングされている請求項7に記載の方法。
  11. 前記エッチングされたフィーチャの側壁の測定された陥凹に応じて、ヘリウムの流量が減少される、および/またはネオンの流量が増加される請求項10に記載の方法。
  12. 前記プラズマが容量結合プラズマである請求項1から請求項11のいずれか一項に記載の方法。
  13. 中で前記プラズマが発生される前記半導体処理チャンバが、容量結合プラズマリアクタの一部であり、前記リアクタが、上側プレートを有し、前記リアクタが、前記上側プレートと前記半導体基板の間のギャップが約1.5cm〜2.5cmの間であるように構成される請求項12に記載の方法。
  14. 前記プラズマが誘導結合プラズマであり、中で前記プラズマが発生される前記半導体処理チャンバが、中で前記プラズマが発生されるギャップ領域を有する誘導結合プラズマリアクタの一部であり、前記リアクタが、前記ギャップ領域内部に位置された1つまたは複数の構成要素を備え、前記構成要素が、ネオン原子が衝突して衝突脱励起されることがある構造を提供する請求項1から請求項11のいずれか一項に記載の方法。
  15. ネオンの前記脱励起のための前記構造を提供する前記1つまたは複数の構成要素が、前記半導体基板の平面に垂直な中心軸を有するように方向付けられた1組の同心円筒体を備える請求項14に記載の方法。
  16. 半導体基板の表面上にフィーチャをエッチングする方法であって、
    (a)エッチャントが半導体基板の表面上に吸着制限層を形成するように、前記表面上に前記エッチャントを吸着するステップと、
    (b)ステップ(a)の後、前記吸着されたエッチャントの周囲の体積から、未吸着のおよび/または脱着したエッチャントを除去するステップと、
    (c)ステップ(b)の後、処理チャンバ内でプラズマを発生するステップであって、前記プラズマが、ヘリウムおよびネオンを含み、前記プラズマが、VUV放射を放出するステップと、
    (d)前記半導体基板の前記表面をエッチングするために、前記吸着されたエッチャントを前記プラズマと接触させるステップと、
    (e)ステップ(a)〜(d)を複数回繰り返し、前記プラズマ中のヘリウムとネオンの濃度比を変えることによってステップ(d)での前記プラズマからのVUV放射の前記放出を調節し、それにより前記半導体基板の前記表面の前記エッチングの異方性を変えるステップと
    を含む方法。
  17. 前記エッチャントが塩素を含む請求項16に記載の方法。
  18. 前記プラズマからのVUV放射の前記放出を調節するステップが、前記プラズマ中のヘリウムとネオンの前記濃度比を変えるためにある比率でヘリウムおよび/またはネオンを前記処理チャンバ内に流すステップを含む請求項16または17に記載の方法。
  19. 計測ツールを用いて、前記半導体基板の前記エッチングされたフィーチャのプロファイルを測定するステップと、
    測定されたプロファイルに応じて、前記処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定するステップと
    をさらに含む請求項18に記載の方法。
  20. 処理チャンバと、
    プラズマ発生器と、
    前記処理チャンバ内にヘリウムおよびネオンを流すように構成された1つまたは複数のガス流入口と、
    機械可読命令を備える制御装置とを備える半導体処理装置であって、前記制御装置が、
    ヘリウムおよびネオンを含み、VUV放射を放出するプラズマを前記処理チャンバ内で発生するように前記プラズマ発生器を操作するための機械可読命令と、
    前記プラズマ中のヘリウムとネオンの濃度比を変えるためにある比率で前記処理チャンバ内にヘリウムおよび/またはネオンを流すことによって前記プラズマからのVUV放射の前記放出を調節するために、前記1つまたは複数のガス流入口を操作するための機械可読命令と
    を含む半導体処理装置。
  21. 前記半導体処理装置が、光学検出器をさらに備え、
    前記制御装置の前記機械可読命令が、
    前記プラズマの放出バンドの放出強度を測定するために前記光学検出器を操作するための命令と、
    前記測定された放出強度に応じて前記処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定するために、前記1つまたは複数のガス流入口を操作するための命令と
    をさらに含む請求項20に記載の半導体処理装置。
  22. 前記1つまたは複数のガス流入口が、エッチャントガスを前記処理チャンバ内に流すようにさらに構成され、
    前記半導体処理装置が、
    真空ポンプと、
    前記真空ポンプへの弁制御式管路と、
    半導体基板のフィーチャのエッチングプロファイルを測定するための計測ツールと
    をさらに備え、
    前記制御装置の前記機械可読命令が、
    エッチャントガスを前記処理チャンバ内に流すために前記1つまたは複数のガス流入口を操作するための命令と、
    エッチャントの吸着制限層を形成するために前記半導体基板の表面上に前記エッチャントが吸着するように、前記処理チャンバ内部の条件を設定するための命令と、
    前記吸着されたエッチャントの周囲の体積から未吸着のおよび/または脱着したエッチャントを除去するために、前記弁制御式管路および真空ポンプを操作するための命令と、
    エッチャントの吸着、ならびに未吸着のおよび/または脱着したエッチャントの除去後に前記半導体基板上にフィーチャをエッチングするために、前記プラズマ発生器を操作するための命令と、
    前記半導体基板上の前記エッチングされたフィーチャのエッチングプロファイルを測定するために前記計測ツールを操作するための命令と、
    前記測定されたエッチングプロファイルに応じて前記処理チャンバ内へのヘリウムおよび/またはネオンの流量を設定するために、前記1つまたは複数のガス流入口を操作するための命令と
    をさらに含む請求項20または請求項21に記載の半導体処理装置。
JP2015220973A 2014-11-12 2015-11-11 エネルギー吸収体ガスへの衝突共鳴エネルギー伝達によるプラズマのvuv放出の調節 Active JP6758818B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/539,121 2014-11-12
US14/539,121 US9609730B2 (en) 2014-11-12 2014-11-12 Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas

Publications (3)

Publication Number Publication Date
JP2016103632A true JP2016103632A (ja) 2016-06-02
JP2016103632A5 JP2016103632A5 (ja) 2018-12-20
JP6758818B2 JP6758818B2 (ja) 2020-09-23

Family

ID=55913372

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015220973A Active JP6758818B2 (ja) 2014-11-12 2015-11-11 エネルギー吸収体ガスへの衝突共鳴エネルギー伝達によるプラズマのvuv放出の調節

Country Status (5)

Country Link
US (2) US9609730B2 (ja)
JP (1) JP6758818B2 (ja)
KR (1) KR20160056839A (ja)
CN (1) CN105590826B (ja)
TW (1) TWI690241B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020100227A1 (ja) * 2018-11-14 2020-05-22 株式会社日立ハイテクノロジーズ プラズマ処理装置及びそれを用いた被処理試料の処理方法
KR20210046088A (ko) * 2018-09-17 2021-04-27 어플라이드 머티어리얼스, 인코포레이티드 고온 rf 가열기 페디스털들
JP7421013B2 (ja) 2020-11-19 2024-01-23 北京北方華創微電子装備有限公司 プロセスチャンバ及び半導体プロセス装置
JP7476286B2 (ja) 2021-12-17 2024-04-30 セメス株式会社 プロセスガス供給ユニットおよびそれを含む基板処理装置

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10020264B2 (en) * 2015-04-28 2018-07-10 Infineon Technologies Ag Integrated circuit substrate and method for manufacturing the same
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US9991129B1 (en) * 2017-05-23 2018-06-05 Applied Materials, Inc. Selective etching of amorphous silicon over epitaxial silicon
SG11202004968SA (en) * 2017-12-15 2020-07-29 Tokyo Electron Ltd Plasma etching method and plasma etching apparatus
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
KR20200116855A (ko) * 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
DE102019214074A1 (de) * 2019-09-16 2021-03-18 Robert Bosch Gmbh Verfahren und Vorrichtung zum lokalen Entfernen und/oder Modifizieren eines Polymermaterials auf einer Oberfläche
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN111370308B (zh) * 2020-02-18 2023-03-21 中国科学院微电子研究所 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备
US11315819B2 (en) * 2020-05-21 2022-04-26 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
US11875967B2 (en) 2020-05-21 2024-01-16 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
US11538714B2 (en) 2020-05-21 2022-12-27 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
CN111994868B (zh) * 2020-08-12 2022-05-17 天津大学 极紫外光与等离子体复合原子尺度加工方法
CN114843164A (zh) * 2021-02-02 2022-08-02 中微半导体设备(上海)股份有限公司 升降销固定器、升降销组件及等离子体处理装置
US11502217B1 (en) * 2021-05-24 2022-11-15 Gautam Ganguly Methods and apparatus for reducing as-deposited and metastable defects in Amorphousilicon

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0629255A (ja) * 1992-07-10 1994-02-04 Hitachi Sci Syst:Kk プラズマエッチング方法及び装置
WO2011062162A1 (ja) * 2009-11-17 2011-05-26 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
US20120091097A1 (en) * 2010-10-18 2012-04-19 Tokyo Electron Limited Using Vacuum Ultra-Violet (VUV) Data in Radio Frequency (RF) Sources
JP2012149278A (ja) * 2011-01-17 2012-08-09 Mitsui Chemicals Inc シリコン含有膜の製造方法
JP2014522104A (ja) * 2011-07-20 2014-08-28 ラム リサーチ コーポレーション 不活性ガスから生成される準安定ガスを使用する原子層エッチング

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6461529B1 (en) * 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
US7160671B2 (en) * 2001-06-27 2007-01-09 Lam Research Corporation Method for argon plasma induced ultraviolet light curing step for increasing silicon-containing photoresist selectivity
US7517814B2 (en) * 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0629255A (ja) * 1992-07-10 1994-02-04 Hitachi Sci Syst:Kk プラズマエッチング方法及び装置
WO2011062162A1 (ja) * 2009-11-17 2011-05-26 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
US20120091097A1 (en) * 2010-10-18 2012-04-19 Tokyo Electron Limited Using Vacuum Ultra-Violet (VUV) Data in Radio Frequency (RF) Sources
JP2012149278A (ja) * 2011-01-17 2012-08-09 Mitsui Chemicals Inc シリコン含有膜の製造方法
JP2014522104A (ja) * 2011-07-20 2014-08-28 ラム リサーチ コーポレーション 不活性ガスから生成される準安定ガスを使用する原子層エッチング

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210046088A (ko) * 2018-09-17 2021-04-27 어플라이드 머티어리얼스, 인코포레이티드 고온 rf 가열기 페디스털들
CN112740396A (zh) * 2018-09-17 2021-04-30 应用材料公司 高温rf加热器底座
JP2022500862A (ja) * 2018-09-17 2022-01-04 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 高温rfヒータペデスタル
JP7345543B2 (ja) 2018-09-17 2023-09-15 アプライド マテリアルズ インコーポレイテッド 高温rfヒータペデスタル
KR102586592B1 (ko) * 2018-09-17 2023-10-11 어플라이드 머티어리얼스, 인코포레이티드 고온 rf 가열기 페디스털들
WO2020100227A1 (ja) * 2018-11-14 2020-05-22 株式会社日立ハイテクノロジーズ プラズマ処理装置及びそれを用いた被処理試料の処理方法
JP6708798B1 (ja) * 2018-11-14 2020-06-10 株式会社日立ハイテク プラズマ処理装置及びそれを用いた被処理試料の処理方法
CN111436219A (zh) * 2018-11-14 2020-07-21 株式会社日立高新技术 等离子处理装置以及利用其的被处理样品的处理方法
US11276579B2 (en) 2018-11-14 2022-03-15 Hitachi High-Tech Corporation Substrate processing method and plasma processing apparatus
CN111436219B (zh) * 2018-11-14 2023-09-19 株式会社日立高新技术 等离子处理装置以及利用其的被处理样品的处理方法
JP7421013B2 (ja) 2020-11-19 2024-01-23 北京北方華創微電子装備有限公司 プロセスチャンバ及び半導体プロセス装置
JP7476286B2 (ja) 2021-12-17 2024-04-30 セメス株式会社 プロセスガス供給ユニットおよびそれを含む基板処理装置

Also Published As

Publication number Publication date
JP6758818B2 (ja) 2020-09-23
CN105590826B (zh) 2018-08-03
US9609730B2 (en) 2017-03-28
CN105590826A (zh) 2016-05-18
TWI690241B (zh) 2020-04-01
KR20160056839A (ko) 2016-05-20
US20160135274A1 (en) 2016-05-12
TW201633852A (zh) 2016-09-16
US20170170036A1 (en) 2017-06-15

Similar Documents

Publication Publication Date Title
JP6758818B2 (ja) エネルギー吸収体ガスへの衝突共鳴エネルギー伝達によるプラズマのvuv放出の調節
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TWI795589B (zh) 處理微電子工件的方法、以及處理基板的方法
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
KR102279670B1 (ko) 이온 가속기를 갖는 듀얼 챔버 플라즈마 에칭기
CN110634726B (zh) 利用低温晶片温度的离子束蚀刻
JP2017103454A (ja) エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正
JP2020536393A (ja) 高エネルギー原子層エッチング
KR20160076471A (ko) 유전체 에칭 적용들을 위한 통합된 에칭/세정
KR20130129146A (ko) 탄소 성막-에칭-애싱 갭 충전 프로세스
CN105489485A (zh) 处理被处理体的方法
JP2023530299A (ja) 金属含有フォトレジスト堆積のための表面改質
TWI830129B (zh) 蝕刻裝置及蝕刻方法
KR20170132666A (ko) 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 디포짓하기 위한 기법
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
US20230085078A1 (en) Etching processing method and etching processing apparatus
TW202417971A (zh) 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181109

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20191031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200902

R150 Certificate of patent or registration of utility model

Ref document number: 6758818

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250