KR20160056839A - 에너지 흡수체 가스로의 충돌 공진 에너지 전달을 통한 플라즈마의 vuv 방출의 조정 - Google Patents

에너지 흡수체 가스로의 충돌 공진 에너지 전달을 통한 플라즈마의 vuv 방출의 조정 Download PDF

Info

Publication number
KR20160056839A
KR20160056839A KR1020150158854A KR20150158854A KR20160056839A KR 20160056839 A KR20160056839 A KR 20160056839A KR 1020150158854 A KR1020150158854 A KR 1020150158854A KR 20150158854 A KR20150158854 A KR 20150158854A KR 20160056839 A KR20160056839 A KR 20160056839A
Authority
KR
South Korea
Prior art keywords
plasma
neon
helium
processing chamber
vuv
Prior art date
Application number
KR1020150158854A
Other languages
English (en)
Inventor
안드레아스 피셔
토르스텐 릴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160056839A publication Critical patent/KR20160056839A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/32339Discharge generated by other radiation using electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)

Abstract

반도체 프로세싱 챔버 내에서 플라즈마로부터의 VUV (vacuum ultraviolet) 방사선의 방출을 조정하는 방법들이 개시된다. 방법들은 VUV-이미터 가스 및 충돌 에너지 흡수체 가스를 포함하는, 프로세싱 챔버 내에서 플라즈마를 생성하는 단계, 및 플라즈마 내에서 충돌 에너지 흡수체 가스에 대한 VUV-이미터 가스의 농도 비를 변경함으로써 플라즈마로부터의 VUV 방사선의 방출을 조정하는 단계를 포함할 수도 있다. 일부 실시예들에서, VUV-이미터 가스는 헬륨일 수도 있고 충돌 에너지 흡수체 가스는 네온일 수도 있고, 그리고 특정한 이러한 실시예들에서, VUV 방출을 조정하는 단계는 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경하기 위한 비율로 프로세싱 챔버 내로 헬륨 및/또는 네온을 흘리는 단계를 포함할 수도 있다. 또한 상술한 방법들을 구현하는 장치들이 개시된다.

Description

에너지 흡수체 가스로의 충돌 공진 에너지 전달을 통한 플라즈마의 VUV 방출의 조정{ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS}
디바이스 및 피처들의 크기가 반도체 산업에서 계속해서 감소하고, 또한 3D 디바이스 구조들 (예를 들어, Intel의 Tri-Gate 트랜지스터 아키텍처) 이 집적 회로 (IC) 설계에서 보다 일반적임에 따라, 얇은 컨포멀한 막들 (비-평면일지라도, 아래에 있는 구조의 형상에 대해 균일한 두께를 가진 재료의 막들) 을 증착하는 능력은 계속해서 더 중요해질 것이다. ALD (atomic layer deposition) 는 ALD의 단일 사이클이 단일의 재료의 박층만을 증착하고, 두께는 막-형성 화학적 반응 자체 전에 기판 표면 (즉, 흡착-제한된 층 형성) 상에 흡착할 수도 있는 하나 이상의 막 전구체 반응물질들의 양에 의해 제한된다는 사실에 기인하여 컨포멀한 막들의 증착에 적절한 막 형성 기법이다. 따라서 복수의 "ALD 사이클들"은 목표된 두께의 막을 형성하도록 사용될 수도 있고, 층 각각이 얇고 컨포멀하기 때문에, 발생한 막은 아래에 있는 디바이스 구조의 형상에 실질적으로 일치한다. 마찬가지로, ALE (atomic layer etch) 는 ALD와 유사하게, 복수의 "ALE 사이클들"이 목표된 양의 기판 에칭을 성취하도록 통상적으로 요구될지라도, ALE가 에천트의 흡착-제한된 층의 반응을 수반하기 때문에, 고 레벨의 정확도로 실리콘 기판들을 제어 가능하게 그리고 선택적으로 에칭하도록 사용될 수도 있는, ALD와 유사한 흡착-매개성 에칭 기법이다. ALD 및 ALE 양자는 종종 플라즈마-활성화된 프로세스들이기 때문에, 많은 사이클들의 과정에 걸쳐 - ALD 또는 에칭 반응에서 막-형성 반응을 활성화하도록 사용된 - 플라즈마의 특성들을 제어하는 것은 상당히 중요할 수도 있다.
반도체 프로세싱 챔버 내에서 플라즈마로부터의 VUV (vacuum ultraviolet) 방사선의 방출을 조정하는 방법들이 본 명세서에 개시된다. 방법들은 프로세싱 챔버 내에서 플라즈마를 생성하는 단계로서, 플라즈마는 VUV-방출기 가스 및 충돌 에너지 흡수체 가스를 포함하는, 프로세싱 챔버 내에서 플라즈마를 생성하는 단계, 및 플라즈마 내에서 충돌 에너지 흡수체 가스에 대한 VUV-방출기 가스의 농도 비를 변경함으로써 플라즈마로부터의 VUV 방사선의 방출을 조정하는 단계를 포함할 수도 있다. 일부 실시예들에서, VUV-방출기 가스는 헬륨이고, 일부 실시예들에서, 충돌 에너지 흡수체 가스는 네온이다. 일부 실시예들에서, 플라즈마로부터 VUV 방사선의 방출을 조정하는 단계는 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경하기 위한 비율로 프로세싱 챔버 내로 헬륨 및/또는 네온을 흘리는 단계를 포함할 수도 있다. 일부 실시예들에서, 방법들은 플라즈마 및/또는 기판의 특성을 측정하는 단계 및/또는 측정된 특성에 응답하여 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우를 설정하는 단계를 더 포함할 수도 있다. 특정한 실시예들에서, 측정된 특성은 플라즈마의 여기된 상태 종의 방출 대역으로부터의 방출 강도일 수도 있다. 특정한 실시예들에서, 측정된 특성은 계측 툴을 사용하여 측정된 반도체 기판의 에칭된 피처의 프로파일일 수도 있고, 피처는 프로세싱 챔버 내에서 에칭되었다. 일부 실시예들에서, VUV 방출이 조정되는 플라즈마는 용량 결합된 플라즈마이다.
내부에서 VUV-방출 플라즈마가 생성되고 그 VUV 방출이 조정되는 반도체 프로세싱 장치들이 본 명세서에 또한 개시된다. 장치들은 프로세싱 챔버, 플라즈마 생성기, 프로세싱 챔버 내로 헬륨 및 네온을 흘리기 위해 구성된 하나 이상의 가스 플로우 유입부들, 및 장치의 동작을 제어하기 위한 제어기를 포함할 수도 있다. 일부 실시예들에서, 제어기는 프로세싱 챔버 내에서 VUV 방출 플라즈마를 생성하도록 플라즈마 생성기를 동작시키기 위한 머신 판독 가능한 인스트럭션으로서, 플라즈마는 헬륨 및 네온을 포함하는, 플라즈마 생성기를 동작시키기 위한 머신 판독 가능한 인스트럭션, 및 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경하기 위한 비율로 프로세싱 챔버 내로 헬륨 및/또는 네온을 흘림으로써 플라즈마로부터 VUV 방사선의 방출을 조정하도록 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 머신 판독 가능한 인스트럭션을 포함할 수도 있다.
일부 실시예들에서, 장치는 광 검출기를 더 포함할 수도 있고, 제어기의 머신 판독 가능한 인스트럭션들은 플라즈마의 방출 대역의 방출 강도를 측정하도록 광 검출기를 동작시키기 위한 인스트럭션뿐만 아니라 측정된 방출 강도에 응답하여 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우 레이트를 설정하도록 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션을 더 포함할 수도 있다.
일부 실시예들에서, 하나 이상의 가스 플로우 유입부들은 프로세싱 챔버 내로 에천트 가스를 흘리기 위해 더 구성될 수도 있고, 장치는 진공 펌프, 진공 펌프에 대한 밸브-제어된 도관을 더 포함할 수도 있다. 특정한 이러한 실시예들에서, 제어기의 머신 판독 가능한 인스트럭션들은 프로세싱 챔버 내로 에천트 가스를 흘리도록 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션, 및 에천트가 에천트의 흡착-제한된 층을 형성하는 반도체 기판의 표면 상에 흡착하도록 프로세싱 챔버 내의 조건들을 설정하기 위한 인스트럭션을 더 포함할 수도 있다. 제어기의 머신 판독 가능한 인스트럭션들은 흡착된 에천트를 둘러싸는 볼륨으로부터 비흡착된 및/또는 탈착된 에천트를 제거하도록 밸브-제어된 도관 및 진공 펌프를 동작시키기 위한 인스트럭션, 및 에천트의 흡수 및 비흡착된 및/또는 탈착된 에천트의 제거 후에, 반도체 기판 상의 피처를 에칭하도록 플라즈마 생성기를 동작시키기 위한 인스트럭션을 더 포함할 수도 있다.
일부 실시예들에서, 장치는 반도체 기판의 피처의 에칭 프로파일을 측정하기 위한 계측 툴을 더 포함할 수도 있다. 특정한 이러한 실시예들에서, 제어기는 반도체 기판 상의 에칭된 피처의 에칭 프로파일을 측정하도록 계측 툴을 동작시키기 위한 인스트럭션, 및 측정된 에칭 프로파일에 응답하여 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우 레이트를 설정하도록 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션을 더 포함할 수도 있다.
도 1은 반도체 기판의 표면을 에칭하기 위한 ALE 프로세스를 개략적으로 예시한다.
도 2는 네온의 특정한 여기된 상태들이 헬륨의 특정한 여기된 상태들과 활동적으로 라인-업하고 (line-up), 따라서 헬륨으로부터 네온으로의 공진 에너지 전달이 유도될 수도 있다는 것을 예시하는 헬륨 및 네온에 대한 에너지 레벨 다이어그램들을 나타낸다.
도 3은 약 1 인치의 작은 챔버 갭을 가진 용량 결합된 플라즈마 (CCP) 반응기 내에서 생성된 헬륨-네온 플라즈마로부터의 네온의 632.8 nm 선의 방출 강도를 나타낸다. 특히, 네온의 632.8 nm 방출 강도는 (4개의 상이한 네온 분압들에 대응하는) 4개의 상이한 챔버 압력들에서 CCP 반응기 내로의 헬륨 플로우에 대해 나타낸다.
도 4는 약 6 인치의 큰 챔버 갭을 가진 유도 결합된 플라즈마 (ICP) 반응기 내에서 생성된 헬륨-네온 플라즈마로부터의 네온의 632.8 nm 선의 방출 강도를 나타낸다. 특히, 네온의 632.8 nm 방출 강도는 (4개의 상이한 네온 분압들에 대응하는) 4개의 상이한 챔버 압력들에서 ICP 반응기 내로의 헬륨 플로우에 대해 나타낸다.
도 5는 VUV 방출 조정 및/또는 제어를 채용하는 ALE 프로세스의 흐름도이다.
도 6a 내지 도 6c는 본 명세서에 개시된 다양한 ALE 프로세스들을 구현하는데 적절한 용량 결합된 플라즈마 (CCP) 반응기의 개략도들이다.
도 7은 본 명세서에 개시된 다양한 ALE 프로세스들을 구현하는데 적절한 유도 결합된 플라즈마 (ICP) 반응기의 개략도이다.
도 8은 본 명세서에 개시된 다양한 ALE 프로세스들을 구현하는데 적절한 기판 프로세싱 클러스터 툴의 개략도이다.
다음의 기술에서, 수많은 구체적인 상세사항들은 본 발명의 철저한 이해를 제공하도록 제시된다. 그러나, 본 발명은 이들 구체적인 상세사항들의 일부 또는 전부 없이 실행될 수도 있다. 다른 예들에서, 잘 알려진 프로세스 동작들 또는 하드웨어는 본 작동의 창의적인 양태들을 불필요하게 모호하게 하지 않도록 상세하게 기술되지 않는다. 본 발명이 구체적인 상세한 실시예들과 함께 기술될 것이지만, 이들 구체적인 상세한 실시예들이 본 명세서에 개시된 창의적인 개념들의 범위를 제한하도록 의도되지 않음이 이해된다.
원자 층 에칭 기법들 및 동작들
부분적으로 제조된 반도체 기판의 표면 상에서 피처들을 에칭하기 위한 에칭 기법들, 동작들, 방법론들, 등이 이제 기술된다. 일부 실시예들에서, 에칭 기법들은 표면 반응, 이 경우에 표면을 에칭하는 반응이 원자층 정확도로 제어될 수도 있는, ALD와 유사한 프로세스를 채용할 수도 있다. 일부 실시예들에서, 이러한 ALE 기법이 표면 반응의 활성화를 유발하는 방식에 따라, 기판 피처는 수직으로, 하지만 실질적으로 수평으로는 아니게 에칭될 수도 있고; 예를 들어, 에칭 동작은 피처의 하단/기저를 에칭할 수도 있지만 피처의 측벽들을 실질적으로 에칭하지 않을 수도 있다. 이러한 선택도는 에칭 프로세스의 흡착 제한된 성질, 뿐만 아니라 (언급되었던 바와 같이) 활성화의 방식에 의해 부분적으로 인에이블한다 (enable). 도 1의 다양한 하위 도면들 (a) 내지 (e) 에 도시된 개략적인 기판 단면들은 이러한 ALE 프로세스를 예시한다.
도 1(a) 에 도시된 바와 같이, 일부 실시예들에서, 이러한 ALE 프로세스는 에칭을 위해 사용된 화학 종 (120) - 즉, 에천트 종 - 을 에천트 (120) 가 (도면에서 하향의 화살표들로 나타낸 바와 같이) 기판의 표면 (110) 으로 전달되도록 프로세싱 챔버 내로 도입함으로써 시작할 수도 있다. 일부 실시예들에서, 에천트는 할로겐-함유 종일 수도 있고, 특정한 이러한 실시예들에서, XeF2, BrF3, IF5, ClF3, 등과 같은 불소-함유 종일 수도 있다. 일부 실시예들에서, 에천트는 탄화불소, 예를 들어, C4F8과 같은 할로겐-함유 종 및 탄소-함유 종일 수도 있다. 다른 예들은 F2, CF4, CHF3, CH2F2, CH3F일 수도 있다. 일부 실시예들에서, 에천트는 예를 들어, Cl2, CCl4, CHCl3, CH2Cl2, CH3Cl, BCl3과 같은 염소 함유 종일 수도 있다.
프로세싱 챔버 내로의 에천트 종의 도입 후에, 에천트 (120) (또는 에천트의 부분) 는 에천트가 표면 (110) 의 최상에 흡착-제한된 층을 형성하도록 기판 (110) 의 표면 상에 흡착한다. 종종 이 흡착-제한된 층은 단일의 흡착된 분자의 균일한 두께를 거의 갖는, 모노레이어 (monolayer) 로서 기술될 수도 있다. 이것이 그 경우가 되도록 하는 조건들인 경우에, 모노레이어 형성은 종종 상부에 흡착하는 - 즉, 표면 상의 주어진 지점에서의 단일의 흡착된 원자 또는 분자가 그 지점에서의 추가의 흡착을 방지하는, 노출된 표면을 요구하는 화학 흡착 프로세스의 결과이다.
에칭될 표면 (110) 상으로의 에천트 (120) 의 모노레이어의 이러한 흡착은 도 1(b) 에 개략적으로 예시된다. 도 1(b) 는 기판의 표면의 상단 층 (130) 에 직접 결합하는 에천트 (120) 를 예시한다. 본 명세서에 개시된 ALE 방법들은 에천트 (120) 와 기판 표면의 상단 층 (130) 사이에 실제의 화학적 결합이 있는 레짐들로 제한되지 않지만, 일부 경우들에서 공유 결합과 같은, 화학적 결합이 발생할 수도 있다. 다른 경우들에서, 인력성 상호작용들의 다른 형태들은 에천트의 흡착 시에 에천트와 기판 표면 사이에 존재할 수도 있다.
에천트 및 기판 표면의 상단 층은 "볼들"로서 그리고 "스틱들"로서 서로에 대한 그 결합으로 도 1(a) 내지 도 1(d)에 묘사되지만, 단일의 "볼"로서 이러한 종 묘사 각각은 이러한 종 각각이 반드시 원자 종임을 의미하도록 해석되어서는 안 된다는 것을 유념해야 한다. 더 정확히 말하면, 에천트 및 기판 표면 종은 실시예에 따라, 또한 멀티-원자 분자 종일 수도 있다. 단순한 "볼-및-스틱" 도면들은 표면 흡착 프로세스의 기본적인 예시를 제공하도록 사용된다. 도 1(a) 및 도 1(b) 가 간략함을 위해, 도입되고 나중에 흡착되는 동일한 종을 도시하는 사실에도 불구하고, 흡착된 종이 프로세싱 챔버 내로 도입된 완전한 에천트를 구성하지 않을 수도 있다는 것이 또한 유념되어야 한다. 즉, 일부 실시예들에서, 에천트의 부분만이 흡착될 수도 있다.
예를 들어, 프로세싱 챔버 내로 도입된 에천트가 Cl2라면, 흡착된 에천트는 원자 염소 (Cl) 라디칼들 또는 기판 표면에 공유 결합된 염소 원자들일 수도 있다. 따라서, 이 예에서, 엄밀히 말하면 원자 염소 (Cl) 는 에칭을 행하는 종이라고 말할 수도 있다. 그럼에도 불구하고, 명료성 및 간략함을 위해, 프로세싱 챔버 내로 도입된 에천트 (이 예에서 분자 Cl2) 및 실제로 흡착되는 종 (이 예에서 원자 Cl) 양자는 이 구가 본 명세서에 기술된 바와 같이 표면 상에 실제로 흡착되고 에칭을 유발하는 종이거나 종의 소스인 화합물을 지칭한다는 이해와 함께 "에천트"로서 본 명세서에서 지칭될 수도 있다.
흡착 프로세스가 중성의 에천트 가스에 기판 표면을 노출시킴으로써 달성될 수 있거나 플라즈마-향상될 수 있다는 것이 유념되어야 한다. 도 1(b) 가 수평 표면 상의 에천트의 흡착을 개략적으로 예시하지만, 대체로 에천트가 또한 에칭되는 기판 피처의 측벽들 상에 또한 흡착될 수도 있다는 것이 또한 유념되어야 한다. 그러나, 아래에 기술된 이유들로, 이것은 반드시 측벽들에 떨어져서 에칭 및 잠재적으로 에칭을 반드시 발생시키지는 않는다.
흡착이 발생한 후에, 도 1(c) 에 도시된 바와 같이 과잉의 비흡착된 (가능하게는 탈착된 것을 포함) 에천트는 에칭 프로세스의 차후의 단계들에서 화학 흡착된 종의 반응 (예를 들어, 잠재적인 동시에 발생하는 가스-상 반응) 및 활성화와의 그 방해를 방지하도록 프로세싱 챔버로부터 제거된다. 일부 실시예들에서, 과잉의 비-흡착된 에천트의 제거는 프로세싱 챔버로부터 비-흡착된 에천트를 펌핑함으로써 성취될 수도 있다. 일부 실시예들에서, 제거는 불활성 가스를 사용하여 프로세싱 챔버를 퍼징함으로써 성취될 수도 있다. 일부 실시예들에서, 비-흡착된 종을 제거하기 위한 기저 압력으로 반응 챔버를 펌핑 다운하는 것 및 이어서 퍼징하는 것의 조합 시퀀스 또는 그 역이 채용될 수도 있다.
에천트의 흡착-제한된 층이 기판의 표면 상에 형성되고 비-흡착된 전구체가 프로세싱 챔버로부터 제거된다면, 반응은 에천트가 흡착되는 표면의 상단 층과 흡착된 에천트 사이에서 활성화된다. 도 1(d) 에 도시된 바와 같이, 이것은 표면의 일부의 에칭을 발생시킨다. 도 1(c) 는 표면 반응을 활성화하도록 플라즈마 (140) 의 전자적으로 여기된 종에 노출되는 흡착-제한된 층을 개략적으로 예시한다.
마지막으로, 일부 실시예들에서, 표면-매개성 에칭 반응이 도 1(d) 에 도시된 바와 같이 진행된 후에, 에칭 반응에 의해 생성되는 부산물(들)은 도 1(e) 에 도시된 바와 같이 프로세싱 챔버로부터 제거될 수도 있다. 이것은 PTB (pump-to-base), 및/또는 불활성 가스를 사용한 프로세싱 챔버의 퍼지 등에 의해 성취될 수도 있다. 실시예들에서, 흡착 제한된 층이 에천트로서 염소를 함유하는 경우에, 부산물들은 염소-함유 종을 포함할 수도 있고 적절하게 다뤄져야 한다.
도 1(a) 내지 도 1(e) 는 ALE의 단일의 사이클을 구성하는 이벤트들의 예시적인 시퀀스를 개략적으로 도시한다. 따라서, 일반적으로 단일의 ALE 사이클은 에천트가 표면 상에 흡착-제한된 층을 형성하도록 반도체 기판의 표면 상에 에천트를 흡착하는 동작, 그 후에 흡착된 에천트를 둘러싸는 볼륨으로부터 비흡수된 및/또는 탈착된 에천트를 제거하는 동작을 포함할 수도 있다. 이어서 이러한 단일의 ALE 사이클은 프로세싱 챔버 내의 플라즈마 생성, 및 기판의 표면을 에칭하기 위해 플라즈마와 흡착된 에천트의 콘택트로 진행할 수도 있다. 플라즈마-활성화된 에칭 후에, 선택적인 에칭 후 제거 동작은 에칭된 기판을 둘러싸는 볼륨으로부터 에칭 부산물들 및/또는 탈착된 에천트를 제거할 수도 있다.
그러나, ALE 사이클 각각이 에천트의 단일의 흡착-제한된 층의 반응만을 구성하기 때문에, 통상적으로, 단일의 모노레이어만이 도 1(e) 에 도시된 바와 같이 기판 표면으로부터 제거되고, 그래서 ALE 동작들의 사이클은 상당한 양의 기판 표면을 실질적으로 에칭하도록 복수 회 통상적으로 반복된다. 따라서, 에칭 사이클들의 이 반복은 도 1(a) 와 도 1(e) 를 연결하는 화살표로 도 1에 개략적으로 표시된다. 사이클 각각에서 흡착된 에천트의 층이 컨포멀한 층 - 즉, 실질적으로 균일한 두께를 갖고 그 위에 흡착되는 피처들의 형상에 실질적으로 일치하는 층으로서 종종 지칭된다는 것을 유념하라. 이와 같이, 기판의 임의의 부분이 사이클 각각 동안 노출되는 반응성 에천트의 양은 일관되고 반복 가능하며 이로써 전체 멀티-사이클 ALE 프로세스에 대한 균일도, 제어 가능성, 및 선택도를 제공한다.
도 1(d) 에 도시된 플라즈마-활성화 동작을 다시 참조하면, 플라즈마는 이온들, 자유-라디칼들, 및 중성의 비-라디칼 종을 포함할 수도 있고, 흡착-제한된 층과 이들 종 중 하나 이상의 콘택트는 표면 반응의 활성화를 성취하는 역할을 할 수도 있다. 실시예에 따라, 플라즈마는 프로세싱 챔버 내에 생성/스트라이킹될 (strike) 수도 있거나, 플라즈마는 리모트 플라즈마 소스로부터 프로세싱 챔버 내로 도입될 수도 있거나, 상술한 것들의 조합이 채용될 수도 있다. 통상적으로, 이온들이 표면 반응을 활성화하도록 사용된다면, 이온-기반 플라즈마는 프로세싱 챔버 내에서 생성되고, 자유-라디칼 종이 표면 반응을 활성화하도록 사용된다면, 자유-라디칼 종은 프로세싱 챔버로부터 - 즉, 리모트 자유-라디칼-기반 플라즈마 소스로부터 - 원격으로 생성된 자유-라디칼-기반 플라즈마로부터 프로세싱 챔버 내로 도입될 수도 있다. 일부 실시예들에서, 플라즈마는 자유-라디칼 종 및 이온 종 양자의 상당수를 포함할 수도 있다. 플라즈마는 He, Ar, Ne, Kr, 및/또는 Xe와 같은 불활성 가스로부터 형성될 수도 있고/He, Ar, Ne, Kr, 및/또는 Xe와 같은 불활성 가스를 포함할 수도 있다.
이온-기반 플라즈마가 표면 반응을 활성화하도록 사용될 때, 플라즈마의 이온들은 인가된 EM (electromagnetic) 장에 의해 기판을 향해 구동될 수도 있다. 인가된 EM 장은 충돌하는 종의 일정한 플럭스를 생성할 수도 있거나, 인가된 EM 장은 EM 장의 파동을 통해 충돌하는 종의 펄스들을 생성할 수도 있다. 또한, 인가된 EM 장은 이온들을 특히 선택된/목표된 운동 에너지 레벨로 가속화하도록 특히 유지될 수도 있다. 이것이 이온-기반된 스퍼터 에칭 기법과 유사할 수도 있지만, 차이는 통상적인 ALE 프로세스에서, 이온들은 충격을 받은 재료의 스퍼터 문턱값 미만의 운동 에너지를 갖는다는 것이고; 이는 ALE 프로세스들에서 이온들과 충돌함으로써 전달되는 에너지가 순전한 운동 에너지 단독에 의해 표면으로부터 층들을 충돌 제거하는데 충분하다기보다는, 흡착된 에천트의 표면 반응을 활성화하는데만 충분할 필요가 있기 때문이다. 따라서, 예를 들어, 본 명세서에 개시된 ALE 기법들에서, 이온 종은 100 eV (electron volts) 미만의 상대적인 운동 에너지, 또는 30 eV 미만의 상대적인 운동 에너지, 또는 심지어 10 eV 미만의 상대적인 운동 에너지로 충돌함으로써 (도 1(d) 에서와 같이) 에천트의 흡착-제한된 층과 콘택트할 수도 있다. 물론, 자유-라디칼 종이 반응을 활성화하도록 사용된다면, 자유-라디칼 종은 또한 종종 플라즈마-생성되고, 또한 보다 낮은 운동 에너지를 통상적으로 갖는다. 아무튼, 표면 반응을 활성화하도록 사용되는 것 - 예를 들어, 플라즈마 종의 충돌하는 분자 빔으로서 보일 수 있음 - 이 이온-기반된 스퍼터 에칭보다 아래에 있는 기판에 훨씬 적은 에너지를 부여하기 때문에, ALE 기법은 아래에 있는 표면에 훨씬 적은 대미지를 줄 가능성을 갖고, 에칭 마스크의 사용과 함께 채용될 때와 같이 에칭될 목표된 기판의 부분들에 대해 훨씬 덜 제어 가능하고 특정한 가능성을 가진다.
흡착된 에천트의 플라즈마 활성화는 에칭 프로세스의 특이성을 제어하기 위한 부가적인 메커니즘으로서 또한 역할을 할 수도 있다. 특히, 인가된 EM 장이 기판 표면을 향해 플라즈마 플럭스를 지향하도록 사용될 때, EM 장의 강도는 플라즈마의 종이 기판의 평면과 실질적으로 수직인 속도들로 부여되도록 될 수도 있고, 따라서 플라즈마의 종이 기판과 충돌할 때 - 임의의 수평 속도 컴포넌트의 플라즈마의 종의 실질적인 부족에 기인하여 - 이들 플라즈마 종이 피처 하단들보다는 훨씬 덜 자주 피처 측벽들과 콘택트한다. 따라서, 이것은 피처 측벽들 상에 대한 기판 피처들의 기저에서의 에칭 반응의 우선적인 활성화를 유발하고, 이로써 CD (critical dimension) 및 피처 AR (aspect ratio) 을 보존하도록 작용한다. 에칭 마스크 층으로 덮인 기판을 에칭하는 맥락에서, 충돌하는 플라즈마 종을 수직으로 하향으로 (즉, 기판의 평면에 실질적으로 수직으로) 지향시키는 것은, 에칭 프로세스로 하여금 구역들 내로 옆으로 또는 수평으로 에칭하기보다는 에칭 마스크에 의해 보호되지 않은 구역들에서 기판 내로 하향으로 에칭하게 한다. 에칭이 수직인 정도는 에칭 프로세스가 등방성이거나 동일한 수평 컴포넌트 및 수직 컴포넌트를 갖는 정도에 대조적으로, 이방성의 에칭의 정도라고 말할 수도 있다. 많은 경우들에서, 수직 이방성 에칭은 - 일부 경우들에서, 심지어 수평 에칭 컴포넌트가 에칭 마스크 밑으로부터 재료를 제거할 수도 있는 정도로 (마스킹된 구역들은 에칭 마스크의 에지들에 의해 수직으로 규정됨) - 측벽들로 하여금 "보잉 (bow)" 되게 하는 피처 측벽들의 실질적인 수평 에칭 없이 기판 내로 하향으로 에칭하기 때문에 바람직하다.
그러나, 또한 등방성 에칭을 통해 옆으로 에칭하는 것이 목표가 되는 경우들이 있고, 심지어 에칭 프로세스가 일 페이즈 동안 실질적으로 수직으로 이방성이도록 설계되고, 또 다른 차후의 (또는 이전의) 페이즈에서 등방성이도록 설계되는 경우들이 있다. 일 예는 소위 GAA (gate-all-around) FET (FINFET 설계들에 대한 잠재적인 후속) 의 제조와 관련되고, 게이트 전극은 트랜지스터의 도핑된 채널 영역 둘레에서 360 도 랩핑하고 (wrap), 본질적으로, 나노와이어를 형성한다. 이 타입의 설계에서, 에칭된 구역들이 적절한 전도성의 게이트-전극 재료로 충진될 수 있고, 이로써 GAA 채널을 랩핑하도록 이방성으로 - 채널의 측면들 수직으로 하향으로 - 에칭하고 그리고 이어서 등방성으로 - 와이어-형상의 채널 밑 옆으로 - 에칭하는 것이 바람직할 수도 있다. 따라서, 다음의 생성 IC 설계들의 제조는 에칭 프로세스들의 결합으로부터 이익을 크게 얻을 수도 있고, 에칭 이방성의 정도는 변화하는 하나 이상의 프로세스 파라미터들을 통해 조정, 제어, 및/또는 "온 더 플라이 (on the fly)" 튜닝될 수도 있다.
에칭-활성화 플라즈마로부터의 VUV의 방출을 조정 및/또는 제어
최근에, Ne, Xe, Kr, Ar, 및 이들의 조합들과 같은 다양한 불활성 가스들이 ALE 프로세스에서 표면 에칭 반응을 활성화하도록 사용된 플라즈마를 형성하기 위한 효과적인 가스들로서 역할을 할 수도 있으면서, 상술한 가스들 중 하나 이상과 결합한 헬륨의 사용이 다양한 실시예들에서 부가된 이점들을 제공한다는 것이 발견되었다. 특정한 이론에 제한되는 일 없이, 작은 크기의 헬륨 원자가 에천트의 흡착된 층의 구조 내로 또는 심지어 에칭되는 아래에 있는 재료의 구조 내로 관통하는 (또는 보다 깊게 관통하는) 능력을 가진 에칭-활성화 플라즈마의 헬륨 컴포넌트를 부여하고, 따라서 내부에서 에칭 반응을 보다 효과적으로 활성화한다고 여겨진다.
따라서, 헬륨에 기초한 플라즈마를 채용하는 ALE 동작들이 유익하게 채용될 수도 있다. 그러나, 에칭 프로세스들의 특정한 타입들에서 플라즈마 컴포넌트로서 사용될 때 발생할 수도 있는 헬륨을 가진 일 이슈는, 헬륨의 여기된 상태들 중 몇몇이 VUV 방사선을 방출한다는 것이다. 아래에 더 상세히 논의된 도 2는 20.7 eV 및 19.8 eV만큼 바닥 상태로부터 분리된 헬륨의 2개의 여기된 상태들을 예시하고, 이들 여기된 상태들은 각각 59 nm 및 62 nm 광자들의 방출을 통해 바닥 상태로 감쇠되고 (decay), 단파장 광은 VUV 범위 내에 있다 (약 200 내지 10 nm 또는 약 6.20 내지 124 eV로 고려됨).
VUV 방사선은 매우 고 에너지를 갖고, 이와 같이, 방출된 VUV 광자들 자체는 (예를 들어, 표면 흡착된 에천트들의 반응을 활성화하는 것을 통해) 표면 에칭을 유발할 수도 있고, VUV 광자들이 (이 경우에 헬륨으로부터) 등방성으로 방출되기 때문에, VUV 광자들은 기판을 등방성으로 에칭한다. 이것은 특정한 지향성을 가진 (예를 들어, 기판의 표면에 수직임) 제어된 이방성 에칭이 목표가 된다면 문제를 제기할 수도 있다. 반면에, 등방성 에칭이 특정한 프로세스에서 바람직하다면, VUV 광자들의 등방성 방출은 이익을 제공할 수도 있다.
그러나, 본 명세서에 더 기술되는 바와 같이, 일부 상황들에서, VUV 방출의 등방성 특성은 ALE 프로세스에서 등방성/이방성의 정도로 제어 및/또는 조정 가능성을 제공하도록 이용될 수도 있다. 예를 들어, ALE 프로세스에서 사용된 에칭-활성화 플라즈마가 헬륨과 같은 VUV-방출기를 포함한다면, 따라서 이 플라즈마 컴포넌트로부터 VUV 방출의 정도를 조정 및/또는 제어하기 위한 메커니즘은 ALE 프로세스의 등방성/이방성을 조정 및/또는 제어하기 위한 메커니즘을 또한 제공할 수도 있다. 이것을 달성하는 일 방법은 VUV 광자들의 방출이 발생하기 전에 방출기 가스를 (적어도 특정한 정도로) 탈-여기하고 (de-excite) 방출기 가스로부터 에너지를 흡수하는 역할을 하는 에칭-활성화 플라즈마 내에 부가적인 가스를 포함하는 것이다. 발생하는 에너지의 이 전달을 위한 메커니즘은 VUV-방출기 가스의 원자들과 에너지 흡수체 가스의 원자들 사이의 비탄성 충돌들을 통한 것이다. 따라서, 헬륨의 경우에 대해, 에너지 흡수체 가스는 에너지 흡수체 가스가 VUV 광자들을 방출할 기회를 갖기 전에 헬륨을 충돌 탈-여기하도록 (collisionally de-excite) 사용될 수도 있다.
헬륨을 탈-여기하도록 성공적으로 사용될 수도 있는 하나의 이러한 에너지 흡수체 가스는 네온이다. 이 프로세스의 에너제틱은 도 2에 개략적으로 예시된다. 헬륨으로부터 네온으로의 주된 충돌 에너지 전달이 헬륨-네온 레이저들에서 오랫동안 활용되어 왔다는 것을 유념하라. 도면의 우측은 에너지 (E1) 를 가진 바닥 상태와 함께, 에너지들 (E2, E3, E4, 및 E5) 을 가진 네온의 4개의 전자적으로 여기된 상태들을 예시한다. 도면의 좌측은 에너지들 (E3' 및 E5') 을 가진 헬륨의 2개의 전자적으로 여기된 상태들을 예시한다. (간략함을 위해, 전자적으로 여기된 상태 각각은 그 대응하는 에너지 (E2, E3, E3', 등) 로 지칭될 것이다.) 도면에 나타낸 바와 같이, E3' 및 E5' 헬륨의 여기된 상태들은 바닥 상태 (E1) (0 eV 값을 취함) 에 상대적인, 19.8 eV 및 20.7 eV의 에너지들을 각각 가진다. 또한, 도면에 나타낸 바와 같이, E3' 및 E5' 헬륨의 여기된 상태들은 파장 62 nm 및 파장 59 nm의 VUV 광자들의 방출을 통해 바닥 상태 (E1) 로 방사적으로 각각 감쇠할 수도 있다.
그러나, 도 2는 E3' 및 E5'로 라벨링된 (labeled), 에너지 19.8 eV 및 에너지 20.7 eV를 가진 헬륨의 여기된 상태들이, E3 and E5로 라벨링된, 2개의 네온의 전자적으로 여기된 상태들에 에너지 면에 있어서 매우 가깝다는 것을 또한 예시한다. E3과 E3' 사이뿐만 아니라 E5와 E5' 사이의 작은 에너지 오프셋 (offset) (~ 50 MeV) 은 충돌에 참여하는 원자들의 운동 에너지에 의해 공급될 수도 있다. 결과로서, 헬륨으로부터 (이들 여기된 상태들 중 하나에서) 네온으로의 공진 에너지 전달은 (도면에 나타낸 바와 같이) 충돌 유도될 수도 있다. 예를 들어, 여기된 상태 E3'에서 헬륨은 네온의 바닥 상태 E1로부터 여기된 상태 E3으로 여기되는 네온으로 충돌 에너지 전달에 의해 비-방사적으로 헬륨의 바닥 상태로 복귀할 수도 있다. 마찬가지로, 헬륨의 여기된 E5' 상태는 네온의 바닥 상태 E1로부터 여기된 상태 E5로의 네온의 여기 및 충돌 에너지 전달을 통해 비-방사적으로 퀀칭될 (quenched) 수도 있다.
이 헬륨 대 네온 공진 에너지 전달은 물론, 네온이 여기되는 경우에 네온 자체가 VUV 광자들을 방출한다면, 목표된 효과를 갖지 않을 것이다. 그러나, 네온에 대한 감쇠 통로들의 도 2의 예시에 의해 도시된 바와 같이, 네온은 네온의 E3 및 E5 여기된 상태들로부터 중간의 E2 상태로 대부분 감쇠하고, 상태들 E5 및 E2 사이뿐만 아니라 상태들 E3 및 E2 사이의 에너지 갭은, 고-에너지 VUV 범위에서가 아니라 가시광선 범위 또는 적외선 범위에서 방출된 광자들에 대응한다. 특히, 네온의 E5로부터 E2 상태로의 방사성 감쇠는 632.8 nm 광자들 (가시광선, 적색광) 을 생성하고, 네온의 E3으로부터 E2 상태로의 감쇠는 1152 nm 광자들의 방출을 발생시킨다 (적외선).
사이클을 완료하도록, 네온은 네온이 헬륨으로부터 에너지를 다시 충돌 흡수할 수 있도록 네온의 바닥 상태로 복귀해야 한다. 그러나, 네온은 광 방출에 의해 여기된 상태 E2로부터 네온의 바닥 상태 E1로 복귀할 수 없다; 네온은 충돌성 탈-여기를 필요로 한다. 이것은 남아있는 전자 에너지를 위한 흡착 욕 (bath) 의 역할을 실질적으로 하는, 프로세싱 챔버의 물리적 구조 (예를 들어, 플라즈마를 생성하도록 사용된 플레이트 전극들, 프로세싱 챔버의 벽들, 또는 일부 다른 구조) 와 네온 원자들 사이의 충돌적 에너지 전달을 통해 성취된다. 네온의 E2 상태의 탈-여기는 네온 원자들이 짧은 시간에 벽들에 도달할 수 있도록 네온 원자들을 위한 작은 경로를 가진 프로세스 챔버 설계들을 필요로 한다는 것이 유념되어야 한다. 특히, 좁은 챔버 갭을 가진 플라즈마 프로세싱 챔버들은 헬륨-네온 충돌 에너지 전달 프로세스를 지원하도록 요구된다.
따라서, 에칭-활성화 플라즈마에서 네온에 의한 헬륨의 수반은 상기 플라즈마로부터의 VUV 방사선의 방출이 조정 및/또는 제어되고, 이 능력이 ALE 프로세스에서 이방성 에칭의 정도를 조정 및/또는 제어하는 가능성을 가능하게 하는 메커니즘을 제공한다. 사실상, 복수의 ALE 사이클들을 수반하는 에칭의 과정 동안 (ALE의 단일의 사이클만이 사이클 각각에서 사용된 에천트의 흡착-제한된 양에 기인하여 재료의 작은 두께를 제거하기 때문에 통상적인 바와 같이), 표면 에칭 반응을 활성화하도록 사용된 플라즈마로부터의 VUV 방사선의 방출은 에칭 프로세스 동안 하나 이상의 VUV 방출 프로파일들을 달성하도록 (예를 들어, 에칭 이방성을 가변하도록) 1회 이상 조정될 수도 있다.
이 능력은 ALE 프로세스로 하여금, 예를 들어, (상기에 기술된 바와 같이 파일링된 (file) 인가된 EM의 영향을 통해) 실질적으로 이방성의 수직 에칭으로서 시작하게 하고, 이어서 몇 개의 사이클들 (및/또는 일부 다른 프로세스 파라미터 또는 기판 특성의 충족) 후에 에칭 플라즈마로부터의 VUV 방출의 레벨을 증가시킴으로써 등방성 에칭으로 변환되게 한다. 나중에, 등방성 에칭은 제조되는 기판 피처들의 종류에 따라 이방성 수직 에칭으로 또한 다시 전환될 수 있다.
예를 들어, 이러한 제어가 유용할 수도 있는 일 특정한 시나리오는 소위 GAA 트랜지스터의 상술된 예이다. 이 타입의 설계에서, 이방성으로 - GAA 트랜지스터의 채널 구역의 측면들을 수직으로 하향으로 - 에칭하고 - 와이어-형상의 채널 구역 밑을 에칭하는 실질적인 옆의 컴포넌트가 있도록 - 이어서 등방성으로 에칭하는 것이 바람직할 수도 있고 조정 가능한 VUV 방출 강도를 가짐으로써 제공된 에칭 이방성의 제어는 이 능력을 달성하도록 메커니즘을 제공할 수도 있다.
다른 실시예들에서, (가장 최근의 GAA 설계에서 게이트 형성과 대조적으로) 에칭 마스크를 채용하는 통상적인 피처 에칭에서와 같이, 목적은 가능한 한 실질적으로 수직으로 - 즉, 기판의 평면에 수직으로 - 에칭하는 것일 수도 있고 이것은 수평 에칭 컴포넌트가 에칭 마스크 밑을 에칭할 수도 있고 형성되는 기판 디바이스 구조들에 대미지를 유발할 수도 있기 때문이다. 이 시나리오에서, 이것이 실현 가능한 정도로 전체 에칭에 대해 등방성 에칭 컴포넌트를 최소화하는 것이 바람직하고, 따라서, 이를 달성하기 위해서, 플라즈마 조성은 VUV 방출을 일관되게 최소화하도록 조정될 수도 있다. 이것은 가장 흔한 시나리오일 수도 있지만, 등방성을 최소화하고 따라서 VUV 방출을 최소화하는 것이 바람직한, "등방성 ALE"에서와 같은, 경우들이 있다. 대체로, 에칭 프로세스의 상이한 페이즈들은 상이한 정도로 등방성 또는 이방성이 되도록 목표가 될 수도 있고 따라서, 실시예에 따라, 플라즈마 조성의 변경을 통해 플라즈마 VUV 방출을 변화시킴으로써 상이한 페이즈들 동안 타겟 에칭 등방성/이방성 각각을 달성하는 것이 실현 가능할 수도 있다.
일부 실시예들에서, VUV 방출의 조정은 플라즈마 내에서 충돌 에너지 흡수체 가스에 대한 VUV-방출기 가스의 농도 비를 변경하는 것을 수반할 수도 있다. VUV-방출기 가스가 헬륨이고 충돌 에너지 흡수체 가스가 네온인 경우에 대해, 이것은 예를 들어, 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경하기 위한 비율로 프로세싱 챔버 내로 헬륨 및/또는 네온을 흘림으로써 행해질 수 있다. 프로세싱 챔버 내로의 헬륨 및 네온의 연속적인 피드 (feed) 가 있다면, 그러면 피드의 상대적인 비율들은 플라즈마 조성을 변화시키도록 변경될 수 있다. 연속적인 피드가 없고 대신에 챔버 내에 고정된 그리고 이전에 흐른 양의 헬륨 및 네온이 단지 있다면, 그러면 어느 하나의 플로우는 챔버 내의 비율을 변경하도록 설정될 수 있다. 아무튼, 플로우가 상대적인 비율들을 변경하도록 사용된다면, 그러면 목표된 VUV 방출 프로파일에 따라, 방출 강도는 플라즈마 내에서 네온에 대한 헬륨의 비를 증가시키도록 프로세싱 챔버 내로 헬륨을 흘림으로써 상향으로 조정될 수도 있거나, 플라즈마 내에서 네온에 대한 헬륨의 비를 감소시키도록 프로세싱 챔버 내로 네온을 흘림으로써 하향으로 조정될 수도 있다. VUV-방출기 또는 충돌 에너지 흡수체 중 하나 또는 양자의 챔버 내로의 플로우는 총 챔버 압력이 목표된 레벨에서 유지되도록 챔버로부터의 가스의 제거에 의해 밸런싱될 (balance) 수 있다. 따라서, 헬륨과 네온 사이의 다양한 가스 혼합 비들을 사용함으로써, 에칭-활성화 플라즈마로부터 나오는 VUV 방출의 양이 튜닝될 (tune) 수도 있다. 가장 높은 VUV 방출 강도가 네온이 거의 없거나 전혀 없는 가스 혼합물에 의해 생성되고 가장 낮은 VUV 방출 강도가 약 50 %의 네온 또는 그 이상의 네온의 가스 혼합물에 의해 생성된다는 것을 알 수 있다.
몇몇의 실험들은 헬륨 플라즈마로부터의 VUV 방출 강도가 플라즈마 내에 네온을 포함함으로써 그리고 플라즈마 내에서 네온에 대한 헬륨의 상대적인 비율을 변경함으로써 얼마나 가변될 수도 있는지를 입증하도록 수행되었다. 실험들은 2개의 상이한 에칭 챔버들 내에서 수행되었다.
이들 에칭 챔버들 중 제 1 챔버는 이 경우에 설정으로서 - 여기서, 특히, 단지 24 mm (약 1 인치) 의 다른 플레이트-형상의 전극과 기판 홀더 사이 (플라즈마가 생성되는 사이) 에 갭을 가진, - 작은-갭 반응기의 예인, 용량 결합된 플라즈마 (CCP) 반응기이었다. 작은 갭은 네온과 플라즈마 챔버의 구조 사이의 충돌들을 촉진하고, 챔버와의 향상된 충돌 에너지 전달 및 네온의 바닥 상태로의 네온의 E2 상태의 보다 빠른 쇠퇴를 야기한다. 이 챔버 내에서 생성된 헬륨-네온 플라즈마들에 대응하는 결과 및 VUV 조정 능력을 예시하는 결과가 도 3에 도시된다. 특히, 도 3은 4개의 상이한 챔버 압력 레벨들에서 수행된 4개의 실험들에 대해 CCP 반응기 내로의 헬륨 플로우에 대한 네온의 632.8 nm 선의 방출 강도를 나타낸다. 4개의 압력들은 (보다 높은 네온 분압들에 대응하는 보다 높은 총 챔버 압력들을 가짐) 도면의 범례에 도시된 바와 같이 40 mTorr 내지 400 mTorr 범위 내에 있다. 네온의 632.8 nm 선의 방출은 VUV 방출이 사실상 직접 측정하기 어렵기 때문에 (VUV가 고 진공 환경 외부에 흡착되기 때문에) 도 2에 도시된 충돌의 공진 에너지 전달 프로세스를 통해 헬륨으로부터 네온으로의 에너지 플로우를 평가하도록 사용된다는 것을 유념하라.
도 3의 실험 결과들은 4개의 상이한 총 챔버 압력 레벨들에서, 헬륨 플로우에 관한 ~633 nm 방출 강도의 의존성을 예시하고: 보다 많은 헬륨은 보다 많은 VUV 방출을 생성하지만, 또한 헬륨과 네온 사이의 충돌들의 빈도를 증가시키고, 헬륨의 보다 많은 충돌성 탈-여기, 네온에 대한 플로우에 대한 보다 많은 에너지, 및 보다 많은 633 nm 방출을 야기한다. 실험들은 주어진 헬륨 플로우 레이트에 대해, (250 및 550 sccm의 네온 플로우 레이트들에 각각 대응하는) 100 mTorr 및 250 mTorr의 총 챔버 압력들이 가장 높은 633 nm 방출, 및 따라서 헬륨으로부터 네온으로의 가장 큰 에너지 전달 및 VUV 방출의 가장 강한 억제를 나타낸다는 것을 또한 보여준다. 특정한 이론에 제한되는 일 없이, 이들 중간의 챔버 압력 레벨들이 헬륨-네온 충돌 빈도와, 네온과 프로세싱 챔버의 구조 사이의 충돌들의 빈도 사이에 밸런스를 제공함으로써 증가된 헬륨 대 네온 에너지 전달을 발생시킨다고 여겨진다. 보다 높은 압력들은 헬륨-네온 충돌 이벤트들의 빈도를 증가시키지만, 압력이 매우 높다면, 네온의 평균 자유 경로는 플라즈마의 내부의 네온 원자들이 자신들의 탈-여기를 위해 요구된 충분한 빈도로 프로세싱 챔버의 구조에 도달하지 않는 정도로 감소되고, 이것 없이, 도 2에 도시된 에너지 전달 사이클은 완료되지 않을 수 있다. (E2 여기된 상태로부터의 네온의 감쇠의 상기 논의를 참조하라.) 따라서 주어진 헬륨 분압 레벨에서 가장 큰 VUV 억제를 제공하려는 경향이 있는 일부 최적의 네온 분압 레벨이 대체로 있다는 것이 이들 실험들에 기초하여 결론이 내려진다.
에칭 챔버의 제 2 타입에서 수행된 유사한 실험들이 도 4에 도시된다. 제 2 에칭 챔버는 이 경우에, 기판 홀더와 플라즈마 생성 하드웨어 사이에 약 6 인치의 갭을 가진, 큰-갭 반응기의 예인, 유도 결합된 플라즈마 (ICP) 반응기이다. 큰 갭은 (작은-갭 반응기에 대해) 플라즈마 챔버의 구조와 네온 사이의 충돌의 빈도를 감소시키고, 매우 감소된 네온의 충돌성 탈-여기 및 네온의 E2 상태로부터의 (네온의 바닥 상태로의) 훨씬 더 느린 쇠퇴를 야기한다.
도 3과 유사하게, 도 4는 상이한 총 챔버 압력 레벨들에서 수행된 4개의 실험들의 결과들을 도시하고, 실험들 각각에 대해 반응기 내로의 헬륨 플로우에 대한 네온의 ~633 nm 선의 방출 강도를 나타낸다. 여기서, 4개의 실험들에 대한 총 챔버 압력들은 20 mTorr 내지 300 mTorr 범위 내에 있고, 다시 주어진 헬륨 플로우 레이트에 대해, 중간의 챔버 압력들이 최대 VUV 억제 능력을 야기하는 것으로 보인다. 그러나, 이 큰-갭 반응기의 경우에 대해, 가장 높은 633 nm 방출을 야기하는 중간의 챔버 압력들은 40 mTorr 및 90 mTorr이었고, 100 mTorr 및 250 mTorr 압력 레벨들보다 상당히 보다 낮은 범위가 작은-갭 반응기 (도 3 참조) 에서 VUV 억제를 가장 잘 촉진하는 것으로 보인다. 또한, 심지어 40 mTorr에서, 큰-갭 반응기에서의 실험들은 적은 VUV 억제가 발생하는 것을 나타내는, 약한 633 nm 신호만을 발생시킨다. 다시 한번, 특정한 이론에 제한되는 일 없이, 일부 지점에서 보다 높은 압력들이 네온의 평균 자유 경로를 네온이 자신들의 충돌 탈-여기에 대해 요구된 프로세싱 챔버의 구조에 자주 도달하지 않을 수 있는 정도로 감소한다고 여겨지고, 이것 없이, 도 2에 도시된 에너지 전달 사이클은 완료되지 않을 수 있다. 네온이 에칭 챔버의 구조에 도달하도록 이동해야 하는 거리가 작은-갭 반응기에 비해 큰-갭 반응기에서 상당히 길기 때문에, VUV 억제가 달성될 수도 있는 압력들은 작은-갭 반응기에서 보다 낮다. 따라서, (이들 실험들에서 사용된 ICP 반응기와 같은) 큰-갭 반응기 내의 VUV 억제, 조정, 및/또는 제어가 특정한 저압 레짐들로 제한된다고 결론이 내려진다. 아무튼, 도 3 및 도 4는 VUV-방출기 가스와 에너지 흡수체 가스 사이의 충돌 에너지 전달 프로세스가 VUV 방출을 제어 및/또는 조정하도록 다양한 에칭 챔버들 내에서 사용될 수도 있다는 것을 입증한다.
따라서 이와 같이 작은 챔버 갭을 채용하는 에칭 반응기들이 CCP 반응기에 제공될 수도 있고, 통상적인 ICP 반응기와 같은, 통상적인 큰-갭 반응기에서 행해질 수도 있는 것에 대해 VUV 방출의 제어 및/또는 조정을 위한 상당히 향상된 기회를 제공할 수도 있다는 것을 유념하라. 그러나, 일부 실시예들에서, 보다 높은 압력 레짐의 결과로서 저 평균 자유 경로를 가짐에도 불구하고 네온 원자들이 충돌할 수도 있는 것에 대향하여 구조를 제공하는 하나 이상의 컴포넌트들을 부가하도록 통상적인 ICP 반응기 (또는 다른 큰-갭 반응기) 를 수정하는 것이 사실상 가능할 수도 있다는 것을 유념하라. 예를 들어, 큰-갭 반응기의 갭 영역 내에 제공된 그리드 또는 메시 (mesh) 가 이러한 구조일 수도 있다. 또 다른 예는 기판의 평면에 수직인 실린더들의 중심축들로 배향된 (가능하게는 갭들, 홀들, 등을 가진) 동심원의 실린더들의 세트일 것이다. 물론, 일부 실시예들에서, 상술된 바와 같이, 특정한 적용에 대해 VUV 방출 강도를 튜닝하는 것을 바랄 수도 있고, 그래서 최대 VUV 억제를 달성하는 것이 사실상 목표가 되지 않을 수도 있다는 것이 이해되어야 한다.
따라서, 에칭 챔버 내에서 플라즈마로부터의 VUV 방사선의 방출을 제어하고 및/또는 조정하는 상술한 능력을 고려하여, 에칭 반응-활성화 플라즈마를 활용하고 상기 플라즈마로부터의 VUV 방사선의 방출을 조정하는 하나 이상의 동작들을 채용하는 ALE 기법들이 개시된다. 도 5는 VUV 조정을 채용하는 이러한 순환적인 ALE 프로세스의 흐름도를 제공한다. 도면에 도시된 바와 같이, 순환적인 ALE 프로세스는 에천트가 표면 상에 흡착-제한된 층을 형성하도록 반도체 기판의 표면 상에 에천트를 흡착하는 동작 511로 시작하고, 흡착된 에천트를 둘러싸는 볼륨으로부터 비흡수된 및/또는 탈착된 에천트를 제거하는 동작 512가 이어진다. 이어서, 도면에 도시된 바와 같이, 동작 513에서, 헬륨-네온 플라즈마는 VUV 방사선을 방출하는 프로세싱 챔버 내에서 생성되고, 이어서 흡착된 에천트가 기판의 표면을 에칭하도록 동작 514에서 플라즈마와 콘택트된다.
이 때, 기판 재료의 일 층의 에칭을 발생시키는 단일의 ALE 사이클이 수행된다. 복수의 ALE 사이클들이 에칭 프로세스를 통상적으로 구성하기 때문에, 다음에 도 5는 상술한 ALE 사이클이 특정한 ALE 프로세스 시퀀스를 성취하도록 임의의 수, 즉 N회 반복될 수도 있다는 것을 나타낸다. 따라서, 표면이 동작 514에서 에칭된 후에, 프로세스는 N ALE 사이클들이 수행되는 지의 여부가 결정되는 로직 블록 515로 진행한다. 특정한 수의 N ALE 사이클들이 수행된다면, 프로세스는 종료한다. 그렇지 않으면, N 미만의 사이클들이 수행된다면, 프로세스는 로직 블록 516으로 진행한다.
로직 블록 516은 에칭-활성화 플라즈마의 VUV 방출 강도가 유익하게 조정될 수도 있는지 아닌지의 여부를 결정하는 것과 관계가 있다. 플라즈마로부터 방출된 VUV 방사선의 강도, 뿐만 아니라 다른 요인들에 따라, 이전의 ALE 사이클에서 행해진 기판 에칭은 실질적으로 등방성일 수도 있거나 실질적으로 이방성 컴포넌트를 가질 수도 있고, 또한, 이전의 ALE 사이클 동안의 등방성/이방성의 정도는 최적일 수도 있거나, 어떤 점에서는 준최적일 수도 있다.
따라서, 일부 실시예들에서, 에칭-활성화 플라즈마의 특성은 채용되는 특정한 ALE 프로세스에 대해 실질적으로 등방성 또는 이방성인지의 여부를 결정하도록 측정될 수도 있다. 예를 들어, 에칭-활성화 플라즈마의 여기된 상태 종의 방출 대역의 방출 강도는 플라즈마의 VUV 방출의 강도 및 따라서 등방성/이방성의 정도를 평가하도록 측정될 수도 있다. 특정한 이러한 실시예들에서, 측정된 방출 대역은 도 3 및 도 4에 예시된 바와 같이, 632.8 nm에 중심이 있는 네온의 가시 대역일 수도 있다.
다른 실시예들에서, VUV 방출 조정이 보증되는지 또는 보증되지 않는 지의 여부를 결정하는 것은 에칭된 기판의 특성에 기초하여 평가될 수도 있다. 예를 들어, ALE 프로세스에서 에칭된 하나 이상의 기판 피처들의 프로파일은 VUV 방출 조정이 유익할 수도 있는 지의 여부를 결정하도록 계측 툴을 사용하여 측정될 수도 있다. 더 구체적으로, 예를 들어, 에칭된 피처의 측벽들의 측정된 보잉은 플라즈마가 덜 등방성이게 하고 VUV 방출을 감소되게 해야 하는 것을 나타낼 수도 있다.
또 다른 실시예들에서, 막-완료된 ALE 사이클에서 사용된 에칭-활성화 플라즈마가 실질적으로 최적이었지만 (또는 적어도 상당히 최적임), 전체 에칭 프로세스는 이방성의 정도가 에칭되는 기판 피처의 설계에 기인하여 다음의 사이클에서 변화하도록 - 예를 들어, (상기에 논의된 바와 같이) GAA 트랜지스터 내의 게이트 형성을 달성하기 위해 수직 에칭으로부터 수평 에칭으로 변화하도록 되어 있다.
따라서, 도 5의 로직 블록 516에 도시된 바와 같이, - 예를 들어, (플라즈마 및/또는 기판의 측정된 특성으로부터 결정된 바와 같이) 막 완료된 ALE 사이클에서 준최적이기 때문에 또는 VUV 방출 강도 등을 조정하기 위한 전체 에칭 프로세스에서 적절한 때이기 때문에 - 플라즈마의 VUV 방출 강도가 유익하게 조정될 수도 있는 지의 여부가 결정되고 만약 그렇다면, 프로세스는 나타낸 바와 같이, 플라즈마 VUV 방출이 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경함으로써 조정되는, 동작 517로 진행한다. 일부 실시예들에서, 이것은 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경하기 위한 비율로 측정된 특성에 응답하여 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우를 설정함으로써 달성될 수도 있다. 아무튼, VUV 방출 조정이 행해진다면 또는 조정이 로직 블록 516에서 불필요하게/유익하지 않게 결정되었다면, 프로세스는 다시 한 번 동작들 511 내지 514의 ALE 사이클을 반복하도록 진행한다.
도시된 바와 같이, 도 5는 단일의 기판을 에칭하는 동안 에칭-활성화 플라즈마로부터 방출된 VUV 방사선의 조정을 포함하는 ALE 프로세스 시퀀스를 나타낸다. 이것은 예를 들어, 에칭-활성화 플라즈마의 특성 및/또는 에칭된 기판의 특성이 ALE 사이클들이 계속되는 동안 모니터링되는 경우에 대응할 수도 있다. 그러나, 일부 실시예들에서, 주어진 기판에 대한 ALE 프로세스의 특성들에 관한 정보는 에칭 후에 획득된다. 이와 같이, 이 정보는 프로세싱되는 기판들의 시퀀스에서 다음의 기판의 에칭에 대한 프로세스 파라미터들의 조정에서 유익하게 채용될 수도 있다. 실시예들의 이들 타입들에서, 에칭된 기판의 특성 (예를 들어, 피처 표면 상의 하나 이상의 에칭된 피처들의 특성들) 을 측정하도록 사용된 계측 툴은, (반드시 그러한 것은 아니지만) 에칭 프로세스 챔버 (동일한 클러스터 툴 상에서도 가능하지만) 로부터 구별되는 디바이스일 수도 있고, 기판이 에칭 챔버에 남겨진 후 상술한 데이터를 획득하도록 사용될 수도 있다. 따라서, 예를 들어, 일부 실시예들에서, 별개의 계측 툴로부터의 피드백 루프는 에칭 챔버의 동작으로 다시 에칭 프로파일 정보를 피딩하게 설정될 수도 있고, 측정된 에칭 프로파일이 수행의 요청된 범위 내에 있지 않다면, 헬륨 및 네온의 비는 보상하도록 자동으로 조정될 수도 있다. 실시예들의 이들 종류들에서 VUV 방출 조정이 기판들의 시퀀스의 맥락에서 수행되는 동안, 도 5에 예시된 원리가 여전히 적용되는데: ALE 동작들의 시퀀스 동안, 에칭 프로세스가 VUV 방출을 조정함으로써 유익할 것인지의 여부가 결정될 수도 있고, 만약 그렇다면, 조정은 차후의 ALE 사이클들을 개선하도록 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경함으로써 행해진다는 것을 유념하라.
상세한 부가적인 ALE 프로세스 파라미터들
(i) 에천트 도즈 및 흡착: 방금 기술된 ALE 사이클의 에천트 도즈 및 흡착 동작들 동안, 에천트는 약 100 내지 250 sccm (standard cubic centimeter per minute) 의 레이트로, 또는 보다 구체적으로 약 150 내지 500 sccm의 레이트로 반응 챔버로 흐를 수도 있다. 실시예에 따르면, 에천트가 약 50 내지 250 mTorr, 보다 구체적으로 약 100 내지 150 mTorr의 챔버 내의 분압을 갖도록 에천트는 반응 챔버로 흐를 수도 있다. 플로우의 지속기간은 약 0.5 내지 30 초, 또는 보다 구체적으로 약 10 내지 20 초 동안일 수도 있다. 일부 실시예들에서, 이 단계는 플라즈마 향상될 수도 있다. RF 전력 레벨들은 약 100 내지 1000 Watt, 약 200 내지 700 Watt일 수도 있고, 일부 실시예들에서, 유도 결합된 반응기의 안테나로 약 400 Watt RF 전력, 또는 용량 결합된 반응기의 전극으로 동일한 전력 레벨들로 인가될 수도 있다.
(ii) 에천트의 도즈-후 제거: 방금 기술된 ALE 사이클의 비흡착된 및/또는 탈착된 에천트를 제거하는 도즈-후 동작 동안, 반응 챔버의 불활성 퍼지가 채용될 수도 있다. 퍼지는 (제거 가스들로서 사용될 수도 있는 He 및/또는 Ne, 및 또한 Ar, Kr, 및/또는 Xe과 같은) 불활성 퍼지 가스를 반응 챔버로 약 100 내지 500 sccm의 레이트로 약 1 내지 10 초 동안, 또는 보다 구체적으로 약 1 내지 3 초 동안, 또는 약 2 초 동안 흘리는 것으로 구성될 수도 있다.
(iii) 플라즈마 생성 및 에칭 활성화: 방금 기술된 ALE 사이클의 플라즈마-활성화된 반응/변환 동작 동안, 플라즈마는 (네온과 같은) 충돌 에너지 흡수체 가스 및 (헬륨과 같은) VUV-방출기 가스의 이온들 및/또는 자유 라디칼들을 포함하는 프로세싱 챔버 내에서 생성될 수도 있다. 플라즈마는 프로세싱 챔버로 흐른다면 (또는 흐르는 동안) 이들 가스들에 RF EM 방사선을 인가함으로써 형성된다. 플라즈마 생성 동안 이들 플라즈마 전구체들에 대한 실행 가능한 플로우들은 약 100 내지 250 sccm, 또는 보다 구체적으로 약 150 내지 500 sccm일 수도 있거나, 반응 챔버로의 플로우는 약 50 내지 250 mTorr, 보다 구체적으로 약 100 내지 150 mTorr의 VUV-방출기 가스와 충돌 에너지 흡수체 가스의 결합된 분압을 설정할 수도 있다.
플라즈마를 생성하기 위한 RF 전력은 약 30 내지 6000 W, 또는 약 200 내지 3100 W, 또는 약 300 내지 1100 W, 또는 약 350 내지 550 W, 또는 약 30 내지 100 W, 또는 약 400 W일 수도 있고; (27.12 ㎒, 40.68 ㎒, 또는 54.24 ㎒와 같은 13.56 ㎒의 포지티브 정수 배수들 (그리고 때때로 60 ㎒와 같은 비-정수 배수들) 등이 또한 실시예에 따라 사용될 수도 있고, 약 13.56 ㎒ 또는 주파수 배수를 일부 주파수 튜닝하는 것이 또한 채용될 수도 있음) 13.56 ㎒의 주파수를 가진다. RF 전력은 표면 에칭 반응을 유발하는 약 0.1 내지 30 초 동안 플라즈마의 이온들 및/또는 라디칼들에 흡착된 에천트의 대응하는 노출 시간을 발생시키는 약 0.1 내지 30 초 동안 스위치 온으로 남이 있을 수도 있다. 보다 구체적으로, RF 전력은 약 0.5 내지 3 초 동안, 또는 약 0.5 내지 2 초 동안, 또는 약 1 내지 2 초 동안 스위치 온될 수도 있다 (그리고 흡수된 에천트가 플라즈마에 노출됨).
또한, 전통적으로 고-주파수 플라즈마들이 약 13.56 ㎒로 설정된 RF 주파수에서 생성되지만, 일부 구성들에서, 주파수는 이 표준 값과는 상이한 값으로 플로팅되게 (float) 될 수도 있다. 주파수를 플로팅하게 (통상적으로 약 ±5 %만큼) 허용함으로써 임피던스 매칭을 사전결정된 부하 (예를 들어, 50 Ohm 부하, 부하가 주파수 의존적일 수도 있음) 로 고정하는 동안, 플라즈마는 ALE 사이클들과 때때로 연관된 매우 짧은 플라즈마 지속기간들을 사용할 때 중요할 수도 있는 결과를 훨씬 더 신속하게 안정화할 수 있다. 또한, 위에서 언급한 바와 같이, 특정한 실시예들에서, 13.56 ㎒의 표준 HF 값의 배수들 (또는 일부 실시예들에서, 60 ㎒ 또는 80 ㎒와 같은 비-배수들) 은 훨씬 더 높은 주파수 플라즈마들을 생성하도록 사용될 수도 있다. 13.56 ㎒의 표준 값이 사용될 때, 13.56 ㎒의 보다 고 주파수 배수에서 생성된 HF 방사선은 또한 동적으로 튜닝될 수도 있다. 실시예에 따라, 사용될 수도 있는 13.56 ㎒의 배수들은 27.12 ㎒ (= 2*13.56 ㎒), 40.68 ㎒ (= 3*13.56 ㎒), 54.24 ㎒ (= 4*13.56 ㎒), 등을 포함한다. 13.56 ㎒의 배수에 대한 주파수 튜닝은 약 ±5%의 주파수 편차를 포함할 수도 있다. 보다 높은 RF 주파수들은 RF 전력의 Watt당 보다 높은 플라즈마 밀도, 보다 낮은 시트 전압들, 및 기판 상의 충돌 시의 느린 이온 속도 및 목표가 등방성으로 에칭하는 것일 때 유익할 수도 있는 지향성을 발생시킨다.
(iv) 에칭 부산물 및/또는 탈착된 에천트 종 제거: 에칭-후 부산물 제거 동작이 ALE 사이클에서 채용될 때, 제거는 약 100 내지 500 sccm의 플로우 레이트로 1 내지 10 초 동안, 또는 보다 구체적으로 약 1 내지 3 초 동안, 또는 약 2 초 동안 불활성 퍼지 가스 (예를 들어, Ar 또는 N2) 를 사용하여 챔버를 퍼징함으로써 성취될 수도 있다. 압력에 관해서, 퍼지 동안 챔버 내의 압력은 약 50 내지 250 mTorr, 또는 보다 구체적으로 약 50 내지 150 mTorr일 수도 있다. 상술된 도즈-후 제거 동작과 마찬가지로, 일부 실시예들에서, PTB는 또한 이 에칭-후 제거 동안 채용될 수도 있다. 따라서, 도즈-후 및 에칭-후 제거 동작들은 일반적으로 기판을 둘러싸는 볼륨을 기저 압력 ("pump-to-base") 으로 펌핑 다운함으로써 퍼징, 배기 등을 통해 행해질 수도 있다.
기판 프로세싱 장치들
본 명세서에 기술된 방법들은 임의의 적합한 반도체 기판 프로세싱 장치를 사용하여 수행될 수도 있다. 적합한 장치는 프로세스 동작들을 성취하기 위한 하드웨어 및 다양한 플라즈마 VUV 방출 조정 및/또는 제어 기법들에 따라 프로세스 동작들 및 본 명세서에 개시된 ALE 동작들을 제어하기 위한 인스트럭션들을 가진 시스템 제어기를 포함한다. 일부 실시예들에서, 하드웨어는 (아래에 기술된 바와 같이) 멀티-스테이션 기판 프로세싱 툴 내에 포함된 하나 이상의 프로세스 스테이션들/모듈들, 및 본 명세서에 기술된 기법들 및 동작들에 따라 장치 내에서 프로세스 동작들을 제어하기 위한 머신-판독 가능한 인스트럭션들을 가진 (또는 그에 대한 액세스를 가진) (아래에 기술된 바와 같은) 제어기를 포함할 수도 있다.
따라서, 아래에 기술된 다양한 용량 결합된 플라즈마 반응기 및 유도 결합된 플라즈마 반응기의 맥락에서 보다 구체적으로 기술된 바와 같이, 적절한 기판 프로세싱 장치는 프로세싱 챔버, 플라즈마 생성기, 프로세싱 챔버 내로 가스들을 흘리기 위해 구성된 하나 이상의 가스 플로우 유입부들, 진공 펌프, 진공 펌프에 대한 밸브-제어된 도관, 및 이들 컴포넌트들의 동작들을 제어하기 위한 제어기를 일반적으로 포함할 수도 있다. 일부 실시예들에서, 이러한 장치는 프로세싱 챔버 내에 형성된 플라즈마들의 방출 강도들을 측정하기 위한 광 검출기를 더 포함할 수도 있고, 상술한 장치에 의해 실장된 (embodied) 프로세싱 모듈은 이 장치를 사용하여 반도체 기판 상에 에칭된 피처의 에칭 프로파일을 측정하기 위한 계측 툴에 액세스할 수도 있다. 다음의 기술들은 적합한 에칭 챔버들을 더 상세히 예시한다.
ALE 동작들에서의 사용을 위한 용량 결합된 플라즈마 반응기들
VUV 방출이 조정 및/또는 제어될 수도 있고, 특정한 실시예들에서, 흡착을 위해 염소 플라즈마 그리고 탈착을 위해 헬륨-네온 (helium-neon) 플라즈마를 채용하는 ALE 동작들에 대해 적합할 수도 있는, 용량 결합된 플라즈마 (CCP) 반응기들은, 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 발명의 명칭이 "Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal"인 미국 특허 출원 제 12/367,754 호로서 2009년 2월 9일 출원된 미국 특허 제 8,552,334 호에 기술된다.
예를 들어, 도 6a 내지 도 6c는 조정 가능한 갭 용량 결합된 제한된 RF 플라즈마 반응기 (600) 의 실시예를 예시한다. 도시된 바와 같이, 진공 프로세싱 챔버 (602) 는 하부 전극 (606) 을 하우징하는 내부 공간을 둘러싸는, 챔버 하우징 (604) 을 포함한다. 챔버 (602) 의 상부 부분에서, 상부 전극 (608) 은 하부 전극 (606) 으로부터 수직으로 이격된다. (플라즈마 생성을 위해 사용되도록 구성된) 상부 및 하부 전극들 (608, 606) 의 평면의 표면들은 전극들 사이의 수직 방향에 대해 실질적으로 평행하고 직교한다. 바람직하게 상부 및 하부 전극들 (608, 606) 은 수직 축에 대해 동축이고 원형이다. 상부 전극 (608) 의 하부 표면은 하부 전극 (606) 의 상부 표면과 대면한다. 이격된 대면 전극 표면들은 전극 표면들 사이에 조정 가능한 갭 (610) 을 구성한다. 플라즈마 생성 동안, 하부 전극 (606) 에 RF 전력 공급부 (매칭) (620) 에 의해 RF 전력이 공급된다. RF 전력은 RF 공급 도관 (622), RF 스트랩 (624) 및 RF 전력 부재 (626) 를 통해 하부 전극 (606) 에 공급된다. 접지 차폐부 (636) 는 하부 전극 (606) 에 보다 균일한 RF 장을 제공하도록 RF 전력 부재 (626) 를 둘러쌀 수도 있다. (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는) 미국 특허 공보 제 2008/0171444 호에 기술된 바와 같이, 웨이퍼는 웨이퍼 포트 (682) 를 통해 삽입되고 프로세싱을 위해 하부 전극 (606) 상의 갭 (610) 내에 지지되고, 프로세스 가스는 갭 (610) 에 공급되고 RF 전력에 의해 플라즈마 상태로 여기된다. 상부 전극 (608) 은 전력 공급될 수 있거나 접지될 수 있다.
도 6a 내지 도 6c에 도시된 실시예에서, 하부 전극 (606) 은 하부 전극 지지 플레이트 (616) 상에 지지된다. 하부 전극 (606) 과 하부 전극 지지 플레이트 (616) 사이에 개재된 절연체 링 (614) 은 지지 플레이트 (616) 로부터 하부 전극 (606) 을 절연한다. RF 바이어스 하우징 (630) 은 RF 바이어스 하우징 볼 (632) 상에 하부 전극 (606) 을 지지한다. 볼 (632) 은 RF 바이어스 하우징 (630) 의 암 (634) 에 의해 도관 지지 플레이트 (638) 에 챔버 벽 플레이트 (618) 내의 개구를 통해 연결된다. 바람직한 실시예에서, RF 바이어스 하우징 볼 (632) 과 RF 바이어스 하우징 암 (634) 은 일 컴포넌트로서 일체형으로 형성되지만, 암 (634) 과 볼 (632) 은 함께 볼트 결합되거나 연결된 2개의 별개의 컴포넌트들일 수 있다.
RF 바이어스 하우징 암 (634) 은 RF 전력을 지나가게 하기 위한 하나 이상의 중공형 통로들 및 가스 냉각제, 액체 냉각제, RF 에너지, 리프트 핀 제어를 위한 케이블들, 진공 챔버 (602) 의 외부로부터 진공 챔버 (602) 의 내부로 하부 전극 (606) 의 후면 상의 공간에서의 전기적 모니터링 및 작동 신호들과 같은 설비를 포함한다. RF 공급 도관 (622) 은 RF 바이어스 하우징 암 (634) 으로부터 절연되고, RF 바이어스 하우징 암 (634) 은 RF 전력 공급부 (620) 로의 RF 전력에 대한 복귀 경로를 제공한다. 설비 도관 (640) 은 설비 컴포넌트들에 통로를 제공한다. 설비 컴포넌트들의 추가의 상세사항들은 미국 특허 제 5,948,704 호 및 미국 특허 공보 제 2008/0171444 호 (양자는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용됨) 에 기술되고 기술의 간략함을 위해 여기에 도시되지 않는다. 갭 (610) 은 제한 링 어셈블리 (미도시) 에 의해 바람직하게 둘러싸이고, 그 상세사항들은 (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는) 미국 특허 공보 제 2007/0284045 호에서 발견될 수 있다.
도관 지지 플레이트 (638) 는 구동 (actuation) 메커니즘 (642) 에 부착된다. 구동 메커니즘의 상세사항들은 (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는) 미국 특허 공보 제 2008/0171444 호에 기술된다. 서보 기계 모터, 스텝퍼 모터 등과 같은, 구동 메커니즘 (642) 은 예를 들어, 볼 나사를 회전시키기 위한 모터 및 볼 나사와 같은 나사 기어 (646) 에 의해, 수직의 선형 베어링 (644) 에 부착된다. 갭 (610) 의 크기를 조정하기 위한 동작 동안, 구동 메커니즘 (642) 은 수직의 선형 베어링 (644) 을 따라 이동한다. 도 6a는 구동 메커니즘 (642) 이 작은 갭 (610a) 을 발생시키는 선형 베어링 (644) 상의 고 위치에 있을 때의 배열을 예시한다. 도 6b는 구동 메커니즘 (642) 이 선형 베어링 (644) 상의 중간 위치에 있을 때의 배열을 예시한다. 도시된 바와 같이, 하부 전극 (606), RF 바이어스 하우징 (630), 도관 지지 플레이트 (638), RF 전력 공급부 (620) 는 모두 챔버 하우징 (604) 및 상부 전극 (608) 에 대해 하부로 이동하고, 중간 크기 갭 (610b) 을 발생시킨다.
도 6c는 구동 메커니즘 (642) 이 선형 베어링 상의 저 위치에 있을 때 큰 갭 (610c) 을 예시한다. 바람직하게, 상부 및 하부 전극들 (608, 606) 은 갭 조정 동안 동축으로 유지되고 갭에 걸친 상부 전극 및 하부 전극의 대면하는 표면들은 평행하게 유지된다.
이 실시예는 예를 들어, 300 mm 웨이퍼들과 같은 큰 직경 기판 또는 평판 디스플레이들에 걸쳐 균일한 에칭을 유지하도록, 멀티-단계 에칭 프로세스들 동안 CCP 챔버 (602) 내의 하부 및 상부 전극들 (606, 608) 사이의 갭 (610) 이 조정되게 한다. 특히, 이 실시예는 하부 및 상부 전극들 (606, 608) 사이에 조정 가능한 갭을 제공하는데 필수적인 선형 운동을 용이하게 하기 위한 기계적 배열에 관한 것이다.
도 6a는 근위 단부에서 도관 지지 플레이트 (638) 에 시일되고 원위 단부에서 챔버 벽 플레이트 (618) 의 계단형 플랜지 (628) 에 시일되는 측면으로 편향된 벨로즈 (bellows) (650) 를 예시한다. 계단형 플랜지의 내부 직경은 RF 바이어스 하우징 암 (634) 이 통과하는 챔버 벽 플레이트 (618) 내에 개구 (612) 를 규정한다. 측면으로 편향된 벨로즈 (650) 는 RF 바이어스 하우징 (630), 도관 지지 플레이트 (638) 및 구동 메커니즘 (642) 의 수직 이동을 허용하면서 진공 시일을 제공한다. RF 바이어스 하우징 (630), 도관 지지 플레이트 (638) 및 구동 메커니즘 (642) 은 캔틸레버 어셈블리로서 지칭될 수 있다. 바람직하게, RF 전력 공급부 (620) 는 캔틸레버 어셈블리와 함께 이동하고 도관 지지 플레이트 (638) 에 부착될 수 있다. 도 6b는 캔틸레버 어셈블리가 중간 위치에 있을 때 중립 위치에 있는 벨로즈 (650) 를 도시한다. 도 6c는 캔틸레버 어셈블리가 저 위치에 있을 때 측면으로 편향된 벨로즈 (650) 를 도시한다.
래비린드 (labyrinth) 시일 (648) 은 벨로즈 (650) 와 플라즈마 프로세싱 챔버 하우징 (604) 의 내부 사이에 입자 배리어를 제공한다. 고정된 차폐부 (656) 는 이동식 차폐 플레이트 (658) 가 캔틸레버 어셈블리의 수직 이동을 수용하도록 수직으로 이동하는 래비린드 홈 (660) (슬롯) 을 제공하도록 챔버 벽 플레이트 (618) 에서 챔버 하우징 (604) 의 내부 내측 벽에 부동적으로 부착된다. 이동식 차폐 플레이트 (658) 의 외측 부분은 하부 전극 (606) 의 모든 수직 위치들에서 슬롯 내에 유지된다.
도시된 실시예에서, 래비린드 시일 (648) 은 래비린드 홈 (660) 을 규정하는 챔버 벽 플레이트 (618) 내의 개구 (612) 의 주변에서 챔버 벽 플레이트 (618) 의 내측 표면에 부착된 고정된 차폐부 (656) 를 포함한다. 이동식 차폐 플레이트 (658) 는 부착되고 RF 바이어스 하우징 암 (634) 으로부터 방사상으로 연장하고, 암 (634) 은 챔버 벽 플레이트 (618) 내의 개구 (612) 를 통과한다. 이동식 차폐 플레이트 (658) 는 제 1 갭만큼 고정된 차폐부 (656) 로부터 이격되고 캔틸레버 어셈블리로 하여금 수직으로 이동하게 하는 제 2 갭만큼 챔버 벽 플레이트 (618) 의 내부 표면으로부터 이격되는 동안 래비린드 홈 (660) 내로 연장한다. 래비린드 시일 (648) 은 벨로즈 (650) 로부터 스폴링된 (spalled) 입자들의 이송으로 하여금 진공 챔버 내부에 들어가는 것을 차단하고 프로세스 가스 플라즈마로부터의 라디칼들로 하여금 라디칼들이 나중에 스폴링되는 퇴적물들을 형성하는 벨로즈 (650) 에 이송되는 것을 차단한다.
도 6a는 캔틸레버 어셈블리가 높은 위치 (작은 갭 (610a)) 에 있을 때 RF 바이어스 하우징 암 (634) 위의 래비린드 홈 (660) 내의 보다 높은 위치에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 도 6c는 캔틸레버 어셈블리가 낮은 위치 (큰 갭 (610c)) 에 있을 때 RF 바이어스 하우징 암 (634) 위의 래비린드 홈 (660) 내의 보다 낮은 위치에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 도 6b는 캔틸레버 어셈블리가 중간 위치 (중간 갭 (610b)) 에 있을 때 래비린드 홈 (660) 내의 중립 또는 중간 위치에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 래비린드 시일 (648) 은 RF 바이어스 하우징 암 (634) 에 대해 대칭적인 것으로 도시되지만, 다른 실시예들에서, 래비린드 시일 (648) 은 RF 바이어스 암 (634) 에 대해 비대칭적일 수도 있다.
ALE 동작들에서의 사용을 위한 유도 결합된 플라즈마 반응기들
VUV 방출이 조정 및/또는 제어될 수도 있고, 특정한 실시예들에서, 흡착을 위해 염소 플라즈마 그리고 탈착을 위해 헬륨-네온 (helium-neon) 플라즈마를 채용하는 ALE 동작들에 대해 적합할 수도 있는, 유도 결합된 플라즈마 (ICP) 반응기들이 이제 기술된다. 이러한 ICP 반응기들은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 발명의 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인, 2013년 12월 10일 출원된, 미국 특허 공보 제 2014/0170853 호에 또한 기술된다.
예를 들어, 도 7은 본 명세서에서 특정한 실시예들을 구현하기에 적절한 유도 결합된 플라즈마 에칭 장치 (700) 의 단면도를 개략적으로 도시하고, 그 예는 캘리포니아, 프리몬트 소재의 Lam Research Corp.에 의해 생산된 Kiyo TM 반응기이다. 유도 결합된 플라즈마 에칭 장치 (700) 는 챔버 벽들 (701) 및 윈도우 (711) 에 의해 구조적으로 구성된 전체 에칭 챔버를 포함한다. 챔버 벽들 (701) 은 스테인리스 강 또는 알루미늄으로 제조될 수도 있다. 윈도우 (711) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택적인 내부의 플라즈마 그리드 (750) 는 전체 에칭 챔버를 상부 서브-챔버 (702) 와 하부 서브-챔버 (703) 로 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (750) 는 제거될 수도 있고, 이로써 서브-챔버들 (702 및 703) 로 이루어진 챔버 공간을 활용한다. 척 (717) 은 하단 내측 표면 근처의 하부 서브-챔버 (703) 내에 배치된다. 척 (717) 은 에칭 프로세스가 그 위에서 수행되는 반도체 웨이퍼 (719) 를 수용하고 홀딩하도록 구성된다. 척 (717) 은 존재할 때 웨이퍼 (719) 를 지지하기 위한 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 은 척 (717) 을 둘러싸고, 척 (717) 위에 존재할 때, 웨이퍼 (719) 의 상단 표면과 대략적으로 평면인 상부 표면을 가진다. 척 (717) 은 웨이퍼를 처킹하고 (chuck) 처킹하지 않기 위한 정전 전극들을 또한 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 는 이 목적을 위해 제공될 수도 있다. 척 (717) 으로부터 웨이퍼 (719) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (717) 은 RF 전력 공급부 (723) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (723) 는 연결부 (727) 를 통해 매칭 회로 (721) 에 연결된다. 매칭 회로 (721) 는 연결부 (725) 를 통해 척 (717) 에 연결된다. 이 방식으로, RF 전력 공급부 (723) 는 척 (717) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들 (element) 은 윈도우 (711) 위에 배치되는 코일 (733) 을 포함한다. 코일 (733) 은 전기적으로 전도성의 재료로부터 제조되고 적어도 하나의 완료된 턴 (turn) 을 포함한다. 도 7에 도시된 코일 (733) 의 예는 3개의 턴들을 포함한다. 코일 (733) 의 단면들은 심볼들로 도시되고, "X"를 가진 코일들은 페이지 내로 순환적으로 연장하고, 반면에 "
Figure pat00001
"을 가진 코일들은 페이지의 외부로 순환적으로 연장한다. 플라즈마 생성을 위한 엘리먼트들은 코일 (733) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (741) 를 또한 포함한다. 일반적으로, RF 전력 공급부 (741) 는 연결부 (745) 를 통해 매칭 회로 (739) 에 연결된다. 매칭 회로 (739) 는 연결부 (743) 를 통해 코일 (733) 에 연결된다. 이 방식으로, RF 전력 공급부 (741) 는 코일 (733) 에 연결된다. 선택적인 패러데이 차폐부 (749) 는 코일 (733) 과 윈도우 (711) 사이에 배치된다. 패러데이 차폐부 (749) 는 코일 (733) 에 대해 이격된 관계로 유지된다. 패러데이 차폐부 (749) 는 윈도우 (711) 바로 위에 배치된다. 코일 (733), 패러데이 차폐부 (749), 및 윈도우 (711) 는 서로 실질적으로 평행하도록 각각 구성된다. 패러데이 차폐부는 금속 또는 다른 종이 플라즈마 챔버의 유전체 윈도우 상에 증착하는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어 헬륨, 네온, 에천트, 등) 은 상부 챔버 내에 배치된 하나 이상의 메인 가스 플로우 유입부들 (760) 을 통해 및/또는 하나 이상의 측면 가스 플로우 유입부들 (770) 을 통해 프로세싱 챔버 내로 흐를 수도 있다. 마찬가지로, 명확하게 도시되진 않았지만, 유사한 가스 플로우 유입부들은 도 6a 내지 도 6c에 도시된 용량 결합된 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 하나 또는 2개의 스테이지 기계적 건조 펌프 및/또는 터보분자 펌프 (740) 는, 프로세스 챔버 (724) 의 외부로 프로세스 가스들을 인출하도록 그리고 프로세스 챔버 (700) 내의 압력을 유지하도록 사용될 수도 있다. 밸브-제어된 도관은 진공 펌프에 의해 제공된 진공 환경의 적용을 선택적으로 제어하도록 프로세싱 챔버에 진공 펌프를 유체적으로 연결하도록 사용될 수도 있다. 이것은 동작 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜듈럼 밸브 (미도시) 와 같은, 폐쇄된-루프-제어된 플로우 제한 디바이스를 채용하여 행해질 수도 있다. 마찬가지로, 도 6a 내지 도 6c에서의 용량 결합된 플라즈마 프로세싱 챔버에 대한 밸브 제어된 유체 연결부 및 진공 펌프가 또한 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입부들 (760 및/또는 770) 을 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스는 메인 가스 플로우 유입부 (760) 를 통해서만, 또는 측면 가스 플로우 유입부 (770) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입부들은 예를 들어, 보다 많은 복잡한 가스 플로우 유입부들, 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (749) 및/또는 선택적인 그리드 (750) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (749) 와 선택적인 그리드 (750) 중 하나 또는 양자는 프로세스 가스들의 전달을 위한 샤워헤드로서의 역할을 할 수도 있다.
무선 주파수 전력은 RF 전류로 하여금 코일 (733) 을 통해 흐르게 하도록 RF 전력 공급부 (741) 로부터 코일 (733) 로 공급된다. 코일 (733) 을 통해 흐르는 RF 전류는 코일 (733) 둘레에 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (702) 내에 유도 전류를 생성한다. 다양한 생성된 이온들 및 라디칼들과 웨이퍼 (719) 의 물리적 상호작용 및 화학적 상호작용은 웨이퍼의 피처들을 선택적으로 에칭한다.
상부 서브-챔버 (702) 및 하부 서브-챔버 (703) 양자가 있도록 플라즈마 그리드가 사용된다면, 유도 전류는 상부 서브-챔버 (702) 내에 전자-이온 플라즈마를 생성하도록 상부 서브-챔버 (702) 내에 존재하는 가스에 작용한다. 선택적인 내부의 플라즈마 그리드 (750) 는 하부 서브-챔버 (703) 내의 고온의 전자들의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (703) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계 및 동작된다.
이온-이온 플라즈마가 양 이온들에 대한 음 이온들의 더 큰 비를 가질 것이지만, 상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자는 양 이온 및 음 이온을 포함할 수도 있다. 휘발성 에칭 부산물들은 하부-서브챔버 (703) 로부터 포트 (722) 를 통해 제거될 수도 있다.
본 명세서에 개시된 척 (717) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 에칭 프로세스 동작 및 특정한 레시피에 따라 결정될 것이다. 일부 실시예들에서, 챔버 (701) 는 약 1 mTorr 내지 약 95 mTorr 범위 내의 압력들로 또한 동작할 수도 있다. 특정한 실시예들에서, 압력은 상술된 바와 같이 보다 높을 수도 있다.
챔버 (701) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비 (미도시) 에 커플링될 수도 있다. 설비는 프로세싱 가스들, 진공, 온도 제어, 및 환경적 입자 제어를 제공하는 배관을 포함한다. 이들 설비는 타깃 제조 설비 내에 설치될 때, 챔버 (701) 에 커플링된다. 부가적으로, 챔버 (701) 는 로보틱스 (robotics) 로 하여금 통상적인 자동화를 사용하여 챔버 (701) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, (하나 이상의 물리적 제어기 또는 로직 제어기를 포함할 수도 있는) 시스템 제어기 (730) 는 에칭 챔버의 동작들의 일부 또는 전부를 제어한다. 시스템 제어기 (730) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다.
통합된 계측 툴을 가진 클러스터 툴
도 8는 VTM (vacuum transfer module) (838) 과 인터페이싱하는 다양한 모듈들을 가진 반도체 프로세스 클러스터 툴 (800) 을 도시한다. 복수의 저장 설비들과 프로세싱 모듈들 사이로 웨이퍼들을 "이송시키기" 위한 이송 모듈들의 구성은 "클러스터 툴 아키텍처" 시스템으로서 지칭될 수도 있다. 로드록 또는 이송 모듈로서 또한 알려진 에어록 (airlock) (830) 은 다양한 제조 프로세스들을 수행하도록 각각 최적화될 수도 있는, 4개의 프로세싱 모듈들 (820a 내지 820d) 을 가진 VTM (838) 내에 도시된다.
예를 들어, 프로세싱 모듈들 (820a 내지 820d) 은 (ALE 프로세스를 통한 1차원 및 2차원의 패턴들의 에칭과 같은) 기판 에칭, (ALD 프로세스를 통한 컨포멀한 막들의 증착과 같은) 증착, 이온 주입, 웨이퍼 세정, 웨이퍼 평탄화, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 따라서, 예를 들어, 프로세싱 모듈은 (상술된 바와 같이) 유도 결합된 플라즈마 반응기, 또는 (또한 상술된 바와 같이) 용량 결합된 플라즈마 반응기일 수도 있다.
일부 실시예들에서, 기판 프로세싱 모듈들 중 하나 이상 (820a 내지 820d 중 임의의 하나) 은 클러스터 툴 상에서 다른 웨이퍼 프로세싱 모듈들의 동작(들)을 조정 및/또는 제어하기 위한 기준으로서 사용될 수도 있는 웨이퍼 계측 데이터를 획득하는데 전용일 수도 있다. 예를 들어, 웨이퍼 계측 툴 모듈은 에칭 동작 후에 하나 이상의 기판 피처들의 하나 이상의 특성들을 측정할 수도 있고, 이어서 발생한 데이터는 클러스터 툴 상에서 발생하는 추가의 에칭 동작들에서 - 예를 들어, ALE 프로세스를 활성화하도록 사용된 플라즈마 내의 헬륨 및 네온의 상대적인 비율들과 같은 - 프로세스 파라미터들을 조정하도록 사용될 수도 있다. 특정한 이러한 실시예들에서, 계측 모듈/툴에 의해 측정된 기판 피처는 반도체 기판의 피처의 에칭 프로파일일 수도 있다.
도 8에 도시된 것과 같은 클러스터 툴 상에서 수행된 일부 에칭 동작들에서, 측정들은 에칭 동작 동안 행해질 수도 있고, 그리고 측정은 동일한 에칭이 진행 중이고 및/또는 (예를 들어, 상이한 기판 상에서) 차후의 에칭 동작 시에 있는 동안 하나 이상의 프로세스 파라미터들을 어떻게 조정 및/또는 제어하는지를 결정하도록 분석될 수도 있다. 예를 들어, 유도 결합된 플라즈마 반응기 또는 용량 결합된 플라즈마 반응기는 하나 이상의 가시광선, 적외선, 자외선 (UV), 및/또는 VUV 방출 대역들로부터, 예를 들어 ALE 표면 반응을 활성화하도록 사용된 플라즈마로부터 방출 강도를 측정하기 위한 광 검출기를 채용할 수도 있다. 일부 실시예들에서, 측정된 방출 강도는 분석될 수도 있고 본 명세서에 기술된 바와 같이 ALE 동작에서 사용된 헬륨-네온 플라즈마 내의 헬륨 및 네온의 상대적인 농도들을 조정하도록 사용될 수도 있다.
다시 도 8을 참조하면, 에어록 (830) 및 프로세스 모듈 (820) 은 "스테이션들"로서 지칭될 수도 있다. 스테이션 각각은 VTM (838) 에 스테이션을 인터페이싱하는 패싯 (836) 을 가진다. 패싯 각각 내부에서, 센서들 (1 내지 18) 은 스테이션들 각각 사이에서 이동될 때 웨이퍼 (826) 의 통과를 검출하도록 사용된다. 로봇 (822) 은 스테이션들 사이로 웨이퍼 (826) 를 이송한다. 일 실시예에서, 로봇 (822) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (822) 은 2개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (826) 와 같은 웨이퍼들을 피킹하도록 (pick) 단부 이펙터 (824) 를 가진다. ATM (atmospheric transfer module) (840) 내의 프런트-단부 로봇 (832) 은 LPM (Load Port Module) (842) 내의 카세트 또는 FOUP (Front Opening Unified Pod) (834) 로부터 에어록 (830) 으로 웨이퍼들 (826) 을 이송하도록 사용된다. 프로세스 모듈 (820) 내부의 모듈 중심부 (828) 는 웨이퍼 (826) 를 배치하기 위한 일 위치이다. ATM (840) 내의 얼라이너 (844) 는 웨이퍼들을 정렬하도록 사용된다.
프로세싱 시퀀스의 일 예에서, 웨이퍼는 LPM (842) 내의 FOUP들 (834) 중 하나 내에 배치된다. 프런트-단부 로봇 (832) 은 웨이퍼를 FOUP (834) 로부터 얼라이너 (844) 로 이송시키고, 이는 웨이퍼가 에칭되거나 프로세싱되기 전에 웨이퍼 (826) 로 하여금 적절하게 중심에 위치되게 한다. 정렬된 후에, 웨이퍼 (826) 는 프런트-단부 로봇 (832) 에 의해 에어록 (830) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 환경을 매칭하는 능력을 갖기 때문에, 웨이퍼 (826) 는 대미지를 받는 일없이 2개의 압력 환경들 사이를 이동할 수 있다. 에어록 모듈 (830) 로부터, 웨이퍼 (826) 는 로봇 (822) 에 의해 VTM (838) 을 통해 그리고 프로세스 모듈들 (820a 내지 820d) 중 하나 내로 이동된다. 이 웨이퍼 이동을 달성하도록, 로봇 (822) 은 로봇의 암들 각각 상의 단부 이펙터들 (824) 을 사용한다. 웨이퍼 (826) 가 프로세싱된다면, 웨이퍼는 로봇 (822) 에 의해 프로세스 모듈들 (820a 내지 820d) 로부터 에어록 모듈 (830) 로 이동된다. 여기서부터, 웨이퍼 (826) 는 프런트-단부 로봇 (832) 에 의해 FOUP들 (834) 중 하나로 또는 얼라이너 (844) 로 이동될 수도 있다.
(아래에 기술된 바와 같이) 시스템 제어기가 클러스터 툴의 동작을 제어하도록 (예를 들어, 클러스터 툴 상의 다양한 스테이션들 사이의 기판 이동을 제어하도록) 사용될 수도 있다는 것을 유념하라. 시스템 제어기는 클러스터 아키텍처에 대해 국부적일 수도 있거나, 시스템 제어기는 제작 플로어 내, 또는 리모트 위치 내에서 클러스터 툴의 외부에 위치될 수도 있고 네트워크를 통해 클러스터 툴에 연결될 수도 있다.
시스템 제어기들
도 8은 또한 프로세스 툴 (800) 및 이의 프로세스 스테이션들의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 일 실시예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 하나 이상의 CPU들, ASIC들, 범용 컴퓨터(들) 및/또는 특정 목적의 컴퓨터(들), 하나 이상의 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 하나 이상의 스텝퍼 모터 제어기 보드(들), 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 는 그의 개별 프로세스 스테이션들의 동작을 포함하여 프로세스 툴 (800) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (850) 는 프로세서 (852) 상에서 머신-판독 가능한 시스템 제어 인스트럭션들 (858) 을 실행할 수도 있고, - 시스템 제어 인스트럭션들 (858) 은 일부 실시예들에서, 대용량 저장 디바이스 (854) 로부터 메모리 디바이스 (856) 내로 로딩된다. 시스템 제어 인스트럭션들 (858) 은 타이밍, 가스 반응물질 및 액체 반응물질의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (800) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 이들 프로세스들은 이로 제한되지 않지만, ALE에 의해서와 같은 기판들 상의 막의 에칭, 헬륨-네온 플라즈마의 사용에 의해서와 같은 흡착된 표면 반응물질들의 플라즈마-활성화, 네온과 같은 충돌 에너지 흡착제 가스의 사용을 통한 헬륨으로부터와 같은 플라즈마 VUV 방출의 조정을 수반하는 동작들, 뿐만 아니라 다른 타입들의 기판 프로세싱 동작들과 연관된 프로세스들을 포함하는 다양한 타입들의 프로세스들을 포함할 수도 있다.
따라서, 예를 들어, 표면 에칭 반응을 활성화하도록 사용된 플라즈마로부터 방출 강도를 측정하기 위한 광 검출기를 가진 ALE 프로세스들을 수행하기 위한 장치에 대해, 시스템 제어기 (850) 에 의해 실행된 머신-판독 가능한 인스트럭션들 (858) 은:
프로세싱 챔버 내에서 플라즈마를 생성하도록 플라즈마 생성기를 동작시키기 위한 인스트럭션으로서, 플라즈마는 헬륨 및 네온을 포함하고, 플라즈마는 VUV 방사선을 방출하는, 플라즈마 생성기를 동작시키기 위한 인스트럭션; 및
플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경하기 위한 비율로 프로세싱 챔버 내로 헬륨 및/또는 네온을 흘림으로써 플라즈마로부터 VUV 방사선의 방출을 조정하도록 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션을 포함할 수도 있다.
그리고 또한, 예를 들어, 실시예에 따라,
플라즈마의 방출 대역의 방출 강도를 측정하도록 광 검출기를 동작시키기 위한 인스트럭션; 및
측정된 방출 강도에 응답하여 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우 레이트를 설정하도록 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션을 포함할 수도 있다.
마찬가지로, 에칭 프로파일을 측정하기 위한 계측 툴을 가진 ALE 프로세스들을 수행하기 위한 장치에 대해, 제어기의 머신 판독 가능한 인스트럭션들은:
프로세싱 챔버 내로 에천트 가스를 흘리도록 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션;
에천트가 에천트의 흡착-제한된 층을 형성하기 위해 내부에 홀딩된 반도체 기판의 표면 상에 흡착하도록 프로세싱 챔버 내의 조건들을 설정하기 위한 인스트럭션;
흡착된 에천트를 둘러싸는 볼륨으로부터 비흡착된 및/또는 탈착된 에천트를 제거하도록 밸브-제어된 도관 및 진공 펌프를 동작시키기 위한 인스트럭션;
에천트의 흡수 및 비흡착된 및/또는 탈착된 에천트의 제거 후에, 반도체 기판 상의 피처를 에칭하도록 플라즈마 생성기를 동작시키기 위한 인스트럭션;
반도체 기판 상의 에칭된 피처의 에칭 프로파일을 측정하도록 계측 툴을 동작시키기 위한 인스트럭션; 및
측정된 에칭 프로파일에 응답하여 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우 레이트를 설정하도록 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션을 포함할 수도 있다.
시스템 제어 인스트럭션들 (858) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들 (858) 은 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들 (858) 은 소프트웨어로 구현되고, 다른 실시예들에서, 이 인스트럭션들은 하드웨어로 구현될 수도 있고 - 예를 들어, ASIC (application specific integrated circuit) 내의 로직으로서 하드-코딩될 수도 있으며, 또는 다른 실시예들에서, 하드웨어와 소프트웨어의 조합으로서 구현될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 및/또는 에칭 프로세스 또는 프로세스들의 페이즈 각각은 시스템 제어기 (850) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 예를 들어, 막 증착 및/또는 에칭 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은, 대응하는 증착 및/또는 에칭 레시피 페이즈 내에 포함될 수도 있다. 일부 실시예들에서, 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록, 레시피 페이즈들이 연속적으로 배열될 수도 있다.
시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터-판독 가능한 인스트럭션들 및/또는 프로그램들이 일부 실시예들에서 채택될 수도 있다. 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (도 5의 508 참조) 상에 기판을 로딩하고 반도체 기판과 도 5의 프로세스 툴 (500) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 포지셔닝 프로그램은 막을 기판들 상에 증착하는데 필요한 대로 기판들을 반응 챔버 내로 그리고 외부로 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하고 선택적으로 하나 이상의 프로세스 스테이션을 둘러싸는 볼륨들 내로, 이러한 볼륨들 내의 압력을 안정화시키기 위해, 증착 및/또는 에칭 전에 가스를 흘리기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판들 상의 막 증착 동작 및/또는 에칭 동작 동안에 프로세싱 챔버 내의, 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨(들) 내로 특정한 가스들을 도입하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 증착되는 막의 조성 및/또는 수반된 에칭 프로세스의 특성에 따라서 동일한 레이트들로, 동일한 지속기간들 동안에 또는 상이한 레이트들로 및/또는 상이한 지속기간들 동안에 이러한 가스들을 전달하기 위한 인스트럭션들을 또한 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 가열된 주입 모듈 내에서 헬륨 또는 일부 다른 캐리어 가스의 존재 시에 액체 반응물질을 원자화/기화하기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템 내의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 및/또는 기판들의 에칭 동안에 동일한 또는 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
히터 제어 프로그램은 기판들을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 부가적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다. 히터 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 및/또는 기판들의 에칭 동안에 프로세스 스테이션들을 둘러싸는 볼륨들 및/또는 반응 챔버 내에서 동일하거나 상이한 온도들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들 상의 막 증착 및/또는 기판들의 에칭 동안에 동일하거나 상이한 RF 전력 레벨들, 및/또는 주파수들, 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성들 및 플로우 레이트들, 온도들 (기판 홀더 온도 및 샤워헤드 온도), 압력들, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스들을 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스들을 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들 (MFC들), (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple) 과 같은 온도 센서 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 및/또는 에칭프로세스들을 구현하기 위한 머신-판독 가능한 인스트럭션들을 제공할 수도 있다. 이 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 이 인스트럭션들은 본 명세서에 기술된 바와 같이 막 증착 및/또는 에칭 동작들을 수행하도록 파라미터들을 제어할 수도 있다.
따라서, 시스템 제어기는 통상적으로 본 장치가 본 명세서에서 개시된 프로세스들에 따라서 동작들을 수행하도록 머신 판독 가능한 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 본 명세서에서 개시된 기판 프로세싱 동작들에 따라서 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능한, 비일시적인 매체는 시스템 제어기와 커플링될 수도 있다.
상술된 다양한 장치들 및 방법들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 및/또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만 이러한 툴들은 공통 제조 설비 내에서 함께 및/또는 동시에 사용 또는 프로세스 실시될 것이다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈 (유도 결합된 플라즈마 또는 용량 결합된 플라즈마 채용), 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
리소그래피 패터닝
막의 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴들을 사용하여 인에이블되는 다음의 동작들 중 일부 또는 모두를 포함하고, 이 동작들은 (1) 스핀-온 툴 또는 스프레이-온 툴을 사용하여, 기판, 예를 들어, 기판 상에 형성된 실리콘 질화물 막을 가진 기판 상에 포토레지스트를 도포하는 동작; (2) 고온 플레이트 또는 노 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작; (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용함으로써 레지스트 패턴을 아래에 놓인 막 또는 기판에 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거하는 동작을 포함한다. 일부 실시예들에서, 애시가능한 하드 마스크층 (예를 들어, 비정질 탄소 층) 및 다른 적합한 하드 마스크 (예를 들어, 반사방지 층) 가 포토레지스트를 도포하기 전에 증착될 수도 있다.
다른 실시예들
상기에 개시된 기법들, 동작들, 프로세스들, 방법들, 시스템들, 장치들, 툴들, 막들, 화학물질들, 및 조성들이 명료성 및 이해를 촉진하는 목적으로 특정한 실시예들의 맥락 내에서 상세하게 기술되지만, 이 개시의 정신 및 범위 내에 있는 상술한 실시예들을 구현하는 많은 대안적인 방식들이 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 명세서에 기술된 실시예들은 제한적이라기보다는 개시된 본 발명의 개념들을 예시한다고 볼 수 있고, 본 개시의 주제에 궁극적으로 지향된 임의의 청구항들의 범위를 지나치게 제한하기 위한 허용할 수 없는 기준으로서 사용되지 않는다.

Claims (22)

  1. 반도체 프로세싱 챔버 내에서 플라즈마로부터의 VUV (vacuum ultraviolet) 방사선의 방출을 조정하는 방법에 있어서,
    상기 프로세싱 챔버 내에서 플라즈마를 생성하는 단계로서, 상기 플라즈마는 VUV-방출기 가스 및 충돌 에너지 흡수체 가스를 포함하고, 상기 플라즈마는 VUV 방사선을 방출하는, 상기 프로세싱 챔버 내에서 플라즈마를 생성하는 단계; 및
    상기 플라즈마 내에서 상기 충돌 에너지 흡수체 가스에 대한 상기 VUV-방출기 가스의 농도 비를 변경함으로써 상기 플라즈마로부터의 상기 VUV 방사선의 방출을 조정하는 단계를 포함하는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  2. 제 1 항에 있어서,
    상기 VUV-방출기 가스는 헬륨인, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  3. 제 2 항에 있어서,
    상기 충돌 에너지 흡수체 가스는 네온인, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  4. 제 3 항에 있어서,
    상기 플라즈마로부터 상기 VUV 방사선의 방출을 조정하는 단계는 상기 플라즈마 내에서 네온에 대한 헬륨의 상기 농도 비를 변경하기 위한 비율로 상기 프로세싱 챔버 내로 헬륨 및/또는 네온을 흘리는 단계를 포함하는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  5. 제 4 항에 있어서,
    상기 플라즈마로부터의 상기 VUV 방사선의 방출은 상기 플라즈마 내에서 네온에 대한 헬륨의 상기 비를 증가시키도록 상기 프로세싱 챔버 내로 헬륨을 흘림으로써 상향으로 조정되는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  6. 제 4 항에 있어서,
    상기 플라즈마로부터의 상기 VUV 방사선의 방출은 상기 플라즈마 내에서 네온에 대한 헬륨의 상기 비를 감소시키도록 상기 프로세싱 챔버 내로 네온을 흘림으로써 하향으로 조정되는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  7. 제 4 항에 있어서,
    상기 플라즈마 및/또는 상기 기판의 특성을 측정하는 단계; 및
    상기 측정된 특성에 응답하여 상기 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우를 설정하는 단계를 더 포함하는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  8. 제 7 항에 있어서,
    상기 특성은 상기 플라즈마의 여기된 상태 종의 방출 대역으로부터의 방출 강도인, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  9. 제 8 항에 있어서,
    상기 측정된 방출 대역은 632.8 nm에 중심이 있는 네온의 방출 대역인, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  10. 제 7 항에 있어서,
    상기 특성은 계측 툴을 사용하여 측정된 반도체 기판의 에칭된 피처의 프로파일이고, 상기 피처는 상기 프로세싱 챔버 내에서 에칭되는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  11. 제 10 항에 있어서,
    상기 에칭된 피처의 상기 측벽들의 측정된 보잉 (bowing) 에 응답하여 헬륨의 플로우는 감소되고 및/또는 네온의 플로우는 증가되는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 플라즈마는 용량 결합된 플라즈마인, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  13. 제 12 항에 있어서,
    내부에서 상기 플라즈마가 생성되는 상기 반도체 프로세싱 챔버는 용량 결합된 플라즈마 반응기의 일부이고, 상기 반응기는 상부 플레이트를 갖고, 상기 반응기는 상기 상부 플레이트와 상기 기판 사이의 갭이 약 1.5 cm 내지 2.5 cm이도록 구성되는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  14. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 플라즈마는 유도 결합된 플라즈마이고, 내부에서 상기 플라즈마가 생성되는 상기 반도체 프로세싱 챔버는 내부에 상기 플라즈마가 생성되는 갭 영역을 가진 유도 결합된 플라즈마 반응기의 일부이고, 상기 반응기는 네온 원자들이 충돌할 수도 있고 충돌 탈-여기될 (collisionally de-excite) 수도 있는 것에 대항하는 구조를 제공하는 상기 갭 영역 내에 위치된 하나 이상의 컴포넌트들 (component) 을 포함하는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  15. 제 14 항에 있어서,
    네온의 탈-여기를 위한 상기 구조를 제공하는 상기 하나 이상의 컴포넌트들은 상기 기판의 상기 평면에 수직인 중심축들로 배향된 동심원의 실린더들의 세트를 포함하는, 플라즈마로부터의 VUV 방사선의 방출을 조정하는 방법.
  16. 반도체 기판의 표면 상에서 피처를 에칭하는 방법에 있어서,
    상기 방법은:
    (a) 에천트가 상기 표면 상에 흡착-제한된 층을 형성하도록 상기 반도체 기판의 상기 표면 상에 에천트를 흡착하는 단계;
    (b) 상기 단계 (a) 후에, 상기 흡착된 에천트를 둘러싸는 볼륨으로부터 비흡착된 및/또는 탈착된 에천트를 제거하는 단계;
    (c) 상기 단계 (b) 후에, 프로세싱 챔버 내에서 플라즈마를 생성하는 단계로서, 상기 플라즈마는 헬륨 및 네온을 포함하고, 상기 플라즈마는 VUV 방사선을 방출하는, 상기 플라즈마를 생성하는 단계;
    (d) 상기 기판의 상기 표면을 에칭하도록 상기 플라즈마와 상기 흡착된 에천트를 콘택트시키는 (contact) 단계; 및
    (e) 상기 단계 (a) 내지 (d) 를 복수 회 반복하고 상기 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경함으로써 상기 단계 (d) 에서 상기 플라즈마로부터의 상기 VUV 방사선의 방출을 조정하여, 상기 기판의 상기 표면의 상기 에칭의 이방성을 변경하는 단계를 포함하는, 반도체 기판의 표면 상에서 피처를 에칭하는 방법.
  17. 제 16 항에 있어서,
    상기 에천트는 염소를 포함하는, 반도체 기판의 표면 상에서 피처를 에칭하는 방법.
  18. 제 16 항 또는 제 17 항에 있어서,
    상기 플라즈마로부터 상기 VUV 방사선의 방출을 조정하는 단계는 상기 플라즈마 내에서 네온에 대한 헬륨의 상기 농도 비를 변경하기 위한 비율로 상기 프로세싱 챔버 내로 헬륨 및/또는 네온을 흘리는 단계를 포함하는, 반도체 기판의 표면 상에서 피처를 에칭하는 방법.
  19. 제 18 항에 있어서,
    계측 툴을 사용하여 상기 반도체 기판의 상기 에칭된 피처의 프로파일을 측정하는 단계; 및
    상기 측정된 프로파일에 응답하여 상기 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우를 설정하는 단계를 더 포함하는, 반도체 기판의 표면 상에서 피처를 에칭하는 방법.
  20. 반도체 프로세싱 장치에 있어서,
    프로세싱 챔버;
    플라즈마 생성기;
    상기 프로세싱 챔버 내로 헬륨 및 네온을 흘리기 위해 구성된 하나 이상의 가스 플로우 유입부들; 및
    제어기를 포함하고,
    상기 제어기는:
    상기 프로세싱 챔버 내에서 플라즈마를 생성하도록 상기 플라즈마 생성기를 동작시키기 위한 머신 판독 가능한 인스트럭션으로서, 상기 플라즈마는 헬륨 및 네온을 포함하고, 상기 플라즈마는 VUV 방사선을 방출하는, 상기 플라즈마 생성기를 동작시키기 위한 머신 판독 가능한 인스트럭션; 및
    상기 플라즈마 내에서 네온에 대한 헬륨의 농도 비를 변경하기 위한 비율로 상기 프로세싱 챔버 내로 헬륨 및/또는 네온을 흘림으로써 상기 플라즈마로부터 상기 VUV 방사선의 방출을 조정하도록 상기 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 머신 판독 가능한 인스트럭션을 포함하는, 반도체 프로세싱 장치.
  21. 제 20 항에 있어서,
    상기 장치는 광 검출기를 더 포함하고; 그리고
    상기 제어기의 상기 머신 판독 가능한 인스트럭션들은:
    상기 플라즈마의 방출 대역의 방출 강도를 측정하도록 상기 광 검출기를 동작시키기 위한 인스트럭션; 및
    상기 측정된 방출 강도에 응답하여 상기 프로세싱 챔버 내로의 헬륨 및/또는 네온의 플로우 레이트를 설정하도록 상기 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션을 더 포함하는, 반도체 프로세싱 장치.
  22. 제 20 항 또는 제 21 항에 있어서,
    상기 하나 이상의 가스 플로우 유입부들은 상기 프로세싱 챔버 내로 에천트 가스를 흘리기 위해 더 구성되고;
    상기 장치는:
    진공 펌프;
    상기 진공 펌프에 대한 밸브-제어된 도관; 및
    반도체 기판의 피처의 에칭 프로파일을 측정하기 위한 계측 툴을 더 포함하고,
    상기 제어기의 상기 머신 판독 가능한 인스트럭션들은:
    상기 프로세싱 챔버 내로 에천트 가스를 흘리도록 상기 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션;
    상기 에천트가 에천트의 흡착-제한된 층을 형성하는 상기 반도체 기판의 상기 표면 상에 흡착하도록 상기 프로세싱 챔버 내의 조건들을 설정하기 위한 인스트럭션;
    상기 흡착된 에천트를 둘러싸는 볼륨으로부터 비흡착된 및/또는 탈착된 에천트를 제거하도록 상기 밸브-제어된 도관 및 상기 진공 펌프를 동작시키기 위한 인스트럭션;
    에천트의 흡수 및 비흡착된 및/또는 탈착된 에천트의 제거 후에, 상기 반도체 기판 상의 피처를 에칭하도록 상기 플라즈마 생성기를 동작시키기 위한 인스트럭션;
    상기 반도체 기판 상의 상기 에칭된 피처의 에칭 프로파일을 측정하도록 상기 계측 툴을 동작시키기 위한 인스트럭션; 및
    상기 측정된 에칭 프로파일에 응답하여 상기 프로세싱 챔버 내로의 헬륨 및/또는 네온의 상기 플로우 레이트를 설정하도록 상기 하나 이상의 가스 플로우 유입부들을 동작시키기 위한 인스트럭션을 더 포함하는, 반도체 프로세싱 장치.
KR1020150158854A 2014-11-12 2015-11-12 에너지 흡수체 가스로의 충돌 공진 에너지 전달을 통한 플라즈마의 vuv 방출의 조정 KR20160056839A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/539,121 US9609730B2 (en) 2014-11-12 2014-11-12 Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US14/539,121 2014-11-12

Publications (1)

Publication Number Publication Date
KR20160056839A true KR20160056839A (ko) 2016-05-20

Family

ID=55913372

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150158854A KR20160056839A (ko) 2014-11-12 2015-11-12 에너지 흡수체 가스로의 충돌 공진 에너지 전달을 통한 플라즈마의 vuv 방출의 조정

Country Status (5)

Country Link
US (2) US9609730B2 (ko)
JP (1) JP6758818B2 (ko)
KR (1) KR20160056839A (ko)
CN (1) CN105590826B (ko)
TW (1) TWI690241B (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10020264B2 (en) * 2015-04-28 2018-07-10 Infineon Technologies Ag Integrated circuit substrate and method for manufacturing the same
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US9991129B1 (en) * 2017-05-23 2018-06-05 Applied Materials, Inc. Selective etching of amorphous silicon over epitaxial silicon
SG11202004968SA (en) 2017-12-15 2020-07-29 Tokyo Electron Ltd Plasma etching method and plasma etching apparatus
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102286359B1 (ko) * 2018-11-14 2021-08-05 주식회사 히타치하이테크 플라스마 처리 장치 및 그것을 이용한 피처리 시료의 처리 방법
KR20200116855A (ko) * 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
DE102019214074A1 (de) * 2019-09-16 2021-03-18 Robert Bosch Gmbh Verfahren und Vorrichtung zum lokalen Entfernen und/oder Modifizieren eines Polymermaterials auf einer Oberfläche
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN111370308B (zh) * 2020-02-18 2023-03-21 中国科学院微电子研究所 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备
US11538714B2 (en) 2020-05-21 2022-12-27 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
US11875967B2 (en) 2020-05-21 2024-01-16 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
US11315819B2 (en) * 2020-05-21 2022-04-26 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
CN111994868B (zh) * 2020-08-12 2022-05-17 天津大学 极紫外光与等离子体复合原子尺度加工方法
CN112509901B (zh) 2020-11-19 2022-03-22 北京北方华创微电子装备有限公司 工艺腔室及半导体工艺设备
CN114843164A (zh) * 2021-02-02 2022-08-02 中微半导体设备(上海)股份有限公司 升降销固定器、升降销组件及等离子体处理装置
US11502217B1 (en) * 2021-05-24 2022-11-15 Gautam Ganguly Methods and apparatus for reducing as-deposited and metastable defects in Amorphousilicon
KR20230092566A (ko) 2021-12-17 2023-06-26 세메스 주식회사 공정 가스 공급 유닛 및 이를 포함하는 기판 처리 장치

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0629255A (ja) * 1992-07-10 1994-02-04 Hitachi Sci Syst:Kk プラズマエッチング方法及び装置
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6461529B1 (en) * 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
US7160671B2 (en) * 2001-06-27 2007-01-09 Lam Research Corporation Method for argon plasma induced ultraviolet light curing step for increasing silicon-containing photoresist selectivity
US7517814B2 (en) * 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US9390941B2 (en) * 2009-11-17 2016-07-12 Hitachi High-Technologies Corporation Sample processing apparatus, sample processing system, and method for processing sample
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8877080B2 (en) * 2010-10-18 2014-11-04 Tokyo Electron Limited Using vacuum ultra-violet (VUV) data in microwave sources
JP2012149278A (ja) * 2011-01-17 2012-08-09 Mitsui Chemicals Inc シリコン含有膜の製造方法
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask

Also Published As

Publication number Publication date
JP2016103632A (ja) 2016-06-02
CN105590826A (zh) 2016-05-18
JP6758818B2 (ja) 2020-09-23
US20160135274A1 (en) 2016-05-12
US20170170036A1 (en) 2017-06-15
TW201633852A (zh) 2016-09-16
CN105590826B (zh) 2018-08-03
US9609730B2 (en) 2017-03-28
TWI690241B (zh) 2020-04-01

Similar Documents

Publication Publication Date Title
US9609730B2 (en) Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
JP7293211B2 (ja) 高エネルギー原子層エッチング
TWI795589B (zh) 處理微電子工件的方法、以及處理基板的方法
US9396961B2 (en) Integrated etch/clean for dielectric etch applications
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
US9859126B2 (en) Method for processing target object
US9443701B2 (en) Etching method
US20170133202A1 (en) Computer addressable plasma density modification for etch and deposition processes
KR102309941B1 (ko) 피처리체를 처리하는 방법
TW201903833A (zh) 具有原子層蝕刻重置之選擇性沉積
KR20160067743A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법
KR970005035B1 (ko) 플라즈마발생방법 및 그 장치
TW201611113A (zh) 電漿處理方法
KR20170132666A (ko) 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 디포짓하기 위한 기법
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning