JP2010077508A - 成膜装置及び基板処理装置 - Google Patents

成膜装置及び基板処理装置 Download PDF

Info

Publication number
JP2010077508A
JP2010077508A JP2008248801A JP2008248801A JP2010077508A JP 2010077508 A JP2010077508 A JP 2010077508A JP 2008248801 A JP2008248801 A JP 2008248801A JP 2008248801 A JP2008248801 A JP 2008248801A JP 2010077508 A JP2010077508 A JP 2010077508A
Authority
JP
Japan
Prior art keywords
substrate
gas
unit
reaction gas
forming apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008248801A
Other languages
English (en)
Inventor
Norihiko Tsuji
徳彦 辻
Masayuki Moroi
政幸 諸井
Kenichi Yanagiya
健一 柳谷
Yoshiyuki Hanada
良幸 花田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008248801A priority Critical patent/JP2010077508A/ja
Priority to CN200980137865XA priority patent/CN102165100A/zh
Priority to PCT/JP2009/066607 priority patent/WO2010035773A1/ja
Priority to US13/120,681 priority patent/US20110265725A1/en
Priority to KR1020117009432A priority patent/KR20110058909A/ko
Publication of JP2010077508A publication Critical patent/JP2010077508A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract


【課題】スループットを向上させつつ、反応ガスの消費を抑制する装置を提供すること。
【解決手段】真空容器10内に、多数の基板載置部5が搬送方向に一列に配列された基板載置部5の列を備えると共に、直線搬送路を有する周回搬送路に沿って前記基板載置部5の列を搬送する基板搬送機構4を設ける。また前記直線搬送路に沿って交互に配置され、基板載置部5の搬送路に対して夫々第1の反応ガス及び第2の反応ガスを供給するために、第1の反応ガスノズル61及び第2の反応ガスノズル62を設けると共に、前記第1の反応ガスが供給される領域と第2の反応ガスが供給される領域とを分離するために、第1の反応ガスノズル61及び第2の反応ガスノズル62の間に、基板載置部5の搬送路に対して分離ガスを供給する分離ガスノズル63を設ける。
【選択図】 図3

Description

本発明は、互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを多数回実行することにより、反応生成物の層を多数積層して薄膜を形成する成膜装置及び基板処理装置に関する。
半導体製造プロセスにおける成膜手法として、基板である半導体ウエハW(以下「ウエハW」という)等の表面に真空雰囲気下で第1の反応ガスを吸着させた後、供給するガスを第2の反応ガスに切り替えて、両ガスの反応により1層あるいは複数層の原子層や分子層を形成し、このサイクルを多数回行なうことにより、これらの層を積層して、基板上への成膜を行うプロセスが知られている。このプロセスは、例えばALD(Atomic Layer Deposition)やMLD(Molecular Layer Deposition)などと呼ばれており、サイクル数に応じて膜厚を高精度にコントロールすることができると共に、膜質の面内均一性も良好であり、半導体デバイスの薄膜化に対応できる有効な手法である。このような成膜方法が好適である例としては、例えばRu(ルテニウム)の成膜が挙げられる。このRu膜を成膜する場合には、第1の反応ガス(原料ガス)として、例えばRu(C)(C11)(以下「DER」という)ガスが用いられ、第2の反応ガス(還元ガス)として酸素ガス(O)等が用いられる。
このような成膜方法を実施する装置としては、真空容器の上部中央にガスシャワーヘッドを備えた枚葉の成膜装置を用いて、基板の中央部上方側から反応ガスを供給し、未反応の反応ガス及び反応副生成物を処理容器の底部から排気する方法が検討されている。ところで上記の成膜方法は、パージガスによるガス置換に長い時間がかかり、またサイクル数も例えば数百回にもなることから、処理時間が長く、さらに基板を1枚処理するたびに処理容器内への基板の搬入出や処理容器内の真空排気を行う必要があり、これらの動作に伴う時間的なロスも大きいという問題があり、高スループットで処理できる装置、手法が要望されている。
そこで例えば特許文献1,2に記載されているように、例えば円形の載置台上に周方向に複数枚の基板を載置し、この載置台を回転させながら載置台上の基板に反応ガスを切り替えて供給することにより成膜を行う装置が提案されている。例えば特許文献1に記載の成膜装置では、載置台の周方向に、互いに異なる反応ガスが供給される複数の互いに区画された処理空間を設ける構成が提案され、また特許文献2に記載の成膜装置では、当該載置台の上方に径方向に伸び出し、異なる反応ガスを載置台に向かって吐出する例えば2本の反応ガスノズルを設けて、この載置台を回転させ、当該載置台上の基板をこれら複数の処理空間内や反応ガスノズルの下方を通過させることにより、各基板に交互に反応ガスを供給して成膜を行う構成が提案されている。このようなタイプの成膜装置は、反応ガスのパージ工程がなく、また一回の搬入出や真空排気動作で複数枚の基板を処理できるので、これらの動作に伴う時間を削減してスループットを向上させることができる。
しかしながら近年の基板の大型化に伴い、例えばウエハWの場合には直径が300mmにもなる基板に対して成膜が行われる。従って共通の載置台上に載置されるウエハWの枚数には限りがあり、一度に処理できるウエハWの枚数は4〜5枚程度である。また載置台に対してウエハWの受け渡しを行うときには処理を停止するが、4〜5枚のウエハWを処理する度に受け渡し動作が必要となると、成膜処理全体で見た場合、この受け渡し時間が積み重なり、スループットのさらなる向上を阻む要因になるおそれがある。
また載置台を回転させると、その中央領域と周縁領域との移動速度が異なり、周縁領域の方が移動速度が大きくなるが、反応ガス供給ノズルから供給される反応ガスの濃度が載置台の径方向において一定である場合には、当該ノズルの下を通過するウエハの速度が大きくなるにつれて、ウエハ表面で成膜に関与することができる反応ガスの量は少なくなる。このため、反応ガス供給ノズルの下方を通過する速度が最も速くなる載置台の周縁領域に載置されたウエハ表面にて成膜に必要な反応ガス濃度が得られるように、当該ノズルから供給する反応ガスの量が決定される。
しかしながらこのように載置台の周縁領域の必要量に合わせて反応ガスを供給すると、当該周縁領域よりも移動速度の小さい内側の領域には必要量以上に高い濃度の反応ガスが供給されることになり、成膜に関与しない反応ガスはそのまま排気されてしまう。ここでスループットの向上を図るためには、載置台をある程度の速さで回転させる必要があり、このようにすると載置台の周縁領域ではかなり移動速度が速くなることから、反応ガスの供給量を多く設定せざるを得ず、成膜に関与せずに排気される反応ガス量が多くなってしまうことが懸念されている。
ALDなどに用いられる原料ガスは液体原料を気化させ、あるいは固体原料を昇華させて得られるものが多いが、これらの原料は高価であるところ、上述した載置台を回転させる方式の成膜装置では、ウエハWのスループットの向上に伴ってこうした高価な反応ガスを成膜に必要な量以上に消費してしまうことから、スループットを向上させつつ反応ガス消費量の少ない成膜装置が求められている。
特許3144664号公報:図1、図2、請求項1 特開2001−254181号公報:図1及び図2
本発明はこのような事情のもとになされたものであり、その目的は、スループットを向上させつつ、反応ガスの消費を抑制する技術を提供することにある。
このため本発明の成膜装置は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを多数回実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
真空容器内に設けられ、多数の基板載置部が搬送方向に一列に配列された基板載置部の列を備えると共に、直線搬送路を有する周回搬送路に沿って前記基板載置部の列を搬送する基板搬送機構と、
前記直線搬送路に沿って交互に配置され、基板載置部の搬送路に対して夫々第1の反応ガス及び第2の反応ガスを供給するために前記真空容器内に固定して設けられた第1の反応ガス供給部及び第2の反応ガス供給部と、
前記第1の反応ガスが供給される領域と第2の反応ガスが供給される領域とを分離するために、第1の反応ガス供給部及び第2の反応ガス供給部の間に設けられ、基板載置部の搬送路に対して分離ガスを供給する分離ガス供給部と、
前記真空容器内を真空排気するための真空排気手段と、
前記基板載置部上の基板を加熱するために設けられた加熱部と、
前記直線搬送路の上流側に設けられ、前記基板載置部に基板を搬入するための基板搬入部と、
前記直線搬送路の下流側に設けられ、前記基板載置部から成膜処理後の基板を搬出するための基板搬出部と、を備えたことを特徴とする。
ここで第1の反応ガス供給部、第2の反応ガス供給部及び第3の反応ガス供給部が前記直線搬送路に沿ってこの順番で配列され、前記基板載置部の搬送路に対して3種類の反応ガスを順番に供給する場合においても、第1の反応ガス供給部、第2の反応ガス供給部については前記直線搬送路に沿って交互に配置されているので、本発明の技術的範囲に含まれる。
前記基板搬送機構は、回動軸が互いに平行な一対の回動体の間に架け渡され、前記周回搬送路を形成する一対の伝動ベルトを備える構成とすることができ、前記伝動ベルトを周回移動させるために、前記一対の回動体の少なくとも一方を回転駆動させるためのモータを備える構成を用いてもよい。この場合前記基板載置部は、前記伝動ベルトに設けられる。
また前記真空容器は、互いに隣接する分離ガスの供給領域の間からガスを排気するように開口する排気口を備えており、例えばこの排気口は、前記直線搬送路の上方側に設けられることが好ましい。前記周回搬送路は水平な回動軸の周りに縦方向に周回する構成であってもよいし、前記周回搬送路は垂直な回動軸の周りに横方向に周回する構成であってもよい。
また前記周回搬送路における前記基板搬入部と前記反応ガスが供給される領域との間には、基板を予備加熱するための予備加熱領域を設けるようにしてもよい。さらに前記基板搬入部において外部の基板受け渡し手段により基板載置部が移動している状態で基板を当該基板載置部に受け渡すように制御信号を出力し、また前記基板搬出部において外部の基板受け取り手段により基板載置部が移動している状態で基板を当該基板載置部から受け取るように制御信号を出力する制御部を備えるようにしてもよい。
前記第1の反応ガス供給部及び第2の反応ガス供給部は、前記直線搬送路に対して直交するように配置されたガスノズルであってもよい。前記基板搬送機構上の基板載置部に対してクリーニング処理を行うために、前記基板搬送機構における基板搬出部から基板搬入部に移動する載置部に対してクリーニングガスを供給するクリーニング処理部を備えるようにしてもよい。
また本発明の基板処理装置は、内部に基板搬送手段が配置された真空搬送室と、
この真空搬送室に気密に接続された既述の成膜装置と、前記真空搬送室に気密に接続され、真空雰囲気と大気雰囲気との間で雰囲気の切り替え可能な予備真空室と、を備えたことを特徴とする。
本発明によれば、基板の表面に、互いに反応する複数の反応ガスを順番に供給し、かつこの供給サイクルを多数回実行することにより反応生成物の層を多数積層して薄膜を形成するにあたって、直線搬送路を有する周回搬送路に沿って基板を搬送し、この基板に対して第1の反応ガス及び第2の反応ガスを順番に供給して前記供給サイクルを行うようにしているため、高いスループットで成膜処理を行うことができる。また基板載置部は搬送方向に一例に配列された状態で周回搬送路に沿って搬送されており、搬送時の移動速度は基板の面内において同じである。このため移動速度が大きい領域に合わせて、移動速度が小さい領域に必要以上に反応ガスを多く供給する必要がなく、反応ガスの無駄な消費を抑制することができる。
以下、本発明の成膜装置を備えた基板処理装置の一実施の形態について説明する。図1は前記基板処理装置の概観を示す平面図である。この基板処理装置は、図中Y方向に直線状に伸びる成膜装置1を備えており、この成膜装置1の長さ方向(図1中Y方向)の一端側には当該成膜装置1にウエハWを搬入するための搬入エリア2が設けられると共に、この成膜装置1の長さ方向の他端側には当該成膜装置からウエハWを搬出するための搬出エリア3が設けられている。
先ず前記成膜装置1について図1〜図11を用いて説明する。この成膜装置1は例えばアルミニウム合金より構成された真空容器10を備えており、この真空容器10の内部には基板搬送機構4が設けられている。この基板搬送機構4は、基板であるウエハWが載置される基板載置部5が搬送方向(図中Y方向)に一列に配列された基板載置部5の列を備えると共に、直線搬送路を有する周回搬送路に沿って前記基板載置部5の列を搬送するように構成されている。
前記基板搬送機構4は、図3及び図4に示すように、水平軸のまわりに回動し、回動軸が互いに平行になるように前後に配置された一対の回動体41,42と、これら回動体41,42の間に架け渡されて周回軌道に沿って移動する一対の伝動ベルトである例えばタイミングベルト44,45とを備えている。前記回動体41はモータM1により回転駆動される駆動プーリよりなり、前記回動体42は従動プーリよりなる。前記駆動プーリの駆動は後述する制御部により制御されている。またこの例では回動体(駆動プーリ)41と回動体(従動プーリ)42との間に、1個以上の補助プーリ43が設けられている。そしてこれら回動体41,42には夫々タイミングベルト44,45が巻き掛けられ、このタイミングベルト44,45が搬送方向に移動して周回することにより、前記直線搬送路を備えると共に、縦方向に周回する周回搬送路が形成される。ここで直線搬送路とは、直線状に伸びている搬送路のことであり、この例の周回搬送路は上下に対向する直線搬送路を備えていて、この周回搬送路は搬送路の幅方向(図中X方向)の大きさが搬送路の途中で変化することなく、前記幅方向の大きさが同じ状態で周回移動する。
前記タイミングンベルト44,45には、多数の前記基板載置部5が前記搬送方向に一列に配列されるように設けられている。この例の基板載置部5は、例えば平面形状が四角形状に形成され、その上に例えば300mmサイズのウエハWが載置される大きさに設定されている。この基板載置部5は例えば2本のタイミングベルト44,45の間に架け渡されるように設けられており、図3及び図9(図2におけるA−A´断面図)に示すように、基板載置部5における図3中X方向の両端部は、タイミングベルト44,45の外端とほぼ揃うように設けられている。そして基板載置部5は、例えば図4に示すように、その裏面側におけるタイミングベルト44,45との接触領域の中央部が、夫々タイミングベルト44,45の表面に例えば炭化ケイ素(SiC)又は窒化アルミニウム(AlN)等よりなる固定部51を介して取り付けられており、当該タイミングベルト44,45が回動体41,42により周回移動したときには、この移動に伴って基板載置部5も周回移動するようになっている。
また各基板載置部5には、外部の基板受け渡し手段A1や基板受け取り手段A2との間でウエハWの受け渡しを行うときに用いられる段部52が形成されている。前記基板受け渡し手段A1及び基板受け取り手段A2は同様に構成されており、その形状について簡単に説明すると、図2及び図6に示すように、ウエハWの裏面側を支持するためのフォーク状の支持プレート50を備えており、前記段部52の形状は、図5に示すように前記支持プレート50よりも大きく、ウエハWを保持した支持プレート50がこの段部52の上方側から当該段部52に入り込み、ウエハWを基板載置部5に受け渡した後、退行できる程度の大きさに設定されている。
このような基板載置部5は、タイミングベルト44,45に、前記搬送方向(図中Y方向)に一列に並ぶように、隣接する基板載置部5同士の間に所定間隔を開けるように配列されている。この配列間隔は、前記基板受け渡し手段A1との受け渡し時を含めた基板載置部5の搬送速度等を考慮して設定されるが、一例を挙げると、図6に示す隣接するウエハWの中心部O同士の間隔Lが例えば400mm程度になるように設定される。
また隣接する基板載置部5同士の間には、図3及び図4に示すようにスぺーサ53が設けられている。このスぺーサ53は、基板載置部5の周回移動を妨げずに、かつ搬送方向に形成される基板載置部5同士の間をできるだけ埋めるような形状及び大きさに設定される。この例では当該スぺーサ53は平面形状が四角形状に形成され、前記X方向の大きさが基板載置部5とほぼ同じであり、前記Y方向の大きさが基板載置部5同士の間のY方向の大きさよりも僅かに小さく、基板載置部5と同程度の厚みに形成されている。このスぺーサ53も基板載置部5と同様にタイミングベルト44,45に取り付けられており、基板載置部5と共に周回移動するようになっている。なお図3では図示の便宜上スぺーサ53は1個のみを描いているが、実際には全ての基板載置部5同士の間に設けられている。また図7ではスぺーサ53の記載を省略している。
このような基板搬送機構4における前記直線搬送路の上流側には、前記基板載置部5にウエハWを搬入するための基板搬入部11が設けられると共に、前記直線搬送路の下流側には前記基板載置部5から成膜処理後のウエハWを搬出するための基板搬出部14が設けられている。そしてこれら基板搬入部11と基板搬出部14との間には、図7に示すように基板搬入部11側から順に予備加熱領域12と処理領域13とが設けられている。こうして回動体41,42を回転駆動することにより、基板載置部5が、基板搬入部11から予備加熱領域12、処理領域13を通って基板搬出部14側に移動し、次いで再び基板搬入部11に戻るように周回移動される。
ここで真空容器10と基板搬送機構5との大きさについて説明すると、図6及び図9等に示すように、真空容器10のX方向の大きさは、基板載置部5のX方向よりも僅かに大きく、基板載置部5が真空容器10の内壁に接近した状態で搬送されるように設定されている。また前記基板搬入部11、予備加熱領域12、処理領域13、基板搬出部14の大きさ、つまり搬送方向の長さは、基板載置部5の搬送速度等や成膜処理の種別に応じて適宜設定されるが、処理領域13の搬送方向の長さの一例を挙げると例えば5000mm程度である。
また前記成膜装置1は、前記直線搬送路に沿って交互に配置され、基板載置部5の搬送路に対して夫々第1の反応ガス及び第2の反応ガスを供給するために前記真空容器10内に固定して設けられた第1の反応ガス供給部及び第2の反応ガス供給部を備えると共に、前記第1の反応ガスが供給される領域と第2の反応ガスが供給される領域とを分離するために、前記第1の反応ガス供給部及び第2の反応ガス供給部の間に設けられた、基板載置部5の搬送路に対して分離ガスを供給する分離ガス供給部を備えている。
これら第1の反応ガス供給部、第2の反応ガス供給部及び分離ガス供給部は、図3及び図4に示すように、夫々第1の反応ガスノズル61、第2の反応ガスノズル62、分離ガスノズル63からなり、前記処理領域13における基板載置部5の上方側に、前記直線搬送路の搬送方向に対して直交するように、かつ基板載置部5に載置されたウエハWの表面に接近して設けられている。そしてこの例では、基板搬入部11から基板搬出部14に向かって、分離ガスノズル63、第1の反応ガスノズル61、分離ガスノズル63、第2の反応ガスノズル62がこの順序で配列されていると共に、これらガスノズル群の両端側には分離ガスノズル63が設けられている。これらガスノズル61〜63は、例えば図9に示すように、真空容器10の側壁部10aを介して真空容器10内に挿入されており、例えばその先端部は、前記ガスノズル61〜63が挿入される側壁部10aと対向する側壁部10bに接触するように設けられている。
また前記分離ガスノズル63の上部と真空容器10の天井部との間には、図4及び図8に示すように区画壁15が設けられている。この区画壁15は分離ガスノズル63の長さ方向全体に亘って設けられており、これにより真空容器10内におけるガスノズルが設けられている領域よりも上方側には、隣接する分離ガスノズル63同士の間に、区画された領域16が形成されることになる。
前記第1の反応ガスノズル61は第1の反応ガスであるDERガスのガス供給源64、第2の反応ガスノズル62は第2の反応ガスであるO(酸素)ガスのガス供給源65に夫々接続されており、分離ガスノズル63は分離ガスであるArガス(アルゴンガス)のガス供給源66に接続されている。分離ガスとしてはArガスの他、窒素(N)ガスやヘリウム(He)ガス等を用いてもよい。図4中67は流量調整部である。
前記反応ガスノズル61,62には、図8及び図9に示すように、下方側に反応ガスを吐出するための吐出孔68がノズルの長さ方向に間隔をおいて配列されている。また分離ガスノズル63には、図8及び図9に示すように、下方側に分離ガスを吐出するための吐出孔69が長さ方向に間隔をおいて穿設されている。反応ガスノズル61,62の下方領域は夫々DERガスをウエハに吸着させるための第1の領域S1及びOガスをウエハWに吸着させるための第2の領域S2となる。前記分離ガスノズル63は前記第1の領域S1と第2の領域S2とを分離するために、これら第1の領域S1と第2の領域S2との間に設けられている。
このような反応ガスノズル61、62及び分離ガスノズル63は、例えば図10に示すように、搬送方向における1つのガスとの接触領域である1ステップが例えば10mmであって、搬送方向においてArガス→DERガス→Arガス→Oガスにより実施される1サイクルが例えば40mmになるように夫々配列されている。
また真空容器10は、互いに隣接する分離ガスの供給領域の間からガスを排気するように開口する排気口を備えている。この排気口は、例えば図4、図8、図9に示すように真空容器10の天井部に形成されている。ここで分離ガスノズル63と真空容器10の天井部との間には区画壁15が設けられており、この区画壁15によりガスノズル61〜63の上方側では、第1の反応ガスノズル61が設けられる領域と、第2の反応ガスノズル62が設けられる領域とが区画されている。従って第1の反応ガスノズル61の配置領域に開口するように第1の排気口71を設けると共に、第2の反応ガスノズル62の配置領域に開口するように第2の排気口72を設けることにより、第1の排気口71により第1の反応ガスが排気され、第2の排気口72により第2の反応ガスが排気されることになる。
前記第1の排気口71は第1の排気路73に接続され、捕集部74を介して真空排気手段である真空ポンプ7に接続されている。前記捕集部74は、第1の反応ガスであるDERガスを捕集するための手段であり、例えば冷却することにより、排気ガスから前記DERガスを捕集するように構成されている。また前記第2の排気口72は第2の排気路75に接続され、前記捕集部74の下流側で第1の排気路73と合流して共通する真空ポンプ7に接続されている。さらに真空容器10の底部にも1個以上の排気口76が設けられており、これら排気口76は共通の第3の排気路77に接続されて、第1の排気路73に合流した後、前記真空ポンプ7に接続されている。
前記基板搬送機構4における周回搬送路で囲まれた領域には、図3及び図4に示すように、基板搬送機構4の長さ方向(図中Y方向)に沿って加熱部であるヒータユニット54が設けられており、輻射熱により基板載置部5を介してウエハWを加熱するようになっている。この際前記基板載置部5には例えば放射温度計からなる温度センサ55が設けられており、この温度センサ55からの検出値に基づいて、ヒータユニット54によりウエハWがプロセスレシピで決められた温度に加熱される。
この例では、前記X方向の大きさが基板載置部5全面を十分に加熱できる程度の複数のヒータユニット54が、回動体41,42が設けられる領域を除いて、周回搬送路の長さ方向(搬送方向)全体に配列して設けられている。これにより基板搬入部11から基板載置部5に受け渡されたウエハWは搬送と同時に加熱されることなる。前記周回搬送路における前記基板搬入部11と前記反応ガスが供給される処理領域13との間は、既述のようにウエハを予備加熱するための予備加熱領域12として割り当てられており、この領域12を搬送される間にウエハは十分に加熱されることになるが、この領域12を通過する時間によってウエハWの加熱の程度が異なるため、この領域12の大きさ(搬送方向の長さ)は搬送速度や成膜処理の種別に応じて決定される。
また前記基板搬送機構4における周回搬送路で囲まれた領域には、図4、図8及び図9に示すように、前記ヒータユニット54の下方側に仕切り壁17が設けられている。この仕切り壁17は、駆動プーリ41と従動プーリ42との間の領域において、真空容器10を縦方向に2分割するように設けられており、図11に示すように、例えば補助プーリ43の回転を妨げないように、補助プーリ43の移動領域には切欠部18が形成されている。
さらにまた真空容器10には、図4及び図9に示すように、前記基板搬送機構4における周回搬送路で囲まれた領域における前記仕切り壁17の上方側に、パージガスであるNガスを供給するためのパージガスノズル56が設けられている。このパージガスノズル56は前記基板載置部5の周回移動を妨げないように設けられており、その他端側はパージガス源57に流量調整部57aを介して接続されている。パージガスとしてはNガスの他、ArガスやHeガス等を用いることができる。
さらに真空容器10における基板搬送機構4の下方側には、例えば直線搬送路を移動中の基板載置部5に対してクリーニング処理を行うために、クリーニング処理部8が設けられている。このクリーニング処理部8は、前記基板搬送機構4における基板搬出部14にて基板受け取り手段A2にウエハWを受け渡した基板載置部5に対して前記基板搬出部14から基板搬入部11に戻る間に、当該基板載置部5に対してクリーニングガスを供給してクリーニング処理を行うように構成されている。
例えばクリーニング処理部8は、図4及び図9に示すように、真空容器10内に、搬送方向に直交するように設けられた複数のプラズマ発生部81を備えている。このプラズマ発生部81は、移動していく基板載置部5全体にクリーニングガスが供給されるように、その大きさや形状、取り付け数や取り付け位置が設定される。このプラズマ発生部81には、クリーニングガスであるNFガスの供給源82からNFガスが供給されるようになっており、プラズマ化されたNFガスが基板載置部5に対して照射され、クリーニング処理が行われるようになっている。図4中83は流量調整部である。この例では、真空容器10の底部に設けられる排気口76は、搬送方向においてプラズマ発生部81の前後に設けられていて、前記クリーニングガスが速やかに当該排気口76を介して排気されるようになっている。クリーニングガスは成膜処理の種別に応じて適宜選択される。
前記第1の反応ガス、第2の反応ガス、分離ガスの供給量を制御する流量調整部67、パージガスの供給量を調整する流量調整部57a、クリーニングガスの供給量を制御する流量調整部83は後述する制御部100により制御され、夫々所定のタイミングで所定の供給量のガスが真空容器10内に供給されるようになっている。この例ではクリーニング処理部8では、プラズマ化されたクリーニングガスを供給するように構成したが、クリーニングガスのプラズマ化は必ずしも必要なく、例えばクリーニングガスとしてClFガスを用い、このガスを直接基板載置部5に供給することによって当該基板載置部5のクリーニング処理を行うようにしてもよい。
続いて前記基板搬入部11及び基板搬出部12について説明する。この基板搬入部11では、外部の基板受け渡し手段A1により基板載置部5に対してウエハWの受け渡しが行われ、基板搬出部12では外部の基板受け取り手段A2により基板載置部5からのウエハWの受け取りが行われるようになっている。前記真空容器10は、図1及び図2に示すように、基板搬入部11における真空容器10の側壁部には搬入用開口部10Aが形成され、基板搬出部12における真空容器10の側壁部には搬出用開口部10Bが形成されている。これら搬入用開口部10Aや搬出用開口部10Bは図示しないゲートバルブにより開閉自在に構成されている。
そしてこの真空容器10には搬入用開口部10Aの外側に前記基板受け渡し手段A1が設けられると共に、搬出用開口部10Bの外側には前記基板受け取り手段A2が設けられている。これら基板受け渡し手段A1及び基板受け取り手段A2は同様に構成されているので、基板受け取り手段A1を例にして、その構成について説明すると、図6及び図13に示すように、この基板受け渡し手段A1は、昇降自在及び回転自在並びに前記搬送方向(図中Y方向)に移動自在に設けられた基台58と、この基台58に設けられ、進退自在に構成された多関節アーム59とを有しており、この多関節アーム59の先端はウエハWの裏面側を支持するフォーク状の支持プレート50として構成されている。なお図6では多関節アーム59は省略して描いている。前記基台58は真空容器10における周回搬送路に並行して前記搬送方向に移動できるようになっている。
ここで基板受け渡し手段A1,基板受け取り手段A2及び基板搬送機構4は後述の制御部100によりその駆動が制御されており、基板搬入部11において基板載置部5が移動している状態で基板受け渡し手段A1がウエハWを当該基板載置部5に受け渡すように、また前記基板搬出部14において基板受け取り手段A2により基板載置部5が移動している状態で当該基板載置部5からウエハWを受け取るように、基板受け渡し手段A1、基板受け取り手段A2及び基板搬送機構4の駆動が制御されている。このように搬送方向に基板載置部5が移動している間にウエハWが基板載置部5に受け渡されたり、基板載置部5からウエハWが受け取られるので、基板搬入部11は基板受け渡し手段A1がアクセスできる領域をいい、基板搬出部14とは基板受け取り手段A2がアクセスできる領域をいうが、これらの大きさ(搬送方向の長さ)は基板載置部5の搬送速度等を考慮して決定される。
続いて前記搬入エリア2について図1及び図13を参照して説明する、図中21は、外部から多数のウエハWを収容した複数個のFOUP2を載置するための搬入用FOUP載置部であり、この搬入用FOUP載置部21は例えば図中X方向に移動自在に構成された搬入用載置ステージ22を備えている。この載置ステージ22における前記X方向の上流側は例えばFOUP2の搬入口22Aとして構成され、ここからFOUP2が当該載置ステージ22に載置されて前記X方向の下流側に向けて移動していくようになっている。
このFOUP載置部21には大気雰囲気の搬入用大気搬送室23を介して例えば2個の搬入用予備真空室24(24A,24B)が接続されており、前記搬入用大気搬送室23にはFOUP載置部21に載置されたFOUP2と搬入用予備真空室24A,24Bとの間でウエハWの受け渡しを行うための第1の受け渡しアームB1が設けられている。この例では前記第1の受け渡しアームB1は、前記搬入用載置ステージ22における移動方向の最下流側に置かれたFOUP2及び2個の搬入用予備真空室24A,24Bに対してアクセスできるように構成され、当該FOUP2内のウエハWを搬入用予備真空室24A,24Bに受け渡すために、昇降自在、鉛直軸周りに回転自在、進退自在に構成されている。また搬入用大気搬送室23にはウエハWの位置合わせを行うためのアライメントユニット25A,25Bが設けられており、前記受け渡しアームB1は当該アライメントユニット25A,25Bに対してもアクセスできるようになっている。
前記搬入用予備真空室24A,24Bは同様に構成されており、これら予備真空室24A、24Bの内部は常圧雰囲気と真空雰囲気との間で切り替え可能に構成されている。またその内部には図13に示すように、ウエハWを棚状に保持するための一対のバッファ26a,26b(26c,26d)が配設されている。これらバッファ26a,26b(26c,26d)は鉛直軸周りに回転する回転ステージ27A(27B)上に載置されている。
このような搬入用予備真空室24A,24Bは、真空雰囲気の搬入用真空搬送室28と接続されており、この搬入用真空搬送室28には、予備真空室24A,24B内のバッファ26a,26b(26c,26d)からウエハWを受け取り、このウエハWを成膜装置1に受け渡すための既述の基板受け渡し手段A1が設けられている。前記搬入用大気搬送室23と搬入用予備真空室24A,24Bとの間には第1の開口部20Aが形成されると共に、搬入用予備真空室24A,24Bと搬入用真空搬送室28との間には第2の開口部20Bが形成されており、これら開口部20A,20Bにはこれらの間を気密にシールし、かつ開閉可能に構成されたゲートバルブGTが夫々介挿されている。前記第1の開口部20A及び第2の開口部20Bは夫々第1の受け渡しアームB1及び基板受け渡し手段A1がアクセスできる位置に設けられ、前記予備真空室24A,24Bの内部では回転ステージ27A,27Bを回転させることにより、対応するバッファ26a〜26dを第1の開口部20Aに臨む位置に移動させて第1の受け渡しアームB1が対応するバッファ26a〜26dにウエハWを受け渡し、又はバッファ26a〜26dを第2の開口部20Bに臨む位置に移動させて対応するバッファ26a〜26dから基板受け渡し手段A1がウエハWを受け取るように構成されている。
一方搬出エリア3は搬入エリア2と同様に構成されているので簡単に説明すると、図中31は複数個のFOUP2を載置するための搬出用FOUP載置部、32は搬出用載置ステージ、32AはFOUP2の搬出口である。そして図中33は大気雰囲気の搬出用大気搬送室、図中34A,34Bは2個の搬出用予備真空室であり、前記搬出用大気搬送室33には第2の受け渡しアームB2が設けられている。前記搬出用予備真空室34A,34Bの内部には図示しないバッファが回転ステージ上に載置された状態で配設されている。
このような搬入用予備真空室34A,34Bは真空雰囲気の搬出用真空搬送室38と接続されており、この搬出用真空搬送室38には、成膜装置1からウエハWを受け取り、予備真空室34A,34B内のバッファに当該ウエハWを受け渡すために、基板受け取り手段A2が設けられている。
またこの実施の形態の成膜装置には、装置全体の動作のコントロールを行うためのコンピュータからなる制御部100が設けられ、この制御部100のメモリ内には、装置を運転するためのプログラムが格納されている。このプログラムは後述の装置の動作を実行するようにステップ群が組まれており、ハードディスク、コンパクトディスク、フラッシュメモリ、メモリカード、フレキシブルディスクなどの記憶媒体から制御部100内にインストールされる。
次に上述実施の形態の作用について説明する。前記FOUP載置部21に載置されたFOUP2は、図示しない開閉機構により蓋が開けられて、搬入用大気搬送室23内の第1の受け渡しアームB1により当該FOUP2内からウエハWが取り出される。そしてアライメントユニット25A,25Bにて位置合わせが行われた後、搬入用予備真空室24A,24B内のバッファ26a〜26d内に搬入され、当該搬入用予備真空室24A,24B内を大気雰囲気から真空雰囲気に切り替える。次いで搬入用予備真空室24A,24B内のウエハWは搬入用真空搬送室28内の基板受け渡し手段A1により取り出されて、前記成膜装置1内に搬入される。
一方成膜装置1では、真空ポンプ7により真空容器10内を予め所定の真空度に維持しておくと共に、温度センサ55により基板載置部5の温度測定を行いながら、ヒータユニット54により基板載置部5を予め例えば300℃程度に加熱し、基板搬送機構4を前記搬送方向(図中Y方向)に例えば50mm/sec程度の速度で周回移動させておく。そして前記基板受け渡し手段A1では、既述のように基板搬送機構4が移動している状態で、基板搬送機構4と共に同じ速度で移動しながら基板載置部5に対してウエハWを受け渡し、受け渡し後は直ちに次のウエハWを搬入用予備真空室24A,24Bに受け取りに行き、同様にして次の基板載置部5にウエハWを受け渡す。ここで既述のように基板載置部5は、前記搬送方向におけるウエハWの配列間隔Lが例えば400mmになるように配列されているので、前記ウエハWの搬入に要する時間は8秒程度になる。
こうしてウエハWは基板載置部5に載置された状態で基板搬入部11から予備加熱領域12を介して処理領域13に向けて基板搬送機構4により搬送され、この搬送中に基板載置部5により設定温度まで加熱される。そして処理領域13では、第1の反応ガスノズル61及び第2の反応ガスノズル62から夫々DERガス及びOガスを吐出させると共に、分離ガスノズル63から分離ガスであるArガスを吐出する。またパージガスノズルからパージガスであるNガスを基板搬送機構4の周回搬送路の内部に供給する。この際当該周回搬送路の内部の圧力が、周回搬送路の外側の圧力よりも僅かに陽圧になるように、夫々のガスの供給量が設定される。
ウエハWは基板搬送機構4により搬送方向(Y方向)に移動して行くため、第1の反応ガスノズル61が設けられる第1の領域S1と第2の反応ガスノズル62が設けられる第2の領域S2とを交互に通過する。この際先ずDERガスが吸着し、次いでOガスが吸着してDER分子が還元されてRuの分子層が1層あるいは複数層形成され、こうしてRuの分子層が順次積層されて所定の膜厚に成膜される。このときのDERガス、Oガス及びArガスの分圧と、搬送方向の移動距離との関係を図12に示す。このようにウエハWにはArガス→DERガス→Arガス→Oガス→Arガスが交互に供給される。
ここで真空容器10内のガスの流れについて図8に基づいて説明すると、処理領域13では、前記搬送方向の両端に分離ガスノズル63が配置されるように、反応ガスノズル61,62、分離ガスノズル63が配列されており、既述のように分離ガスノズル63の上部と真空容器10の天井部との間には区画壁15が設けられているため、反応ガスノズル61,62は夫々分離ガスノズル63により区画された空間16内に配置されると共に、当該区画された空間16は第1の排気口71及び第2の排気口72を介して反応ガスノズル61,62の上方側から排気されるようになっている。ここで第1の排気口71からは第1の反応ガスであるDERガスと分離ガスとが排気されていくが、第1の排気路73に設けられた捕集部74にてDERガスが捕集される。
従って第1の反応ガスノズル61から基板載置部5上のウエハWに向けて供給されたDERガスはウエハWに吸着され、吸着されなかったDERガスは当該区画された空間16内に設けられた第1の排気口71から排気されていく。ここで基板載置部5は、既述のように真空容器10の内壁と接近した状態で搬送されており、直線搬送路における基板載置部5と真空容器10との間に形成される隙間は僅かな大きさに設定されている。また基板載置部5同士の間にはスぺーサ53が設けられているので、平面的に見ると直線搬送路が設けられた領域では隙間が極めて少ない状態である。従って第1の反応ガスノズル61から供給されるDERガスは基板載置部5やスぺーサ53により下方側への通流が妨げられ、そのまま上方側に向けて流れていき、第1の排気口71から排気されていく。
一方第2の反応ガスノズル62から基板載置部5上のウエハWに向けて供給されたOガスはウエハWに吸着され、吸着されなかったOガスは当該区画された空間内に設けられた第2の排気口72から排気されていく。この場合にもこのOガスは基板載置部5やスぺーサ53により下方側への通流が妨げられ、そのまま上方側に向けて流れていき、第2の排気口72から排気されていく。
この際これら第1の反応ガスノズル61及び第2の反応ガスノズル62の両隣には夫々分離ガスノズル63が設けられており、この分離ガスノズル63からはArガスが供給されている。この両隣の分離ガスノズル63から供給されたArガスも基板載置部5に向けて流れていくが、基板載置部5やスぺーサ53により下方側への通流が妨げられ、上方側に向けて流れていき、隣接する区画空間16に開口する第1の排気口71や第2の排気口72から排気されていく。このように第1の反応ガスであるDERガスと第2の反応ガスであるOガスとの間には分離ガスであるArガスが供給されているので、前記第1の反応ガスと第2の反応ガスの供給領域が分離され、これらがウエハWに供給される前に混合することが抑えられる。
こうしてウエハW表面にDERガスとOガスとが交互に吸着された状態でウエハWは処理領域13を搬送方向に移動していき、前記基板搬出部14において基板受け取り手段A2により搬入動作と逆の動作により順次搬出される。そして成膜装置1から搬出されたウエハWは基板受け取り手段A2により搬出用予備真空室34A,34Bに搬入され、その後搬出用受け渡しアームB2に受け取られて、対応するFOUP2に搬入される。
一方基板搬送機構4は周回移動を続けており、基板搬出部14にてウエハWが基板受け取り手段A2に受け取られた基板載置部5は周回搬送路の下側を移動していく。そして基板搬出部14から基板搬入部11に戻る途中で、基板載置部5に対してクリーニング処理部8にてプラズマ化されたクリーニングガス(NFガス)が照射されて、所定のクリーニング処理が行われる。このクリーニング処理では基板載置部5に付着した成膜成分が除去される。
このクリーニング処理では、クリーニングガスが基板搬送機構4に対して下方側から供給されるが、このクリーニングガスは基板載置部5とスぺーサ53とにより上方側への通流が妨げられ、再び下方側に向けて流れて真空容器10の底部に開口する排気口76を介して排気される。
また基板搬送機構4における周回搬送路の内部には仕切り板17が設けられているので、仮に基板搬送機構4の上方側から基板載置部5やスぺーサ53の隙間を介して反応ガスや分離ガスが基板載置部5の下方側に進入してきたとしても、この仕切り板17により当該仕切り板17の下方側への通流が妨げられる。一方仮に基板搬送機構4の下方側から基板載置部5やスぺーサ53の隙間を介してクリーニングガスが基板載置部5の上方側に進入してきたとしても、この仕切り板17により当該仕切り板17の上方側への通流が妨げられる。このため真空容器10内において、反応ガスや分離ガスとクリーニングガスが混ざり合うおそれはない。
ここで真空容器10内においては、基板載置部5の周回移動のためのスペースを確保する必要があることから、基板搬送機構4における回動体41,42の外側の領域には仕切り板17は設けることができないが、反応ガスが供給される処理領域13は周回搬送路の中央側に設けられており、クリーニング処理も基板搬入部11や基板搬出部14よりも中央側で行われるため、回動体41,42の近くまでは両者のガスが行き渡りにくく、回動体41,42の外側の領域においてこれらのガスの混合することは考えにくい。また真空容器10の天井部に開口する排気口71,72と、真空容器10の底部に開口する排気口76とを夫々回動体41,42の外側の領域に設けることにより、この回動体41,42の外側の領域においてもガスがこれら排気口71,72,76に向けて流れていくため、この点からも反応ガスや分離ガスとクリーニングガスとの混合が抑えられる。
さらに既述のように基板搬送機構4における周回搬送路の仕切り板17の上方側にはパージガスが供給されており、しかも周回搬送路の内部が周回搬送路の外部よりも陽圧になるように反応ガス、分離ガス、クリーニングガス、パージガスの供給量が夫々設定されている。このため周回搬送路の内部から外部へ向かう気流が形成され、基板載置部5と真空容器10との間の隙間や、基板載置部5とスぺーサ53との間の隙間からは、パージガスが流れ出ている状態になっている。このため反応ガスや分離ガスやクリーニングガスが周回搬送路の内部へ入り込むことが阻止され、これらのガスが雰囲気中で混合するおそれはない。
以上において本発明では、基板搬送機構4の搬送方向に多数枚のウエハWを配列し、基板搬送機構4を周回移動させ、処理領域13において、第1の反応ガスが供給される領域と第2の反応ガスが供給される領域とを順番に通過させていわゆるALD(あるいはMLD)を行うようにしているため、高いスループットで成膜処理を行うことができる。この際基板搬送機構4に対しては、基板搬送機構4を移動させた状態で、基板受け渡し手段A1により基板載置部5に対してウエハWを受け渡したり、基板受け取り手段A2により基板載置部5からウエハWを受け取っている。このように基板載置部5を移動させた状態でウエハWの搬入や搬出を行っているので、成膜装置1を停止することなく連続的にウエハWに対して成膜処理を行うことができるため、より高いスループットを確保することができる。
また基板搬送機構4の周回搬送路は直線搬送路を備えており、この直線搬送路では搬送方向に直行する幅方向(X方向)の大きさが変わらないため、ウエハWの移動速度はウエハWの面内において一定である。このためこの移動速度に合わせて反応ガスの供給量を調整すれば、十分に成膜処理を行うことができる。従って円形の載置台にウエハWを配列し、この載置台を回転させて処理を行う方式のように、ウエハWの移動速度がウエハWの面内において異なり、移動速度が大きい領域に合わせて、反応ガスの供給量を多く設定しなければならない場合に比べて反応ガスの消費量を抑えることができ、無駄に排気される反応ガス量を低減することができる。既述のように反応ガスは高価であることから、このように反応ガス消費量を低減できることは大幅なコストダウンに繋がる。
さらに本発明の基板搬送機構4では、例えば搬送時の移動速度は例えば50mm/sec程度であるため、処理領域13全体で見ると反応ガスとの接触時間を十分に取ることができる。このため反応ガスの供給量(濃度)をそれ程高く設定する必要がなく、この点からも反応ガスの無駄な消費を抑えることができる。
さらに本発明では、第1の反応ガスを排気する排気口71と第2の反応ガスを排気する排気口72とを別個に設け、夫々別個の排気路73,75に接続し、第1の反応ガスの排気路73では途中に捕集部74を設けている。このため成膜に寄与せずに排気されるDERガスはOガスと混合しない状態で捕集部74にて捕集されるので、高価なDERガスを再使用することができ、当該DERガスの無駄な排気を抑制することができる。
さらにまた上述の実施の形態では、基板搬送機構4は縦方向に周回する周回搬送路を備えているため、周回搬送路の下方側にある基板載置部5に対してクリーニング処理を行うことができる。このため成膜装置1の専有面積を増大させずに、基板載置部5に対してクリーニング処理を行うことができ、有効である。またこのように周回搬送路に沿って移動する基板載置部5に対して、この移動中にクリーニング処理を行っているので、常に清浄な基板載置部5に対してウエハWを搬入することができ、パーティクル汚染の発生を抑えて、歩留りの高い処理を行うことができる。
またこの例では、反応ガスとクリーニングガスとの混合を防ぐために、平面的に見て基板載置部5と真空容器10との間の隙間がわずかになるように、両者の大きさが設定されている。このため真空容器10が必要最小限の大きさに形成されているので、真空ポンプ7による真空引きの際の時間が短くて済む上、反応ガスが供給される領域が小さくなるので、反応ガスの供給量も少なくて済む。
以上において本発明の基板搬送機構9は、図14〜図16に示すように、その周回搬送路が、回動体91,92により横方向に周回移動するものであってもよい。この例では回動体91,92は垂直な回動軸を有し、一方が駆動プーリ、他方が従動プーリとして設けられる。そしてこれら回動体91,92の間に駆動用の伝動ベルト93が巻き掛けられると共に、この伝動ベルト93にベルト部材84が接続され、前記伝動ベルト93とベルト部材94とが共に周回移動し、こうして周回搬送路を構成するようになっている。
この例では、平面形状が円形の基板載置部90が周回搬送路に沿って設けられえており、この基板載置部90にも基板受け渡し手段A1や基板受け取り手段A2との間で基板の受け渡しを行うための段部90aが形成されている。そして直線搬送路95Aの上流側には基板搬入部96が設けられており、直線搬送路95Aの下流側には基板搬出部99が設けられていて、これらの間には基板搬出部96側から順に予備加熱領域97、処理領域98が設けられている。処理領域98には、既述の実施の形態の基板搬送機構4と同様に、第1の反応ガスノズル61、第2の反応ガスノズル62、分離ガスノズル63が配列されている。前記直線搬送路95AにおけるB−B´断面図を図14中一点鎖線で囲んだ領域に描くが、このように基板搬送機構9における直線搬送路の下方側には、基板搬入部96近傍から基板搬出部99近傍に亘って、ヒータユニット101が設けられている。
またこの例では、クリーニング処理部102は、前記処理領域98が設けられた直線搬送路95Aと並行する直線搬送路95Bに設けられている。このクリーニング処理部102は、図16に示すように、基板載置部90の搬送領域が開口する処理容器103の内部に、基板載置部90表面にプラズマ化されたクリーニングガスを照射するためのプラズマ発生部104を設けて構成され、このプラズマ発生部104には、ガス供給部105を介してクリーニングガスであるNFガスが供給されると共に、処理容器103の底部には排気路106が接続されている。そして基板搬出部99にて基板受け取り手段A2にウエハWが受け取られた基板載置部90が、当該基板搬出部99から基板搬入部96に周回移動する間に当該クリーニング処理部102を通過し、このときに基板載置部90表面にクリーニングガスのプラズマが照射されて基板載置部90のクリーニング処理が行われるようになっている。
このような実施の形態においても、直線搬送路を有する周回搬送路に沿ってウエハWを搬送し、このウエハWに対して第1の反応ガス及び第2の反応ガスを順番に供給して前記供給サイクルを行うようにしているため、高いスループットで成膜処理を行うことができる。また基板搬送機構の移動を停止することなく、基板載置部90との間でウエハWの受け渡しを行っているので、装置を停止することなく連続して成膜処理を行うことができ、より高いスループットを確保することができる。
また処理領域98では基板載置部90は直線搬送路に沿って搬送されており、搬送時の移動速度はウエハWの面内において同じである。このため移動速度が大きい領域に合わせて、移動速度が小さい領域に必要以上に反応ガスを多く供給する必要がなく、反応ガスの無駄な消費を抑制することができる。
以上において本発明では、具体的には第1の処理領域210において、第1の反応ガスとしてDERガス、第2の反応ガスとしてOガスを用いてRu膜を成膜する処理や、第1の反応ガスとしてTiClガス、第2の反応ガスとしてNHガスを用いてTiN膜を成膜する処理等に適用できる。
さらに本発明では、図17に示すように、周回搬送路における直線搬送路の長さを大きくし、複合プロセスを行うようにしてもよい。この例では、基板搬入部110と基板搬出部120との間に、第1〜第3の3つの処理領域210,220,230が設けられており、各処理領域210,220,230の上流側に夫々予備加熱領域211,221,231が設けられている。このような装置では、第1及び第2の反応ガスノズル61,62、分離ガスノズル63の配列は上述の実施の形態と同様であるが、夫々の処理領域において第1の反応ガスノズル61と第2の反応ガスノズル62に供給される反応ガスの種類が異なっている。
具体的には第1の処理領域210において、第1の反応ガスとしてDERガスガス、第2の反応ガスとしてOガスを用いてRu下部電極の成膜処理が行われ、第2の処理領域220において、第1の反応ガスとしてSr[C(CHガス、第2の反応ガスとしてTi[OCH(CHガス、第3の反応ガスとしてOガスを用いてSTO絶縁膜の成膜処理が行われ、第3の処理領域230において、第1の反応ガスとしてDERガス、第2の反応ガスとしてOガスを用いてRu上部電極の成膜処理が行われる。
さらに本発明では、互いに隣接する分離ガスの供給領域の間からガスを排気するように開口する排気口を、真空容器10の側壁に形成し、前記直線搬送路の側方側から真空容器10内を排気するようにしてもよい。
さらにまた第1の反応ガス供給部、第2の反応ガス供給部及び第3の反応ガス供給部が前記直線搬送路に沿ってこの順番で配列され、前記基板載置部の搬送路に対して3種類の反応ガスを順番に供給する場合においても、第1の反応ガス供給部、第2の反応ガス供給部については前記直線搬送路に沿って交互に配置されているので、本発明の技術的範囲に含まれる。
また伝動ベルトとしては、上述のタイミングベルトの他、Vベルト、平ベルト、或いはワイヤ及びチェーンを用いるようにしてもよい。さらに基板載置部の形状は上述の実施の形態に限らず、例えば図1に示す例においては、基板載置部5とスぺーサ53とを一体に形成するようにしてもよいし、タイミングベルト44,45の上に板状の搬送部材を設け、この上に基板載置部5を配列するようにしてもよい。また基板載置部5にウエハWの形状に合わせた凹部を形成しておき、この凹部内にウエハWを落とし込んだ状態で搬送してもよい。さらに基板載置部と基板受け渡し手段や基板受け取り手段との間のウエハWの受け渡しは、例えば昇降ピンを用いて行うようにしてもよい。
さらにまた搬入用予備真空室24A,24Bにおいて、ウエハWを予備加熱する構成であってもよいし、成膜処理の種別によって、処理温度がそれほど高くない場合には、必ずしも予備加熱領域を設ける必要はなく、基板搬入部近傍から反応ガスノズルや分離ガスノズルを配列し、反応ガスや分離ガスを搬送路に向けて供給するようにしてもよい。また基板搬入部近傍から反応ガスノズルや分離ガスノズルを配列し、処理の種別に応じて使用する反応ガスノズルや分離ガスノズルを選択して、予備加熱領域を設けたり、その大きさを調整するようにしてもよい。さらにクリーニング処理部を設けない構成とすることもでき、この場合には仕切り板17やパージガスの供給も不要となる。
本発明の実施の形態に係る基板処理装置を示す平面図である。 前記基板処理装置に設けられる成膜装置の外観を示す斜視図である。 前記成膜装置に設けられる基板搬送機構を示す斜視図である。 前記成膜装置の一部を示す縦断面図である。 前記成膜装置の基板載置部と基板受け渡し手段とを示す縦断面図である。 前記成膜装置の基板載置部と基板受け渡し手段とを示す平面図である。 前記成膜装置を示す平面図と側面図である。 前記成膜装置の一部を示す縦断面図である。 前記成膜装置を示すA−A´断面図である。 前記成膜装置の反応ガスノズル及び分離ガスノズルの配置例を示す説明図である。 前記成膜装置の仕切り板の一部を示す斜視図である。 前記反応ガスノズル及び分離ガスノズルから供給される反応ガスと分離ガスの分圧を示す特性図である。 前記基板処理装置の一部を示す平面図である。 本発明の他の実施の形態を示す平面図である。 本発明の他の実施の形態の一部を示す平面図である。 本発明の他の実施の形態の一部を示す縦断面図である。 本発明の他の実施の形態の一部を示す平面図である。
符号の説明
1 成膜装置
10 真空容器
11 基板搬入部
12 予備加熱領域
13 処理領域
14 基板搬出部
15 区画壁
17 仕切り板
2 搬入エリア
23 搬入用大気搬送室
24 搬入用予備真空室
28 搬入用真空搬送室
3 搬出エリア
33 搬入用大気搬送室
34 搬入用予備真空室
38 搬入用真空搬送室
4 基板搬送機構
41、42 回動体
5 基板載置部
52 段部
61,62 反応ガスノズル
63 分離ガスノズル
7 真空ポンプ
71,72,73 排気口
8 クリーニング処理部
W 半導体ウエハ
A1 基板受け渡し手段
A2 基板受け取り手段

Claims (13)

  1. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを多数回実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
    真空容器内に設けられ、多数の基板載置部が搬送方向に一列に配列された基板載置部の列を備えると共に、直線搬送路を有する周回搬送路に沿って前記基板載置部の列を搬送する基板搬送機構と、
    前記直線搬送路に沿って交互に配置され、基板載置部の搬送路に対して夫々第1の反応ガス及び第2の反応ガスを供給するために前記真空容器内に固定して設けられた第1の反応ガス供給部及び第2の反応ガス供給部と、
    前記第1の反応ガスが供給される領域と第2の反応ガスが供給される領域とを分離するために、第1の反応ガス供給部及び第2の反応ガス供給部の間に設けられ、基板載置部の搬送路に対して分離ガスを供給する分離ガス供給部と、
    前記真空容器内を真空排気するための真空排気手段と、
    前記基板載置部上の基板を加熱するために設けられた加熱部と、
    前記直線搬送路の上流側に設けられ、前記基板載置部に基板を搬入するための基板搬入部と、
    前記直線搬送路の下流側に設けられ、前記基板載置部から成膜処理後の基板を搬出するための基板搬出部と、を備えたことを特徴とする成膜装置。
  2. 前記基板搬送機構は、回動軸が互いに平行な一対の回動体の間に架け渡され、前記周回搬送路を形成する一対の伝動ベルトを備えることを特徴とする請求項1記載の成膜装置。
  3. 前記伝動ベルトを周回移動させるために、前記一対の回動体の少なくとも一方を回転駆動させるためのモータを備えることを特徴とする請求項2記載の成膜装置。
  4. 前記基板載置部は、前記伝動ベルトに設けられていることを特徴とする請求項1ないし3のいずれか一つに記載の成膜装置。
  5. 前記真空容器は、互いに隣接する分離ガスの供給領域の間からガスを排気するように開口する排気口を備えていることを特徴とする請求項1ないし4のいずれか一つに記載の成膜装置。
  6. 前記排気口は、前記直線搬送路の上方側に設けられていることを特徴とする請求項5記載の成膜装置。
  7. 前記周回搬送路は水平な回動軸の周りに縦方向に周回することを特徴とする請求項1ないし6のいずれか一つに記載の成膜装置。
  8. 前記周回搬送路は垂直な回動軸の周りに横方向に周回することを特徴とする請求項1ないし6のいずれか一つに記載の成膜装置。
  9. 前記周回搬送路における前記基板搬入部と前記反応ガスが供給される領域との間には、基板を予備加熱するための予備加熱領域が設けられていることを特徴とする請求項1ないし8のいずれか一つに記載の成膜装置。
  10. 前記基板搬入部において外部の基板受け渡し手段により基板載置部が移動している状態で基板を当該基板載置部に受け渡すように制御信号を出力し、また前記基板搬出部において外部の基板受け取り手段により基板載置部が移動している状態で基板を当該基板載置部から受け取るように制御信号を出力する制御部を備えたことを特徴とする請求項1ないし9のいずれか一つに記載の成膜装置。
  11. 前記第1の反応ガス供給部及び第2の反応ガス供給部は、前記直線搬送路に対して直交するように配置されたガスノズルであることを特徴とする請求項1ないし10のいずれか一つに記載の成膜装置。
  12. 前記基板搬送機構上の基板載置部に対してクリーニング処理を行うために、前記基板搬送機構における基板搬出部から基板搬入部に移動する載置部に対してクリーニングガスを供給するクリーニング処理部を備えることを特徴とする請求項1ないし11のいずれか一つに記載の成膜装置。
  13. 内部に基板搬送手段が配置された真空搬送室と、
    この真空搬送室に気密に接続された請求項1ないし請求項12のいずれか一つに記載の成膜装置と、前記真空搬送室に気密に接続され、真空雰囲気と大気雰囲気との間で雰囲気の切り替え可能な予備真空室と、を備えたことを特徴とする基板処理装置。
JP2008248801A 2008-09-26 2008-09-26 成膜装置及び基板処理装置 Withdrawn JP2010077508A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008248801A JP2010077508A (ja) 2008-09-26 2008-09-26 成膜装置及び基板処理装置
CN200980137865XA CN102165100A (zh) 2008-09-26 2009-09-25 成膜装置和基板处理装置
PCT/JP2009/066607 WO2010035773A1 (ja) 2008-09-26 2009-09-25 成膜装置及び基板処理装置
US13/120,681 US20110265725A1 (en) 2008-09-26 2009-09-25 Film deposition device and substrate processing device
KR1020117009432A KR20110058909A (ko) 2008-09-26 2009-09-25 성막 장치 및 기판 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008248801A JP2010077508A (ja) 2008-09-26 2008-09-26 成膜装置及び基板処理装置

Publications (1)

Publication Number Publication Date
JP2010077508A true JP2010077508A (ja) 2010-04-08

Family

ID=42059771

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008248801A Withdrawn JP2010077508A (ja) 2008-09-26 2008-09-26 成膜装置及び基板処理装置

Country Status (5)

Country Link
US (1) US20110265725A1 (ja)
JP (1) JP2010077508A (ja)
KR (1) KR20110058909A (ja)
CN (1) CN102165100A (ja)
WO (1) WO2010035773A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332391A (zh) * 2010-07-13 2012-01-25 东京毅力科创株式会社 真空处理装置
JP2013545891A (ja) * 2010-10-22 2013-12-26 エージーシー グラス ユーロップ モジュール式コータ分離
JP2014508225A (ja) * 2011-03-01 2014-04-03 アプライド マテリアルズ インコーポレイテッド 原子層堆積のための装置及びプロセス
JP2014138076A (ja) * 2013-01-16 2014-07-28 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2017041545A (ja) * 2015-08-20 2017-02-23 株式会社東芝 半導体製造装置および半導体装置の製造方法
JP2018160619A (ja) * 2017-03-23 2018-10-11 東芝メモリ株式会社 半導体製造装置
WO2020174642A1 (ja) * 2019-02-28 2020-09-03 東芝三菱電機産業システム株式会社 成膜装置
WO2020174643A1 (ja) * 2019-02-28 2020-09-03 東芝三菱電機産業システム株式会社 成膜装置

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2353797B1 (en) * 2008-10-07 2014-08-06 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer robot and system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102312199B (zh) * 2010-06-30 2013-10-02 上方能源技术(杭州)有限公司 一种扫描镀膜装置及扫描镀膜组件
JP5617708B2 (ja) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102424958A (zh) * 2011-11-17 2012-04-25 陈聪茂 用于连续制造金属薄膜太阳能电池的淀积设备及方法
JP5958092B2 (ja) * 2012-05-31 2016-07-27 ソニー株式会社 成膜装置及び成膜方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101407436B1 (ko) * 2012-09-05 2014-06-19 주식회사 테스 박막증착장치 및 박막증착방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102075528B1 (ko) * 2013-05-16 2020-03-03 삼성디스플레이 주식회사 증착장치, 유기발광 디스플레이 장치 제조방법 및 유기발광 디스플레이 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) * 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6555091B2 (ja) * 2015-11-10 2019-08-07 シンフォニアテクノロジー株式会社 ロボット搬送装置
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN110137121B (zh) * 2018-02-09 2024-03-26 东京毅力科创株式会社 基板处理装置
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114481061B (zh) * 2021-12-26 2023-10-27 凯盛科技股份有限公司蚌埠华益分公司 一种玻璃连续ito镀膜设备

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06173000A (ja) * 1992-12-07 1994-06-21 Hitachi Ltd 連続式成膜装置
JPH08176826A (ja) * 1994-12-28 1996-07-09 Mitsubishi Electric Corp Cvd法による薄膜の堆積装置及び堆積方法並びに該堆積装置又は該堆積方法で用いられるcvd原料及び液体原料容器
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
EP2253732A2 (en) * 2004-09-03 2010-11-24 Cardinal CG Company Coater having interrupted conveyor system
US20090304924A1 (en) * 2006-03-03 2009-12-10 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332391A (zh) * 2010-07-13 2012-01-25 东京毅力科创株式会社 真空处理装置
KR101336420B1 (ko) * 2010-07-13 2013-12-04 도쿄엘렉트론가부시키가이샤 진공 처리 장치
JP2013545891A (ja) * 2010-10-22 2013-12-26 エージーシー グラス ユーロップ モジュール式コータ分離
US9938617B2 (en) 2010-10-22 2018-04-10 Agc Glass Europe Modular coater separation
JP2014508225A (ja) * 2011-03-01 2014-04-03 アプライド マテリアルズ インコーポレイテッド 原子層堆積のための装置及びプロセス
JP2014138076A (ja) * 2013-01-16 2014-07-28 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2017041545A (ja) * 2015-08-20 2017-02-23 株式会社東芝 半導体製造装置および半導体装置の製造方法
JP2018160619A (ja) * 2017-03-23 2018-10-11 東芝メモリ株式会社 半導体製造装置
WO2020174642A1 (ja) * 2019-02-28 2020-09-03 東芝三菱電機産業システム株式会社 成膜装置
WO2020174643A1 (ja) * 2019-02-28 2020-09-03 東芝三菱電機産業システム株式会社 成膜装置

Also Published As

Publication number Publication date
CN102165100A (zh) 2011-08-24
WO2010035773A1 (ja) 2010-04-01
KR20110058909A (ko) 2011-06-01
US20110265725A1 (en) 2011-11-03

Similar Documents

Publication Publication Date Title
JP2010077508A (ja) 成膜装置及び基板処理装置
KR101814243B1 (ko) 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
US10867819B2 (en) Vacuum processing apparatus, vacuum processing system and vacuum processing method
KR101138810B1 (ko) 기판 처리 장치
KR101160805B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 반도체 장치
JP4560575B2 (ja) 基板処理装置及び半導体装置の製造方法
KR101850186B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기판 처리 방법
KR101852233B1 (ko) 성막 방법
KR101290980B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP4634495B2 (ja) 基板処理装置及び半導体装置の製造方法
KR101204640B1 (ko) 진공 처리 시스템
JP2008205151A (ja) 基板処理装置
JP2009295729A (ja) 基板処理装置
WO2020059574A1 (ja) 真空処理装置及び基板搬送方法
JP2011029441A (ja) 基板処理装置及び基板処理方法
US8398771B2 (en) Substrate processing apparatus
JP2008303452A (ja) 基板処理装置
JP2009004642A (ja) 基板処理装置
JP4895634B2 (ja) 基板処理装置
JP2011222656A (ja) 基板処理装置
JP2005243737A (ja) 基板処理装置
JP2005259841A (ja) 基板処理装置
JP2009117644A (ja) 基板処理装置
JP2018006533A (ja) 真空処理装置、真空処理方法及び記憶媒体
JP2005197543A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110712

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20130226