CN102165100A - 成膜装置和基板处理装置 - Google Patents

成膜装置和基板处理装置 Download PDF

Info

Publication number
CN102165100A
CN102165100A CN200980137865XA CN200980137865A CN102165100A CN 102165100 A CN102165100 A CN 102165100A CN 200980137865X A CN200980137865X A CN 200980137865XA CN 200980137865 A CN200980137865 A CN 200980137865A CN 102165100 A CN102165100 A CN 102165100A
Authority
CN
China
Prior art keywords
substrate
gas
carrying path
placing portion
deposition system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200980137865XA
Other languages
English (en)
Inventor
辻德彦
诸井政幸
柳谷健一
花田良幸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102165100A publication Critical patent/CN102165100A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明公开的成膜装置包括:基板输送机构,其设置在真空容器内,并具有队列装环绕输送多个基板载置部的环绕输送通路,该环绕输送通路具有直线状环绕输送该多个基板载置部的直线输送通路;在直线输送通路沿着输送基板载置部的输送方向配置的、对在直线输送通路上输送的多个基板载置部供给第一反应气体的第一反应气体供给部;沿着输送方向与第一反应气体供给部交替配置的、对在直线输送通路上输送的多个基板载置部供给第二反应气体的第二反应气体供给部;和在第一反应气体供给部和第二反应气体供给部之间供给分离气体的分离气体供给部。

Description

成膜装置和基板处理装置
技术领域
本发明涉及通过依次向基板的表面供给至少两种互相反应的反应气体且多次执行该供给循环,而使反应生成物的层多层层叠,形成薄膜的成膜装置和基板处理装置。
背景技术
作为半导体制造程序中的成膜方法,已知有在真空气氛下使第一反应气体吸附在作为基板的半导体晶片W(以下称为「晶片W」)上之后,将供给的气体切换为第二反应气体,通过两种气体的反应形成一层或多层的原子层或分子层,多次进行该循环,由此,将这些层进行层叠,进行基板上的成膜的工序。该工序例如被称作ALD(Atomic Layer Deposition)或MLD(Molecular Layer Deposition)等,根据循环能够高精度地控制膜厚,并且膜质的面内均匀性也良好,是能够对应半导体装置的薄膜化的有希望的方法。作为这种成膜方法的最佳例子,例如列举有Ru(钌)的成膜。在形成该Ru膜的情况下,作为第一反应气体(原料气体)例如使用Ru(C7H7)(C7H7)(2,4-dimethylpent adienyl ethylcyclopentadienyl ruthenium,以下称为「DER」)气体,第二反应气体(还原气体)使用氧气(O2)等。
作为实施这种成膜方法的装置,正在研讨:使用在真空容器的上部中央具有气体喷淋头的单片的成膜装置,从基板的中央部上方一侧供给反应气体,从处理容器的底部对未反应的反应气体和反应副生成物进行排气的方法。然而,上述的成膜方法根据由于基于清除气体的气体置换耗费较长的时间,并且循环次数例如也是数百次,所以存在处理时间较长,并且每次处理一枚基板时需要对处理容器进行基板的搬入搬出和对处理容器内进行真空排气,伴随这些动作的时间的损失也较大的问题,所以期待能够以高处理能力进行处理的装置和方法。
于是,例如,如专利文献1、2所示,例如提案有在圆形的载置台上在圆周方向上载置多枚基板,在使该载置台转动的同时对反应气体进行切换并供给到载置台上的基板从而进行成膜的装置。例如在专利文献1记载的成膜装置中,提案有在载置台的圆周方向上设置有供给彼此不同的反应气体的多个彼此被区分的处理空间的结构,另外,在专利文献2记载的成膜装置中,提案有在该载置台的上方设置有在径方向上伸出的、向载置台喷出不同的反应气体的例如两个反应气体喷嘴,使该载置台转动,使该载置台上的基板从这些多个处理空间内和反应气体喷嘴的下方通过,由此向各个基板交替供给反应气体进行成膜的结构。这种类型的成膜装置因为没有反应气体的清除步骤、另外通过一次的搬入搬出和真空排气动作能够处理多枚基板,所以能够减少伴随这些动作的时间,提高生产量。
先进技术文献
专利文献
专利文献1:日本特许3144664号公报:图1、图2、权利要求1
专利文献2:日本特开2001-254181号公报:图1和图2
发明内容
发明想要解决的问题
然而,随着近年的基板的大型化,例如在晶片W的情况下对直径甚至达到300mm的基板进行成膜。因此,载置在共同的载置台上的晶片W的枚数具有限制,一次能够处理的晶片W的枚数是4~5枚左右。另外,虽然在对载置台交接晶片W时停止处理,但是若每次处理4~5枚的晶片W时交接的动作成为必须,从成膜处理整体观察时,具有该交接时间累积,成为阻碍进一步提高处理能力的主要原因的问题。
另外,若使载置台旋转,其中央区域和周边区域的移动速度不同,边缘区域的一方移动速度较大,但在从反应气体供给喷嘴供给的反应气体的浓度在载置台的径方向上是一定的情况下,随着通过反应气体供给喷嘴下方的晶片的速度变大,在晶片表面能够参与成膜的反应气体的量变少。因此,以载置在通过反应气体供给喷嘴下方的速度最快速的载置台的周边区域的晶片表面得到成膜所需要的反应气体浓度的方式,决定从该喷嘴供给的反应气体的量。
然而,按照这种载置台的周边区域的必须量进行反应气体的供给时,在与该周边区域相比移动速度小的内侧的区域供给必须量以上的较高浓度的反应气体,不参与成膜的反应气体原样地被排气。在此,为了获得处理能力的提高,需要以一定程度的速度旋转载置台,这样在载置台的周边区域移动速度变得非常快速,因此必须更多地设定反应气体的供给量,存在不参与成膜的被排气的反应气体量变多的问题。
虽然在ALD等采用的原料气体大多是使液体原料气化,或者使固体原料升华得到的气体,但是在这些原料昂贵的时候,在上述的使载置台旋转的方式的成膜装置中,由于随着晶片W的处理能力的提高,在必需的量之上消费这种昂贵的反应气体,所以需要在提高处理能力的同时反应气体消耗量少的成膜装置。
本发明是基于这种事情而完成的,提供一种在提高处理能力的同时抑制反应气体的消耗的成膜装置和基板处理装置。
用于解决课题的方法
本发明的第一方式提供一种通过依次向基板的表面供给至少两种在真空容器内互相发生反应的反应气体且多次执行该供给循环,而多层层叠反应生成物的层,形成薄膜的成膜装置。该成膜装置包括:基板输送机构,其设置在真空容器内,具有队列状环绕输送多个基板载置部的环绕输送通路,该环绕输送通路具有直线状环绕输送该多个基板载置部的直线输送通路;在直线输送通路沿着输送基板载置部的输送方向配置的、对在直线输送通路上输送的所述多个基板载置部供给第一反应气体的第一反应气体供给部;沿着输送方向与第一反应气体供给部交替配置的、对在直线输送通路上输送的所述多个基板载置部供给第二反应气体的第二反应气体供给部;用于分离供给有第一反应气体的第一区域和供给有第二反应气体的第二区域,在第一反应气体供给部和第二反应气体供给部之间供给分离气体的分离气体供给部;构成为对真空容器内进行排气的排气部;构成为对基板载置部上的基板进行加热的加热部;
设置在直线输送通路的相对于输送方向的上游一侧,将基板输送到所述多个基板载置部的各个上的基板搬入部;和设置在直线输送通路的相对于输送方向的下游一侧,将所述基板从多个基板载置部的各个上搬出的基板搬出部。
本发明的第二方式提供一种基板处理装置,其包括:在内部具有基板输送机构的真空输送室;气密地连接到该真空输送室的权利要求1所述的成膜装置;和气密地连接到所述真空输送室、能够使内部压力在真空与大气压之间进行切换的负载锁定室。
附图说明
图1是表示本发明的实施方式涉及的基板处理装置的俯视图。
图2是表示设置在基板处理装置的成膜装置的外观的立体图。
图3是表示设置在成膜装置的基板输送机构的立体图。
图4是表示成膜装置的一部分的纵截面图。
图5表示成膜装置的基板载置部和基板交接单元的纵截面图。
图6表示成膜装置的基板载置部和基板交接单元的俯视图。
图7表示成膜装置的俯视图和侧视图。
图8表示成膜装置的一部分的纵截面图。
图9表示成膜装置的A-A′截面图。
图10是表示成膜装置的反应气体喷嘴和分离气体喷嘴的配置例的说明图。
图11是表示成膜装置的分隔壁的一部分的立体图。
图12是表示从反应气体喷嘴和分离气体喷嘴供给的反应气体和分离气体的分压的特性图。
图13表示基板处理装置的一部分的俯视图。
图14表示本发明的其他的实施方式的俯视图。
图15表示本发明的其他的实施方式的一部分的俯视图。
图16表示本发明的其他的实施方式的一部分的纵截面图。
图17表示本发明的其他的实施方式的一部分的俯视图。
用于实施发明的方式
采用本发明的实施方式,通过依次向基板的表面供给彼此反应的多种反应气体且多此且多次执行该供给循环,多层层叠反应生成物的层形成薄膜,由于沿着具有直线输送通路的环绕输送通路输送基板,对该基板依次供给第一反应气体和第二反应气体,进行所述供给循环,所以能够以较高的处理能力进行成膜处理。另外,基板输送部在输送方向上以排列为一列的状态沿着环绕输送通路进行输送,输送时的移动速度在基板的面内是相同的。因此,不需要配合移动速度较大的区域,向移动速度较小的区域供给需要以上的更多的反应气体,能够抑制反应气体的无用消耗。
下面,针对具有本发明的成膜装置的基板处理装置的一个实施方式进行说明。图1是表示基板处理装置的概观的俯视图。该基板处理装置具有在图中Y方向上直线状延伸的成膜装置1,在该成膜装置1的长度方向(图1中的Y方向)的一端侧设置有用于将晶片W搬入该成膜装置1的搬入区域2,并且在该成膜装置1的长度方向的另一端侧设置有用于从该成膜装置搬出晶片W的搬出区域3。
首先,利用图1~图11对成膜装置1进行说明。该成膜装置1具有例如由铝合金构成的真空容器10,在该真空容器10内部设置有基板输送机构4。该基板输送机构4被构成为:具有将用于载置作为基板的晶片W的基板载置部5在输送方向(图中Y方向)上排列成一列的基板载置部5的队列,并且,沿着具有直线输送通路的环绕输送通路输送基板载置部5的队列。
如图3和图4所示,基板输送机构4具有绕着水平轴转动且以转动轴彼此平行的方式配置在前后的一对转动体41、42,和架设在这些转动体41、42之间沿着环绕轨道移动的一对传送带例如同步带44、45。转动体41是由电动机M1驱动旋转的主动轮,转动体42是从动轮。驱动轮的驱动由后述的控制部进行控制。另外,本例中,在转动体(主动轮)41和转动体(从动轮)42之间设置有一个以上的辅助轮43。在这些转动体41、42上分别卷挂有同步带44、45,由此,在纵方向形成有环绕的环绕输送通路CT。该环绕输送通路CT含有直线状延伸的直线输送通路LT。具体来讲,本例的环绕输送通路CT具有上下相对的直线输送通路LT。另外,该环绕输送通路CT具有固定的宽度(图中X方向的长度)。
在同步带44、45上,以在输送方向上排列成一列的方式安装有多个基板载置部5。本例的基板载置部5例如具有方形的平面形状,并具有在其上能够载置例如具有直径为300mm的晶片W的尺寸。该基板载置部5例如以架设在两条同步带44、45之间的方式设置。如图3和图9(图2的A-A′截面图)所示,基板载置部5的图3中X方向的两端部设置成与同步带44、45的外端大致对齐。而且,例如图4所示,基板载置部5借助配置在基板载置部5背面的同步带44、45的移动方向的中央部的固定部51被安装在同步带44、45上。固定部51例如可以由炭化硅(SiC)或氮化铝(AlN)等形成。通过该结构,该同步带44、45通过转动体41、42进行环绕移动时,随着该移动,基板载置部5也进行环绕移动。
另外,在各基板载置部5上形成有在与外部的基板交接单元A1或与基板接受单元A2之间进行交接晶片W时使用的台阶部52。基板交接单元A1和基板接受单元A2采用同样的结构。对基板交接单元A1简单地进行说明,如图2和图6所示,具有用于对晶片W的背面一侧进行支撑的叉子状的支撑板50,如图5所示,台阶部52的形状被设定为:比支撑板50大,并且保持有晶片W的支撑板50从该台阶部52的上方一侧进入该台阶部52,并将晶片W交接给基板载置部5之后能够退出程度的大小。
这种基板载置部5被排列为,在同步带44、45上在输送方向(图中Y方向)并列成一列,并且在相邻的基板载置部5彼此之间空开有规定间隔。该排列间隔是考虑基板载置部5的输送速度和基板交接单元A1向一个基板载置部5载置一个晶片到向下一个基板载置部5载置下一个晶片所需要的时间而设定的。列举一个例子,该排列间隔被设定为如图6所示的相邻的晶片W的中心部O彼此的间隔L例如是400mm左右。
另外,如图3和图4所示,在相邻的基板载置部5彼此之间,设置有垫板53。该垫板53被设定为:并不妨碍基板载置部5的环绕移动,且尽可能埋入在输送方向上形成的基板载置部5彼此之间的形状和大小。在本例中,该垫板53包括具有方形的平面形状、并且具有与基板载置部5的宽度(X方向的长度)大致相同的宽度;与基板载置部5彼此之间的Y方向的间隔相比稍小的Y方向的长度;和与基板载置部5的厚度大致相等的厚度。该垫板53也与基板载置部5同样地被安装在同步带44、45上,与基板载置部5一起环绕移动。另外,在图3中为了图示的方便,虽然仅描绘一个垫板53,但实际上被设置在全部的基板载置部5彼此之间。另外,在图7省略垫板53的记载。
参照图7,在这种基板输送机构4的直线输送通路的上游一侧设置有用于将晶片W搬入到基板载置部5的基板搬入部11,并且在直线输送通路的下游一侧设置有用于从基板载置部5搬出成膜处理后的晶片W的基板搬出部14。而且,在这些基板搬入部11和基板搬出部14之间从基板搬入部11一侧开始依次设置有预备加热区域12和处理区域13。这样通过驱动转动体41、42旋转,基板载置部5以从基板搬入部11经过预备加热区域12和处理区域13移动到基板搬出部14一侧然后再返回至基板搬入部11的方式进行环绕移动。
在此,对真空容器10和基板输送机构5的大小进行说明,如图6和图9等所示,真空容器10的宽度(X方向的长度)被设定为比基板载置部5的宽度稍大,输送基板载置部5能够以接近真空容器10的内壁的状态进行输送。另外,根据基板载置部5的输送速度和成膜处理的种类,可以适当地设定基板搬入部11、预备加热区域12、处理区域13和基板搬出部14的Y方向(输送方向)的长度。处理区域13的输送方向的长度例如可以是5000mm左右。
另外,成膜装置1具有第一反应气体供给部和第二反应气体供给部,该第一反应气体供给部和第二反应气体供给部沿着直线输送通路交替配置,固定设置在真空容器10内,用于对基板载置部5的输送通路分别供给第一反应气体和第二反应气体。另外,成膜装置1具有为了将供给第一反应气体的区域和供给第二反应气体的区域分离而设置在第一反应气体供给部和第二反应气体供给部之间的、用于对基板载置部5的输送通路供给分离气体的分离气体供给部,。
如图3和图4所示,这些第一反应气体供给部、第二反应气体供给部和分离气体供给部分别含有第一反应气体喷嘴61、第二反应气体喷嘴62、分离气体喷嘴63。这些喷嘴61、62、63被设置为,在处理区域13的基板载置部5的上方一侧,与直线输送通路的输送方向正交且接近载置在基板载置部5上的晶片W的表面。而且,在本例中,从基板搬入部11向着基板搬出部14的方向,按照分离气体喷嘴63、第一反应气体喷嘴61、分离气体喷嘴63、第二反应气体喷嘴62的顺序进行排列,并且在这些气体喷嘴组的两端侧设置分离气体喷嘴63。这些气体喷嘴61~63,例如如图9所示,通过真空容器10的侧壁部10a插入真空容器10内,例如其顶端部被设置为与插入有气体喷嘴61~63的侧壁部10a对面的侧壁部10b接触。
另外,如图8明确所示,在分离气体喷嘴63的上部和真空容器10的顶部之间设置有区分壁15。该区分壁15在分离气体喷嘴63的长度方向(X方向)全体上延伸,由此,在真空容器10内的气体喷嘴的上方,在相邻的分离气体喷嘴63彼此之间,形成由区分壁15区分开的区域16。
第一反应气体喷嘴61与作为第一反应气体的DER气体的气体供给源64连接,第二反应气体喷嘴62与作为第二反应气体的O2(氧气)气体的气体供给源65连接,分离气体喷嘴63与作为分离气体的Ar气体(氩气)的气体供给源66连接。作为分离气体,除了Ar气之外,也可以使用氮气(N2)或氦气(He)等。在图4中,参照符号67是流量调整部。
如图8和图9所示,在反应气体喷嘴61、62上,在反应气体喷嘴61、62的长度方向(即,X方向)上隔开间隔地配置有用于向下方侧喷出反应气体的喷出孔68。另外,如图8和图9所示,在分离气体喷嘴63上,在分离气体喷嘴63的长度方向上隔开间隔地穿设有用于向下方一侧喷出分离气体的喷出孔69。反应气体喷嘴61、62的下方区域分别是用于使DER气体吸附在晶片W上的第一区域S1和用于使O2气体吸附在晶片W上的第二区域S2。为了分离第一区域S1和第二区域S2,在这些第一区域S1和第二区域S2之间设置有分离气体喷嘴63。
如图10所示,这种反应气体喷嘴61、62和分离气体喷嘴63分别被排列为,晶片W暴露在一种气体中的区域(一步)在晶片输送方向上例如是10mm的长度,晶片W暴露在Ar气体→DER气体→Ar气体→O2气体顺序的一个循环中的合计区域在晶片输送方向上例如是40mm的长度。
另外,真空容器10具有以从彼此相邻的分离气体的供给区域之间对气体进行排气的方式开口的排气口。例如图4、图8、图9所示,该排气口形成在真空容器10的顶部。在此,在分离气体喷嘴63和真空容器10的顶部之间设置有区分壁15,通过该区分壁15在气体喷嘴61~63的上方,区分出设置有第一反应气体喷嘴61的区域和设置有第二反应气体喷嘴62的区域。因此,通过以在第一反应气体喷嘴61的配置区域进行开口的方式设置第一排气口71,并且以在第二反应气体喷嘴62的配置区域进行开口的方式设置第二排气口72,从而由第一排气口71对第一反应气体进行排气,由第二排气口72对第二反应气体进行排气。
参照图4,第一排气口71与第一排气通路73连接,通过捕集部74与作为真空排气单元的真空泵7连接。捕集部74为了捕集作为第一反应气体的DER气体而设置,例如通过冷却从排气气体捕集DER气体。另外,第二排气口72与第二排气通路75连接,第二排气通路75在捕集部74的下游与第一排气通路73合并,与共同的真空泵7连接。另外,在真空容器10的底部也设置有一个以上的排气口76,这些排气口76与共同的第三排气通路77连接,合并到第一排气通路73之后,与真空泵7连接。
如图3和图4所示,在由基板输送机构4的环绕输送通路包围的区域中,沿着基板输送机构4的长度方向(Y方向)设置有作为加热部的加热单元54,通过辐射热借助基板载置部5对晶片W进行加热。此时,在基板载置部5上设置有例如由放射温度计组成的温度传感器55(参照图8),基于来自该温度传感器55的检测值,通过加热单元54晶片W被加热至由程序菜单决定的温度。
在该例中,在X方向和Y方向上,除了设置有转动体41、42的区域,沿着环绕输送通路的长度方向(输送方向)的全体排列有具有能够充分对基板载置部5的整个表面进行加热大小的多个加热单元54。由此,从基板搬入部11交给到基板载置部5的晶片W在输送的同时被加热。在环绕输送通路上的基板搬入部11和供给反应气体的处理区域13之间分配有已述的用于预备加热晶片的预备加热区域12,虽然在该预备加热区域12进行输送期间晶片被充分加热,但是由于晶片W的加热程度基于通过预备加热区域12的时间而不同,所以该区域12的大小(输送方向的长度)可以根据输送速度和成膜处理的种类进行决定。
如图4、图8和图9所示,在由基板输送机构4的环绕输送通路包围的区域中,在加热器54的下方一侧设置有分隔壁17。该分隔壁17被设置为,在主动轮41和从动轮42之间的区域中将真空容器10纵向分为两部分,如图11所示,例如以不妨碍辅助轮43旋转的方式在辅助轮43的移动区域形成有切口部18。
另外,如图4和图9所示,在真空容器10内设置有用于向基板载置部5和分隔壁17之间的空间供给作为清洗气体N2气体的清洗气体喷嘴56。该清洗气体喷嘴56被设置为不妨碍基板载置部5的环绕移动,其另一端侧借助流量调整部57a与清洗气体源57(图4)连接。清洗气体除了N2气体之外,能够使用Ar气体或He气体等。
另外,在真空容器10的基板输送机构4的下方,为了例如对在直线输送通路上移动中的基板载置部5进行清洗处理,而设置有清洗处理部8。该清洗处理部8被构成为,在基板输送机构4的基板搬出部14已将晶片W交接给基板接受单元A2的基板载置部5从基板搬出部14返回至基板搬入部11期间,对该基板载置部5供给清洗气体进行清洗处理。
如图4和图8所示,例如,清洗处理部8具有在真空容器10内以在输送方向上正交的方式延伸的多个等离子体发生部81。以向移动的基板载置体5整体供给清洗气体的方式,设定等离子体发生部81的大小、形状、数量和安装位置。从作为清洗气体的NF3气体的供给源82向该等离子体发生部81供给NF3气体,基板载置部5暴露在来自等离子体发生部81的被等离子体化的NF3气体中,基板载置部5被清洗。在图4中,参照符号83是流量调整部。在本例中,设置于真空容器10底部的排气口76,在输送方向上设置在等离子体发生部81的前后,清洗气体借助该排气口76被快速排出。根据成膜处理的种类可以适当选择清洗气体。
对第一反应气体、第二反应气体、分离气体的供给量进行控制的流量调整部67、对清除气体的供给量进行调整的流量调整部57a、对清洗气体的供给量进行控制的流量调整部83由后述的控制部100进行控制,分别在规定的时间向真空容器10内供给规定的供给量的气体。虽然在本例中清洗处理部8供给等离子体化的清洗气体,但是清洗气体的等离子体化并不是必须的。例如,可以使用ClF3作为清洗气体,通过直接向基板载置部5供给该气体,对该基板载置部5进行清洗处理。
接着,对基板搬入部11和基板搬出部12进行说明。在该基板搬入部11通过基板交接单元A1将基板W交接到基板载置部5,在基板搬出部12通过外部的基板接受单元A2从基板载置部5接受晶片W。如图1和图2所示,真空容器10在基板搬入部11的真空容器10的侧壁部形成有搬入用开口部10A,在基板搬出部12的真空容器10的侧壁部形成有搬出用开口部10B。这些搬入用开口部10A和搬出用开口部10B构成为通过未图示的闸阀开闭自如。
而且,在该真空容器10中,在搬入用开口部10A的外侧设置基板交接单元A1,在搬出用开口部10B的外侧设置基板接受单元A2。因为这些基板交接单元A1和基板接受单元A2构成相同,以下,对基板交接单元A1进行说明,如图6和图13所示,该基板交接单元A1具有设置为升降自如和旋转自如且在输送方向(图中Y方向)移动自如的基台58和设置在该基台58上构成为进退自如的多关节臂59。多关节臂59的顶端构成为对晶片W的背面一侧进行支撑的叉子状的支撑板50。另外,在图6中省略多关节臂59。基板58能够与真空容器10的环绕输送通路平行地在输送方向上移动。
在此,基板交接单元A1、基板接受单元A2和基板输送机构4由后述的控制部100控制,从控制部100对基板交接单元A1、基板接受单元A2和基板输送机构4输出控制信号,控制基板交接单元A1、基板接受单元A2和基板输送机构4,以使得:在基板搬入部11在基板载置部5移动状态下,基板交接单元A1将晶片W交接至基板载置部5;另外,在基板搬出部14在基板载置部5移动的状态下,基板接受单元A2从该基板载置部5接受晶片W。这样在基板输送方向上在基板载置部5移动期间,晶片W被交接给基板载置部5,或从基板载置部5接受晶片W,因此,基板搬入部11是基板交接单元A1能够进行存取的区域,基板搬出部14是基板接受部件A2能够进行存取的区域。基板搬入部11和基板搬出部14的输送方向的长度,考虑基板载置部5的输送速度而决定。
接着,参照图1和图13对搬入区域2进行说明,图中的参照符号21是用于载置从自外部收纳有多个晶片W的多个FOUP200的搬入用FOUP载置部,该搬入用FOUP载置部21例如具有在图中X方向上构成为移动自如的搬入用载置台22。在该载置台22的与X方向相对的上游一侧,例如设置有FOUP200的搬入口22A,从搬入口22A,FOUP200被载置到该载置台22上并向着X方向的下游一侧移动。
该FOUP载置部21借助大气空气的大气输送室23例如与两个负载锁定室24(24A、24B)连接。在大气输送室23中设置有用于在载置在FOUP载置部21上的FOUP200和负载锁定室24A、24B之间交接晶片W的第一交接臂B1。在本例中,第一交接臂B1构成为能够对放置于搬入用载置台22上的移动方向的最下游的FOUP200和负载锁定室24A、24B进行存取,并且为了将该FOUP200内的晶片交接到负载锁定室24A、24B,该第一交接臂B1构成为升降自如,绕铅直轴旋转自如,并且进退自由。另外,在大气输送室23中设置有用于进行晶片W的定位的对准单元25A、25B,交接臂B1对于对准单元25A、25B也能够进行存取。
负载锁定室24A、24B构成相同,这些负载锁定室24A、24B的内部能够在常压和真空之间进行切换。另外,如图13所示,在其内部配置有用于架状保持晶片W的一对缓冲器26a、26b(26c、26d)。这些缓冲器26a、26b(26c、26d)载置绕铅直轴旋转的旋转台27A(27B)上。
这种负载锁定室24A、24B与真空气氛的真空输送室28连接,在该真空输送室28中设置有用于从负载锁定室24A、24B内的缓冲器26a、26b(26c、26d)接受晶片W,并将该晶片W交接给成膜装置1的已述的基板交接单元A1。在大气输送室23和负载锁定室24A、24B之间形成第一开口部20A的同时,在负载锁定室24A、24B和真空输送室28之间形成有第二开口部20B。在这些开口部20A、20B将分别设置有它们之间的间隙气密地密封,且构成为能够开闭自如的闸阀GT。第一开口部20A和第二开口部20B分别设置在第一交接臂B1和基板交接单元A1能够存取的位置,在负载锁定室24A、24B的内部通过使旋转台27A、27B旋转,使对应的缓冲器26a~26d移动至面对第一开口部20A的位置,第一交接臂B1将晶片交接到对应的缓冲器26a~26d上,或者,使缓冲器26a~26d移动至面对第二开口部20B的位置,基板交接单元A1从对应的缓冲器26a~26d接受晶片W。
另一方面,搬出区域3(图1)与搬入区域2同样地构成。图中的参照符号31是用于载置多个FOUP200的搬出用FOUP载置部,参照符号32是搬出用载置台,参照符号32A是FOUP200的搬出口。而且,图中的参照符号33是大气气氛的大气输送室,图中的34A、34B是两个负载锁定室,在大气输送室33中设置有第二交接臂B2。在负载锁定室34A、34B的内部,未图示的缓冲器以载置在旋转台上状态进行配置。
这种负载锁定室34A、34B与真空气氛的真空输送室38连接,在该真空输送室38中,为了从成膜装置1接受晶片W并将该晶片W交接到负载锁定室34A、34B内的缓冲器上,设置有基板接受单元A2。
另外,在本实施方式的成膜装置中设置有用于控制装置整体的动作的由计算机构成的控制部100,在该控制部100的存储器内存储有用于使装置运行的程序。该程序以执行后述的装置的动作的方式组成步骤组,通过硬盘、光盘、闪存、存储卡、软盘等的存储介质安装到控制部100内。
下面,对上述的实施方式的作用进行说明。载置在FOUP载置部21上的FOUP200通过未图示的开闭机构被打开盖子,通过大气输送室23内的第一交接臂B1从该FOUP200内取出晶片W。而且,利用对准单元25A或25B进行定位之后,搬入负载锁定室24A、24B内的缓冲器26a~26d内。然后,该负载锁定室24A、24B从大气被切换至真空。接着,打开闸阀GT,通过开口部20B由真空输送室28内的基板交接单元A1取出负载锁定室24A、24B内的晶片W。
另一方面,在成膜装置1中,通过真空泵7(图4)预先将真空容器10内维持在规定的真空度,并且一边由温度传感器55对基板载置部5进行温度测定,一边由加热单元54将基板载置部5预先加热至例如300℃左右,并且预先使基板输送机构4在输送方向(图中Y方向)上以例如50mm/sec左右的速度环绕移动。接着,基板输送构件A1与移动中的基板输送机构4一起以相同的速度向相同的方向上移动,并且将晶片W交接至基板载置部5。然后,基板输送构件A1立即在负载锁定室24A、24B接受下一个晶片W,同样将晶片W交接到下一个基板载置部5。在此,如上所述,因为基板载置部5排列为在输送方向上的晶片W的排列间隔L例如是400mm,所以搬入晶片W所需的时间是8秒左右。
这样,晶片W以载置在基板载置部5上的状态从基板搬入部11向预备加热区域12输送,在预备加热区域12中,在移动中通过基板载置部5被加热至大致设定温度。接着,晶片W向处理区域13移动。在处理区域13中,从第一反应气体喷嘴61和第二反应气体喷嘴62分别供给DER气体和O2气体,从分离气体喷嘴63供给作为分离气体的Ar气体。另外,从清除气体喷嘴56向基板输送机构4的环绕输送通路的内部供给作为清除气体的N2气体。此时,以环绕输送通路内部的压力与环绕输送通路外部的压力相比稍微是正压力的方式,设定各自的气体的供给量。
由于晶片W通过基板输送机构4在输送方向(Y方向)上进行移动,所以交替通过设置有第一反应气体喷嘴61的第一区域S1和设置有第二反应气体喷嘴62的第二区域S2。即,在晶片W的表面首先吸附DER气体,接着吸附O2气体,DER气体被还原,形成一层或多层的Ru分子层。然后,晶片W交替通过第一区域S1和第二区域S2,依次层叠Ru的分子层,形成具有规定膜厚的Ru膜。图12表示此时的DER气体、O2气体和Ar气体的分压和输送方向的距离的关系。这样,交替向晶片W供给Ar气体→DER气体→Ar气体→O2气体→Ar气体。
在此,根据图8对真空容器10内的气体的流动进行说明,在处理区域13中,沿着晶片W的输送方向,按照分离气体喷嘴63、第一反应气体喷嘴61、第二反应气体喷嘴62、分离气体喷嘴63的顺序进行排列。如上所述,由于在分离气体喷嘴63和真空容器10的顶部之间设置有区分壁15,所以反应气体喷嘴61、62配置在分别由分离气体喷嘴63区分的空间16内。另外,在被区分的空间16内,在反应气体喷嘴61和62的上方设置有第一排气口71和第二排气口72,空间16内的气体从上方排出。在此,从第一排气口71排出作为第一反应气体的DER气体和分离气体。这些气体流过设置在第一排气通路73上的捕集部74时,通过捕集部74捕集DER气体。
从第一反应气体喷嘴61向基板载置部5上的晶片W供给的DER气体被吸附在晶片W上,未被吸附的DER气体从设置在该被区分的空间16内的第一排气口71排出。在此,基板载置部5如上所述以接近真空容器10的内壁状态进行输送,在直线输送通路的基板载置部5和真空容器10之间仅产生微小的间隙。另外,在基板载置部5彼此之间设置有垫板53,而且,基板载置部5和垫板53之间仅产生微小的间隙。即,在设置有直线输送通路的区域仅有微小的间隙。因此,从第一反应气体喷嘴61供给的DER气体由于基板载置部5和垫板53并不向下方流动,而是向上方流动,从第一排气口71排出。
另一方面,从第二反应气体喷嘴62向基板载置部5上的晶片W供给的O2气体被吸附在晶片W上,未被吸附的O2气体从设置在由区分壁15所区分的空间16内的第二排气口72排出。在这种情况下,该O2由于基板载置部5和垫板53也不向下方流动,而是向上方流动,从第二排气口72排出。
在第一反应气体喷嘴61和第二反应气体喷嘴62的两侧设置有分离气体喷嘴63,从该分离气体喷嘴63供给Ar气体。虽然从该两侧的分离气体喷嘴63供给的Ar气体也向基板载置部5流动,但是由于基板载置部5和垫板53并不向下方流动,而是向上方流动,从在空间16开口的第一排气口71和第二排气口72排出。这样由于在作为第一反应气体的DER气体和作为第二反应气体的O2气体之间供给作为分离气体的Ar气体,所以,将被供给第一反应气体(DER)的第一区域S1和被供给第二反应气体(O2)的第二区域S2分离,能够抑制这些气体在向晶片W供给之前(在气相中)发生混合。
这样,一边向晶片W表面交替吸附DER气体和O2气体,一边使晶片W在处理区域13中沿输送方向移动,在基板搬出部14由基板接受单元A2通过与搬入动作相反的动作依次搬出。而且,从成膜装置1搬出的晶片W由基板接受单元A2搬入负载锁定室34A、34B,然后,搬出用交接臂B2接受晶片W,并搬入对应的FOUP200。
另一方面,基板输送机构4继续环绕移动,在基板搬出部14中,已被基板交接单元A2接受了晶片W的基板载置部5,在环绕输送通路的下侧移动。而且,基板载置部5在从基板搬出部14返回至基板搬入部11的途中,在清洗处理部8处暴露在被等离子体化的清洗气体(NF3气体)中,进行规定的清洗处理。通过该清洗处理,附着在基板载置部5的、由于DER和O2的反应生成的反应生成物被除去。
在该清洗处理中,虽然从下方对基板输送机构4供给清洗气体,但该清洗气体向上方的流动被基板载置部5和垫板53阻碍,再次向下方流动,借助在真空容器10的底部开口的排气口76排出。
另外,由于在基板输送机构4的环绕输送通路的内部设置有分隔板17,所以即使反应气体和分离气体从基板输送机构4的上方通过基板载置部5和垫板53之间的间隙,进入基板载置部5的下方,通过该分隔板17也能够防止进一步流向下方。另一方面,即使清洗气体从基板输送机构4的下方通过基板载置部5和垫板53的间隙,进入基板载置部5的上方,通过该分隔板17也能够防止进一步流向上方。因此,在真空容器10内,反应气体和分离气体不会与清洗气体混合。
在真空容器内10内,因为需要确保用于基板载置部5的环绕移动的空间,所以在基板输送机构4的转动体41、42的外侧的区域中不能设置分隔板17。但是,由于供给有反应气体的处理区域13和清洗处理部8处于基板搬入部11和基板搬出部14之间,所以不用考虑这些气体流到转动体41、42的外侧区域,并在该处混合。另外,由于分别在转动体41、42的外侧区域设置在真空容器10的顶部开口的排气口71、72和在真空容器10的底部开口的排气口76,所以即使在转动体41、42的外侧的区域中气体也能够流向这些排气口71、72、76、能够抑制反应气体和分离气体与清洗气体混合。
另外,如上所述向基板输送机构4的环绕输送通路的分隔板17的上方供给清除气体,而且,以环绕输送通路内部的压力高于环绕输送通路外部的压力方式分别设定反应气体、分离气体、清洗气体、清除气体的供给量。因此形成从环绕输送通路内部朝向环绕输送通路外部的气流,清洗气体从基板载置部5和真空容器10之间的间隙与基板载置部5和垫板53之间的间隙流出。因此,阻止反应气体和分离气体与清洗气体进入环绕输送通路的内部,这些气体不会在气氛中混合。
以上,在本实施方式中,分别将晶片W载置到排列在基板输送机构4的输送方向的多个基板载置部5上,使基板输送机构4环绕移动,在处理区域13中,依次通过供给有第一反应气体的第一区域S1和供给有第二反应气体的第二区域S2,进行所谓的ALD(或MLD),所以能够以高处理能力进行成膜。另外,在使基板输送机构4移动的状态下,通过基板交接单元A1将晶片W交接到基板载置部5,通过基板接受单元A2从基板载置部5接受晶片W。这样因为在使基板载置部5移动的状态下进行晶片W的搬入和搬出,所以能够不停止基板输送机构4连续地对晶片W进行成膜,能够确保更高的处理能力。
基板输送机构4的环绕输送通路包含直线输送通路。在直线输送通路晶片W平行移动,所以晶片W上的所有点以相同的速度移动。因此,通过从反应气体喷嘴61、62均匀地供给反应气体,能够使反应气体分子以均匀的密度吸附到晶片W上。例如,在将晶片载置到圆形的载置台,并使载置台旋转,而使晶片交替暴露在反应气体中的成膜装置中进行MLD时,由于晶片内的载置台的外边缘端附近的区域与载置台的中央附近的区域相比具有较快的移动速度,所以优选对外边缘端附近的区域以较高的流量供给反应气体。另外,若在载置台的外边缘端附近的区域中以得到规定的成膜速度的方式决定反应气体的流量,则存在向载置台的中央附近的区域供给过量的反应气体,产生反应气体的浪费的情况。而且,由于基于本发明的实施方式的成膜装置不需要在真空容器内以不同的流量供给反应气体,所以能够比较简单地构成,另外,能够避免反应气体的浪费。如上所述,由于存在反应气体价格昂贵的情况,所以减少所使用的反应气体在降低制造成本的方面是有利的。
另外,在本发明的基板输送机构4中,由于例如输送时的移动速度例如是50mm/sec左右,因此晶片W在处理区域13整体中移动的时间是充分长的时间,能够充分暴露在反应气体中。因此,不需要将反应气体的供给量(浓度)设定较高,根据此点也能够抑制反应气体的无用的浪费。
还有,在本实施方式中,第一反应气体从排气口71排出在排气通路73中流动期间,通过设置在排气通路73的捕集部74被捕集。另一方面,第二反应气体从排气口72排出,通过排气通路75排出。因此,与成膜无关被排出的DER气体不与O2气体混合,在捕集部74被捕集。因此,能够再次使用昂贵的DER气体,能够降低该DER气体的原料成本。
进而,在上述的实施方式中,由于基板输送机构4具有在纵方向上环绕的环绕输送通路,所以能够对环绕输送通路的下方的基板载置部5进行清洗处理。因此,能够不增大成膜装置1的专有面积,而对基板载置部5进行清洗处理。另外,因为对于这样沿着环绕输送通路移动的基板载置部5,在其移动中进行清洗处理,所以能够始终将晶片W搬入到洁净的基板载置部5。因此,能够抑制颗粒的产生,提高成品率。
另外,在该例中,为了防止反应气体和清洗气体的混合,以从上方观察在基板载置部5和真空容器10之间仅产生微小的间隙的方式,设定基板载置部5和真空容器10的大小。因此,由于真空容器10被形成为所需要的最小限度的大小,所以基于真空泵7的真空容器10的排气时间变短,而且,由于供给反应气体的区域变小,所以能够减少反应气体的供给量。
在本发明的其他的实施方式中,如图14~图16所示,基板输送机构的环绕输送通路也可以构成为通过转动体91、92在水平方向上环绕移动。在该例中,转动体91、92具有垂直的转动轴,一方的转动轴作为主动轮运行,另一方作为从动轮运行。而且,在这些转动体91、92之间卷挂有驱动用的传送带93的同时,该传送带93上连接有传动部件94,传送带93和传动部件94一起环绕移动。在本例中,这样构成环绕输送通路。
在本例中,沿着环绕输送通路设置具有圆形的平面形状的基板载置部90,在该基板载置部90也形成有用于与基板交接单元A1或基板接受单元A2之间交接基板的台阶部90a。而且,在直线输送通路95A的相对于输送方向的上游一侧设置有基板搬入部96,在直线输送通路95A的相对于输送方向的下游一侧设置有基板搬出部99。在基板搬入部96和基板搬出部99之间沿着输送方向依次设置有预备加热处理区域97和处理区域98。在处理区域98与采用之前的实施方式的成膜装置的处理区域13相同,排列有第一反应气体喷嘴61、第二反应气体喷嘴62、分离气体喷嘴63。如图14中的表示沿着直线输送通路95A的B-B′线的截面的插入图所示,在基板输送机构9的直线输送通路的下方设置有加热单元101,加热单元101从基板搬入部96附近延伸至基板搬出部99附近。
另外,在本例中,清洗处理部102设置在与设置有处理区域98的直线输送通路95A平行的直线输送通路95B处。如表示沿着图14中的C-C′线的截面图的图16所示,该清洗处理部102包括具有两个用于允许基板载置部90等的通过的开口的处理容器103,在处理容器103的内部设置有用于对在基板载置部90表面照射被等离子体化的清洗气体的等离子体发生部104。另外,在处理容器103的上部设置有向等离子体发生部104供给作为清洗气体的NF3气体的气体供给部105,在处理容器103的底部连接有对处理容器103内进行排气的排气通路106。在基板搬出部99通过基板接受单元A2接受了晶片W的基板载置部90,在从基板搬出部99开始到基板搬入部96位置的环绕移动期间通过清洗处理部102。在清洗处理部102,基板载置部90的表面暴露在清洗处理气体的等离子体中,基板载置部90被清洗。
即使在这种实施方式中,由于沿着具有直线输送通路的环绕输送通路对晶片W进行输送,对该晶片W依次供给第一反应气体和第二反应气体,进行供给循环,所以能够以高处理能力进行成膜处理。另外,由于不停止基板输送机构9,与基板载置部90之间交接晶片W,所以能够不停止装置连续进行成膜处理,能够确保较高的处理能力。
另外,在处理区域98中沿着直线输送通路输送基板载置部90,输送时的移动速度在晶片W的面内是相同的。因此,不需要对应移动速度较大的区域,而向移动速度较小的区域供给需要以上的更多的反应气体,能够抑制反应气体的无用浪费。
在上述的实施方式中,具体而言,虽然例示了使用DER气体作为第一反应气体、使用O2气体作为第二反应气体成膜Ru膜的情况,但是在其他的实施方式中,可以使用TiCl4气体作为第一反应气体、使用NF3气体作为第二反应气体,形成TiN膜。
另外,在其他的实施方式中,如图17所示,可以使环绕输送通路的直线输送通路的长度较长,可以进行复合工序。在该例中,在基板搬入部110和基板搬出部120之间设置有三个处理区域210、220、230,在各个处理区域210、220、230的上游的位置分别设置有预备加热区域211、221、231。即使在各处理区域210、220、230中,与上述的实施方式相同,排列有第一和第二反应气体喷嘴61、62、分离气体喷嘴63。其中,在各自的处理区域对第一反应气体喷嘴61和第二反应气体喷嘴62供给不同的反应气体。
具体而言,在第一处理区域210中,使用DER气体作为第一反应气体、使用O2气体作为第二反应气体,成膜Ru下部电极,在第二处理区域220中,使用Sr[C5(CH3)5]2作为第一反应气体、使用Ti[OCH(CH3)2]4气体作为第二反应气体、使用O3气体作为第三反应气体,形成STO绝缘膜,在第三处理区域230中,使用DER气体作为第一反应气体、使用O2气体作为第二反应气体,成膜Ru上部电极。
还有,在其他的实施方式中,也可以不在真空容器10的顶部而在侧壁形成在第一反应气体喷嘴61的配置区域开口的第一排气口71和在第二反应气体喷嘴62的配置区域开口的第二排气口72,而从直线输送通过的侧方对真空容器10内进行排气。
进而,另外在其他的实施方式中,沿着直线输送通路依次排列有第一反应气体供给部、第二反应气体供给部和第三反应气体供给部,也可以在直线输送通路对被输送的基板载置部(晶片)依次供给三种反应气体。即使在这种情况下,沿着直线输送通路交替配置有第一反应气体供给部和第二反应气体供给部。
另外,作为传送带,除了上述的同步带之外,还可以使用V型带、平带或金属带和链条。还有,基板载置部的形状并限定于上述的实施方式,例如在图1所示的例中,可以一体地形成基板载置部5和垫板53,也可以在同步带44、45上设置板状的输送部件,在其上排列基板载置部5。另外,也可以在基板载置部5上预先形成对应晶片W的形状的凹部,在该凹部内载置晶片W进行输送。另外,也可以例如使用升降销进行基板载置部与基板交接单元或基板接受单元之间的晶片的交接。
另外,负载锁定室24A、24B可以构成为对晶片W进行预先加热。另外,根据成膜工序,在成膜温度不是很高的情况下,并不一定需要设置预备加热区域。该情况下,可以从基板搬入部附近开始排列反应气体喷嘴和分离气体喷嘴,向直线输送通路供给反应气体和分离气体。另外,按照处理选择使用的反应气体和分离气体,设置预备加热区域时,可以对预备加热区域的大小进行调整。还有,清洗处理部并不需要必须设置,在该情况下也不需要分隔壁17和供给清除气体。
虽然参照几个实施方式针对本发明进行了说明,但是本发明并不限定于所公开的实施方式,在附加的权利要求的范围的宗旨之内能够进行变形和变更。
本国际申请根据2008年9月26日申请的日本国特许出愿2008-248801号公报主张优先权,在此引用其全部内容。

Claims (13)

1.一种成膜装置,其通过依次向基板的表面供给至少两种在真空容器内互相发生反应的反应气体且多次执行该供给循环,而多层层叠反应生成物的层,形成薄膜,该成膜装置的特征在于,包括:
基板输送机构,其设置在真空容器内,具有队列状环绕输送多个基板载置部的环绕输送通路,该环绕输送通路具有直线状输送该多个基板载置部的直线输送通路;
第一反应气体供给部,其构成为:在所述直线输送通路沿着输送所述基板载置部的输送方向配置,对在所述直线输送通路上输送的所述多个基板载置部供给第一反应气体;
第二反应气体供给部,其构成为,沿着所述输送方向与所述第一反应气体供给部交替地配置,对在所述直线输送通路上输送的所述多个基板载置部供给第二反应气体;
分离气体供给部,其构成为:在所述第一反应气体供给部和所述第二反应气体供给部之间供给分离气体,用于分离供给有所述第一反应气体的第一区域和供给有所述第二反应气体的第二区域;
排气部,其以对所述真空容器内进行排气的方式构成;
加热部,其以对所述基板载置部上的基板进行加热的方式构成;
基板搬入部,其构成为,设置在所述直线输送通路的相对于所述输送方向的上游一侧,将基板搬入到所述多个基板载置部的各个上;和
基板搬出部,其构成为,设置在所述直线输送通路的相对于所述输送方向的下游一侧,将所述基板从所述多个基板载置部的各个上搬出。
2.如权利要求1所述的成膜装置,其特征在于:
所述基板输送机构具有架设在转动轴互相平行的一对转动体之间,并形成所述环绕输送通路的一对传送带。
3.如权利要求2所述的成膜装置,其特征在于:
具有用于旋转驱动所述一对旋转体的至少一方,以使所述传送带环绕移动的电动机。
4.如权利要求2所述的成膜装置,其特征在于:
所述基板载置部设置在所述传送带上。
5.如权利要求1所述的成膜装置,其特征在于:
所述真空容器具有从相邻的所述分离气体供给部之间对气体进行排气的排气口。
6.如权利要求5所述的成膜装置,其特征在于:
所述排气口设置在所述直线输送通路的上方。
7.如权利要求1所述的成膜装置,其特征在于:
所述环绕输送通路在纵方向上环绕在水平的转动轴的周围。
8.如权利要求1所述的成膜装置,其特征在于:
所述环绕输送通路在横方向上环绕在垂直的转动轴的周围。
9.如权利要求1所述的成膜装置,其特征在于:
在所述环绕输送通路上,在所述基板搬入部与配置有所述第一反应气体供给部、所述第二反应气体供给部和所述分离气体供给部的区域之间,设置有用于对基板进行预备加热的预备加热区域。
10.如权利要求1所述的成膜装置,其特征在于:
具有控制部:向该基板交接单元输出控制信号,使得在所述基板搬入部,外部的基板交接单元向正在移动的一个基板载置部交接基板的方式;
并且所述控制部向该基板接受单元输出控制信号,使得在所述基板搬出部,外部的基板交接单元从正在移动的其他基板载置部接受基板。
11.如权利要求1所述的成膜装置,其特征在于:
所述第一反应气体供给部和所述第二反应气体供给部包括以与所述直线输送通路正交的方式配置的气体喷嘴。
12.如权利要求1所述的成膜装置,其特征在于:
还具有清洗处理部,其对从所述基板输送机构的所述基板搬出部向所述基板搬入部移动的所述基板载置部供给清洗气体,用于对由所述基板输送机构输送的所述基板载置部进行清洗处理。
13.一种基板处理装置,其特征在于,包括:
在内部具有基板输送机构的真空输送室;
与该真空输送室气密地连接的权利要求1所述的成膜装置;和
与所述真空输送室气密地连接的、能够使内部压力在真空与大气压之间进行切换的负载锁定室。
CN200980137865XA 2008-09-26 2009-09-25 成膜装置和基板处理装置 Pending CN102165100A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008248801A JP2010077508A (ja) 2008-09-26 2008-09-26 成膜装置及び基板処理装置
JP2008-248801 2008-09-26
PCT/JP2009/066607 WO2010035773A1 (ja) 2008-09-26 2009-09-25 成膜装置及び基板処理装置

Publications (1)

Publication Number Publication Date
CN102165100A true CN102165100A (zh) 2011-08-24

Family

ID=42059771

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980137865XA Pending CN102165100A (zh) 2008-09-26 2009-09-25 成膜装置和基板处理装置

Country Status (5)

Country Link
US (1) US20110265725A1 (zh)
JP (1) JP2010077508A (zh)
KR (1) KR20110058909A (zh)
CN (1) CN102165100A (zh)
WO (1) WO2010035773A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102424958A (zh) * 2011-11-17 2012-04-25 陈聪茂 用于连续制造金属薄膜太阳能电池的淀积设备及方法
CN104603326A (zh) * 2012-05-31 2015-05-06 索尼公司 成膜装置和成膜方法
CN108431942A (zh) * 2015-11-10 2018-08-21 昕芙旎雅有限公司 机器人输送装置
CN110137121A (zh) * 2018-02-09 2019-08-16 东京毅力科创株式会社 基板处理装置
WO2020174643A1 (ja) * 2019-02-28 2020-09-03 東芝三菱電機産業システム株式会社 成膜装置
WO2020174642A1 (ja) * 2019-02-28 2020-09-03 東芝三菱電機産業システム株式会社 成膜装置

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2353797B1 (en) * 2008-10-07 2014-08-06 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer robot and system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102312212B (zh) * 2010-06-30 2013-12-04 上方能源技术(杭州)有限公司 扫描镀膜装置及扫描镀膜组件
JP2012039075A (ja) * 2010-07-13 2012-02-23 Tokyo Electron Ltd 真空処理装置
US9938617B2 (en) * 2010-10-22 2018-04-10 Agc Glass Europe Modular coater separation
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5617708B2 (ja) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101407436B1 (ko) * 2012-09-05 2014-06-19 주식회사 테스 박막증착장치 및 박막증착방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6101083B2 (ja) * 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102075528B1 (ko) * 2013-05-16 2020-03-03 삼성디스플레이 주식회사 증착장치, 유기발광 디스플레이 장치 제조방법 및 유기발광 디스플레이 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) * 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
JP6364388B2 (ja) * 2015-08-20 2018-07-25 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114481061B (zh) * 2021-12-26 2023-10-27 凯盛科技股份有限公司蚌埠华益分公司 一种玻璃连续ito镀膜设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06173000A (ja) * 1992-12-07 1994-06-21 Hitachi Ltd 連続式成膜装置
JPH08176826A (ja) * 1994-12-28 1996-07-09 Mitsubishi Electric Corp Cvd法による薄膜の堆積装置及び堆積方法並びに該堆積装置又は該堆積方法で用いられるcvd原料及び液体原料容器
WO2007106076A2 (en) * 2006-03-03 2007-09-20 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
WO2006028774A2 (en) * 2004-09-03 2006-03-16 Cardinal Cg Company Coater having interrupted conveyor system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06173000A (ja) * 1992-12-07 1994-06-21 Hitachi Ltd 連続式成膜装置
JPH08176826A (ja) * 1994-12-28 1996-07-09 Mitsubishi Electric Corp Cvd法による薄膜の堆積装置及び堆積方法並びに該堆積装置又は該堆積方法で用いられるcvd原料及び液体原料容器
WO2007106076A2 (en) * 2006-03-03 2007-09-20 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102424958A (zh) * 2011-11-17 2012-04-25 陈聪茂 用于连续制造金属薄膜太阳能电池的淀积设备及方法
CN104603326A (zh) * 2012-05-31 2015-05-06 索尼公司 成膜装置和成膜方法
CN104603326B (zh) * 2012-05-31 2017-07-18 索尼公司 成膜装置和成膜方法
CN108431942B (zh) * 2015-11-10 2023-03-24 昕芙旎雅有限公司 机器人输送装置
CN108431942A (zh) * 2015-11-10 2018-08-21 昕芙旎雅有限公司 机器人输送装置
CN110137121A (zh) * 2018-02-09 2019-08-16 东京毅力科创株式会社 基板处理装置
CN110137121B (zh) * 2018-02-09 2024-03-26 东京毅力科创株式会社 基板处理装置
WO2020174642A1 (ja) * 2019-02-28 2020-09-03 東芝三菱電機産業システム株式会社 成膜装置
CN111868297A (zh) * 2019-02-28 2020-10-30 东芝三菱电机产业系统株式会社 成膜装置
TWI731438B (zh) * 2019-02-28 2021-06-21 日商東芝三菱電機產業系統股份有限公司 成膜裝置
CN111868297B (zh) * 2019-02-28 2022-12-16 东芝三菱电机产业系统株式会社 成膜装置
CN111868298A (zh) * 2019-02-28 2020-10-30 东芝三菱电机产业系统株式会社 成膜装置
WO2020174643A1 (ja) * 2019-02-28 2020-09-03 東芝三菱電機産業システム株式会社 成膜装置

Also Published As

Publication number Publication date
US20110265725A1 (en) 2011-11-03
WO2010035773A1 (ja) 2010-04-01
JP2010077508A (ja) 2010-04-08
KR20110058909A (ko) 2011-06-01

Similar Documents

Publication Publication Date Title
CN102165100A (zh) 成膜装置和基板处理装置
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
KR101138810B1 (ko) 기판 처리 장치
US9472398B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
CN101665922B (zh) 成膜装置、基板处理装置、成膜方法
KR101304368B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
US10867819B2 (en) Vacuum processing apparatus, vacuum processing system and vacuum processing method
KR101345120B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR101037961B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
CN103173741A (zh) 成膜装置
KR20060072084A (ko) 박막 처리 장치
JP6322678B2 (ja) 基板処理装置及びこれを用いた基板処理方法
JP2017028260A (ja) 基板処理装置
JP2011029441A (ja) 基板処理装置及び基板処理方法
US20120070590A1 (en) Plasma enhanced atomic layer deposition apparatus and the controlling method thereof
KR102146517B1 (ko) 웨이퍼 용기로의 외기 유입을 차단하는 외기 차단 장치 및 이를 포함하는 반도체 장치
JP2007042890A (ja) 基板処理装置
JP2009004642A (ja) 基板処理装置
KR100935289B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101634694B1 (ko) 멀티형 증착 장치 및 방법
US11015246B2 (en) Apparatus and methods for depositing ALD films with enhanced chemical exchange
KR101512140B1 (ko) 원자층 증착 장치 및 방법
KR20050000718A (ko) 원자층 증착 장비 및 상기 장비를 이용한 박막 증착 방법
KR101145058B1 (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110824