KR101304368B1 - 기판 처리 장치 및 반도체 장치의 제조 방법 - Google Patents

기판 처리 장치 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR101304368B1
KR101304368B1 KR1020110007637A KR20110007637A KR101304368B1 KR 101304368 B1 KR101304368 B1 KR 101304368B1 KR 1020110007637 A KR1020110007637 A KR 1020110007637A KR 20110007637 A KR20110007637 A KR 20110007637A KR 101304368 B1 KR101304368 B1 KR 101304368B1
Authority
KR
South Korea
Prior art keywords
gas supply
substrate
processing gas
processing
gas
Prior art date
Application number
KR1020110007637A
Other languages
English (en)
Other versions
KR20110089072A (ko
Inventor
타츠유키 사이토
마사노리 사카이
유키나오 카가
타카시 요코가와
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20110089072A publication Critical patent/KR20110089072A/ko
Application granted granted Critical
Publication of KR101304368B1 publication Critical patent/KR101304368B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

치밀하며 원료 기인 불순물 농도가 낮고 저항율이 낮은 도전성 막을, 빠른 성막 속도로 형성하는 기판 처리 장치 및 반도체 장치의 제조 방법을 제공하는 것이다. 복수의 기판을 적층하여 수용하는 처리실; 제1 처리 가스를 상기 기판에 공급하는 제1 처리 가스 공급계; 제2 처리 가스를 상기 기판에 공급하는 제2 처리 가스 공급계; 및 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계를 제어하는 제어부;를 포함하는 기판 처리 장치로서, 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계의 적어도 어느 하나는, 상기 기판의 적층 방향을 따라 연재(延在)하는 형상이 다른 2개의 노즐을 포함하고, 상기 제어부는, 상기 제1 처리 가스 및 상기 제2 처리 가스를 성막 속도가 다른 복수의 펄스로 간헐적으로 상기 기판에 공급하여 상기 기판에 막을 형성할 때, 상기 제1 처리 가스 및 상기 제2 처리 가스의 적어도 어느 하나를 형상이 다른 2개의 노즐로부터 각각 공급하도록 구성된다.

Description

기판 처리 장치 및 반도체 장치의 제조 방법 {SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE}
본 발명은, 기판 처리 장치 및 반도체 장치의 제조 방법에 관한 것으로, 특히, 원료로서 할로겐화 금속 화합물이나 유기 금속 화합물을 이용하여 기판(웨이퍼) 상에 금속막 또는 금속 화합물을 형성하는 기판 처리 장치 및 반도체 장치의 제조 방법에 관한 것이다.
기판 상에 소정의 막을 형성하는 수법의 하나로서, CVD(Chemical Vapor Deposition) 법이 있다. CVD 법은, 기상(氣相) 중 혹은 기판 표면에 있어서의 2종 이상의 원료의 반응을 이용하여, 원료 분자에 포함되는 원소를 구성 요소로 하는 막을 기판 상에 성막하는 방법이다. 또한, 기판 상에 소정의 막을 형성하는 다른 수법으로서, ALD(Atomic Layer Deposition) 법이 있다. ALD 법은, 어느 성막 조건(온도, 시간 등) 하에서, 성막에 이용하는 2종 이상의 원료가 되는 원료를 1종류씩 교대로 기판 상에 공급하고, 원자층 단위로 흡착시키고, 표면 반응을 이용하여 원자층 레벨로 제어되는 성막을 수행하는 수법이다. CVD 법과 비교하여, 보다 낮은 기판 온도(처리 온도)로 처리가 가능하고, 성막 사이클 횟수에 의해 성막되는 막 두께의 제어가 가능하다.
또한, 기판 상에 형성되는 도전성 막으로서는, 예컨대, 특허문헌 1과 같이 질화티탄(TiN) 막을 들 수 있다. 또한 그 외의 도전성 막으로서는, Ta, Al, W, Mn과 그 질화물, Mn과 Zn의 산화물, Ti 등을 들 수 있다. 또한, 절연성 막으로서는, 예컨대, Hf와 Zr와 Al의 산화물 및 질화물 등을 들 수 있다.
1. 국제 공개 공보 제2007/020874호
도전성 막으로서 피처리(被處理) 기판 상에 질화티탄 막을 성막할 때, 예컨대 티탄(Ti) 함유 원료로서 사염화티탄(TiCl4)을 이용하고, 질화 가스로서 암모니아(NH3)를 이용하는 경우가 있으나, CVD 법에 의해 성막하면 ALD 법으로 성막한 경우와 비교하여, 막 중에 염소(Cl)가 받아들여지기 쉬운 등의 이유에 의해, 저항률의 상승을 초래해버리는 등의 문제가 있다.
또한, ALD 법으로 성막한 질화티탄 막의 연속막은, CVD 법으로 성막한 경우와 비교하여, 매끄러운 표면이 얻어지고, 또한 비교적 저항값이 낮은 질화티탄 막을 얻을 수 있다.
또한, 양호한 스텝 커버리지를 얻을 수 있다. 그러나, 그 반면, CVD 법을 이용한 경우와 비교하여, 성막 속도가 늦으므로 원하는 막 두께를 얻기 위해서 시간이 걸리고, 기판의 서멀 버짓을 증가시켜 버린다. 또한, 성막 속도가 늦으므로 생산성이 낮아진다는 문제도 있다.
따라서, 본 발명의 주 목적은, 상기 문제를 해결하고, 치밀하고 원료 기인(起因) 불순물 농도가 낮고 저항율이 낮은 도전성 막을, 빠른 성막 속도로 형성하는 기판 처리 장치 및 반도체 장치의 제조 방법을 제공하는 것이다.
상기 과제를 해결하기 위해서, 본 발명의 일 형태에 의하면, 복수의 기판을 적층하여 수용하는 처리실; 제1 처리 가스를 상기 기판에 공급하는 제1 처리 가스 공급계; 제2 처리 가스를 상기 기판에 공급하는 제2 처리 가스 공급계; 및 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계를 제어하는 제어부;를 포함하는 기판 처리 장치로서, 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계의 적어도 어느 하나는, 상기 기판의 적층 방향을 따라 연재(延在)하는 형상이 다른 2개의 노즐을 포함하고, 상기 제어부는, 상기 제1 처리 가스 및 상기 제2 처리 가스를 성막 속도가 다른 복수의 펄스로 간헐적으로 상기 기판에 공급하여 상기 기판에 막을 형성할 때, 상기 제1 처리 가스 및 상기 제2 처리 가스의 적어도 어느 하나를 형상이 다른 2개의 노즐로부터 각각 공급하도록 구성되는 기판 처리 장치가 제공된다.
본 발명의 다른 일 형태에 의하면, 복수의 기판을 적층하여 수용하는 처리실; 제1 처리 가스를 상기 기판에 공급하는 제1 처리 가스 공급계; 제2 처리 가스를 상기 기판에 공급하는 제2 처리 가스 공급계; 및 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계를 제어하는 제어부;를 포함하는 기판 처리 장치로서, 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계는, 상기 기판의 적층 방향을 따라 연재하는 형상이 다른 2개의 노즐을 각각 포함하고, 상기 제어부는, 상기 제1 처리 가스 및 상기 제2 처리 가스를 성막 속도가 다른 복수의 펄스로 간헐적으로 상기 기판에 공급하여 상기 기판에 막을 형성할 때는, 상기 형상이 다른 2개의 노즐로부터 각각 공급하도록 구성되는 기판 처리 장치가 제공된다.
본 발명의 다른 일 형태에 의하면, 기판이 수용된 처리실에 제1 처리 가스를 제1 노즐로부터 공급하면서 제2 처리 가스를 제2 노즐로부터 공급하는 공정; 상기 처리실을 배기하는 공정; 상기 처리실에, 상기 제1 처리 가스를 상기 제1 노즐과는 형상이 다른 제3 노즐로부터 공급하면서 상기 제2 처리 가스를 상기 제2 노즐로부터 공급하는 공정; 및 상기 처리실을 배기하는 공정;을 순서대로 1회 이상 수행하는 것에 의해, 상기 기판에 원하는 막을 형성하는 반도체 장치의 제조 방법이 제공된다.
본 발명에 의하면, 종래의 CVD 법으로 형성된 질화티탄 막과 비교하여 양질인 질화티탄 막을, ALD 법으로 형성된 질화티탄 막과 비교하여 빠른 성막 속도로, 즉 높은 생산성으로 제공하는 것이 가능해진다.
도 1은 본 발명의 일 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 개략적인 구성을 도시하는 경사 투시도이다.
도 2는 본 발명의 일 실시 형태에서 바람직하게 이용되는 처리로(處理爐)의 일 예와 그에 부수(付隨)하는 부재의 개략 구성도로서, 특히 처리로 부분을 종단면으로 도시하는 도면이다.
도 3은 본 발명의 일 실시 형태에서 바람직하게 이용되는 도 2에 도시되는 처리로의 A-A선 단면도이다.
도 4는 본 발명의 일 실시 형태에서 바람직하게 이용되는 노즐을 설명하기 위한 개략 구성도이다.
도 5는 본 발명의 제1 실시 형태에 따른 성막 시퀀스를 도시하는 도면이다.
도 6은 본 발명의 제1 실시 형태에 있어서의 프로세스를 설명하는 플로우 챠트이다.
도 7은 본 발명의 제2 실시 형태에 따른 성막 시퀀스를 도시하는 도면이다.
도 8은 본 발명의 제2 실시 형태에 있어서의 프로세스를 설명하는 플로우 챠트이다.
도 9는 본 발명의 다른 실시 형태에서 바람직하게 이용되는 처리로의 일 예와 그에 부수되는 부재의 개략 구성도이며, 특히 처리로 부분을 종단면으로 도시하는 도면이다.
도 10은 본 발명의 다른 실시 형태에서 바람직하게 이용되는 노즐을 설명하기 위한 개략 구성도이다.
도 11은 본 발명의 일 실시 형태에서 바람직하게 이용되는 노즐로의 제1 처리 가스(TiCl4)의 가스 공급 방법의 예를 도시하는 도면이다.
이하, 도면을 참조하면서 본 발명이 바람직한 실시예에 대해서 설명한다. 본 실시예에 따른 기판 처리 장치는, 반도체 장치[IC(Integrated Circuits)]의 제조에 사용되는 반도체 제조 장치의 일 예로서 구성되어 있는 것이다.
하기의 설명에서는, 기판 처리 장치의 일 예로서, 기판에 대하여 성막 처리 등을 하는 종형(縱型)의 장치를 사용한 경우에 대해서 서술한다. 그러나, 본 발명은, 종형 장치의 사용을 전제로 한 것이 아니고, 예컨대, 매엽(枚葉) 장치를 사용해도 좋다.
<장치 전체 구성>
도 1에 도시되는 바와 같이, 기판 처리 장치(1)에서는, 기판의 일 예가 되는 웨이퍼(200)를 수납한 카세트(100)가 사용되어 있고, 웨이퍼(200)는 실리콘 등의 재료로 구성되어 있다. 기판 처리 장치(1)는 광체(101, 筐體)를 구비하고 있고, 광체(101)의 내부에는 카세트 스테이지(105)가 설치되어 있다. 카세트(100)는 카세트 스테이지(105) 상에 공정 내 반송 장치(도시 생략)에 의해 반입되거나, 카세트 스테이지(105) 상으로부터 반출된다.
카세트 스테이지(105)는, 공정 내 반송 장치에 의해, 카세트(100) 내의 웨이퍼(200)가 수직 자세를 보지(保持)하면서 카세트(100)의 웨이퍼 출입구가 상방향을 향하도록 재치(載置)된다. 카세트 스테이지(105)는, 카세트(100)를 광체(101)의 후방(後方)에 우회전 종방향으로 90°회전하고, 카세트(100) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(100)의 웨이퍼 출입구가 광체(101)의 후방을 향하도록 동작 가능해지도록 구성되어 있다.
광체(101) 내의 전후 방향의 대략 중앙부에는 카세트 선반(109)이 설치되어 있고, 카세트 선반(109)은 복수 단 복수 열로 복수 개의 카세트(100)를 보관하도록 구성되어 있다. 카세트 선반(109)에는 웨이퍼 이재(移載) 기구(125)의 반송 대상이 되는 카세트(100)가 수납되는 이재 선반(123)이 설치되어 있다.
카세트 스테이지(105)의 방(上方)에는 예비 카세트 선반(110)이 설치되고, 예비적으로 카세트(100)를 보관하도록 구성되어 있다.
카세트 스테이지(105)와 카세트 선반(109)의 사이에는, 카세트 반송 장치(115)가 설치되어 있다. 카세트 반송 장치(115)는, 카세트(100)를 보지한 상태로 승강 가능한 카세트 엘리베이터(115a)와, 반송 기구로서의 카세트 반송 기구(115b)로 구성되어 있다. 카세트 반송 장치(115)는 카세트 엘리베이터(115a)와 카세트 반송 기구(115b)의 연속 동작에 의해, 카세트 스테이지(105)와 카세트 선반(109)과 예비 카세트 선반(110)의 사이에, 카세트(100)를 반송하도록 구성되어 있다.
카세트 선반(109)의 후방에는, 웨이퍼 이재 기구(125)가 설치되어 있다. 웨이퍼 이재 기구(125)는, 웨이퍼(200)를 수평 방향으로 회전 또는 직동(直動) 가능한 웨이퍼 이재 장치(125a)와, 웨이퍼 이재 장치(125a)를 승강시키기 위한 웨이퍼 이재 장치 엘리베이터(125b)로 구성되어 있다. 웨이퍼 이재 장치(125a)에는 웨이퍼(200)를 픽업하기 위한 트위저(125c)가 설치되어 있다. 웨이퍼 이재 기구(125)는 웨이퍼 이재 장치(125a)와 웨이퍼 이재 장치 엘리베이터(125b)의 연속 동작에 의해, 트위저(125c)를 웨이퍼(200)의 재치부로 하여, 웨이퍼(200)를 보트(217)에 대하여 장전(차징)하거나, 보트(217)로부터 탈장(디스차징)하도록 구성되어 있다.
광체(101)의 후부 상방에는, 웨이퍼(200)를 열처리하는 처리로(202)가 설치되어 있고, 처리로(202)의 하단부가 노구(爐口) 셔터(116)에 의해 개폐되도록 구성되어 있다.
처리로(202)의 하방에는 처리로(202)에 대하여 보트(217)를 승강시키는 보트 엘리베이터(121)가 설치되어 있다. 보트 엘리베이터(121)의 승강대에는 암(122, arm)이 연결되어 있고, 암(122)에는 씰 캡(219)이 수평하게 설치되어 있다. 씰 캡(219)은 보트(217)를 수직으로 지지하는 것과 함께, 처리로(202)의 하단부를 폐색(閉塞) 가능하도록 구성되어 있다.
보트(217)는 복수의 보지 부재를 구비하고 있고, 복수 매(예컨대 50∼150매 정도)의 웨이퍼(200)를 그 중심을 맞추어서 수직 방향으로 정렬시킨 상태로, 각각 수평으로 보지하도록 구성되어 있다.
카세트 선반(109)의 상방에는, 청정화한 분위기인 클린 에어를 공급하는 클린 유닛(134a)이 설치되어 있다. 클린 유닛(134a)은 공급 팬 및 방진 필터로 구성되어 있고, 클린 에어를 광체(101)의 내부에 유통시키도록 구성되어 있다.
광체(101)의 좌측 단부(端部)에는, 클린 에어를 공급하는 클린 유닛(134b)이 설치되어 있다. 클린 유닛(134b)도 공급 팬 및 방진 필터로 구성되어 있고, 클린 에어를 웨이퍼 이재 장치(125a)나 보트(217) 등의 근방을 유통시키도록 구성되어 있다. 상기 클린 에어는, 웨이퍼 이재 장치(125a)나 보트(217) 등의 근방을 유통한 후에, 광체(101)의 외부에 배기되도록 되어 있다.
<처리 장치의 동작>
계속해서, 기판 처리 장치(1)의 주된 동작에 대해서 설명한다.
공정 내 반송 장치(도시 생략)에 의해 카세트(100)가 카세트 스테이지(105) 상에 반입되면, 카세트(100)는, 웨이퍼(200)가 카세트 스테이지(105) 상에서 수직 자세를 보지하고, 카세트(100)의 웨이퍼 출입구가 상방향을 향하도록 재치된다. 그 후, 카세트(100)는, 카세트 스테이지(105)에 의해, 카세트(100) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(100)의 웨이퍼 출입구가 광체(101)의 후방을 향하도록, 광체(101)의 후방에 우회전 종방향으로 90°회전된다.
그 후, 카세트(100)는, 카세트 선반(109) 내지 예비 카세트 선반(110)의 지정된 선반 위치에 카세트 반송 장치(115)에 의해 자동적으로 반송되고 수도(受渡)되고, 일시적으로 보관된다. 그 후, 카세트(100)는, 카세트 선반(100) 내지 예비 카세트 선반(110)으로부터 카세트 반송 장치(115)에 의해 이재 선반(123)에 이재되거나 또는 직접 이재 선반(123)으로 반송된다.
카세트(100)가 이재 선반(123)에 이재되면, 웨이퍼(200)는 카세트(100)로부터 웨이퍼 이재장치(125a)의 트위저(125c)에 의해 웨이퍼 출입구를 통해서 픽업되고, 보트(217)에 장전(차징)된다. 보트(217)에 웨이퍼(200)를 수도한 웨이퍼 이재 장치(125a)는 카세트(100)로 되돌아가고, 후속 웨이퍼(200)를 보트(217)에 장전한다.
미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 처리로(202)의 하단부를 닫고 있었던 노구 셔터(116)가 열리고, 처리로(202)의 하단부가 개방된다. 그 후, 웨이퍼(200)군(群)을 보지한 보트(217)가 보트 엘리베이터(121)의 상승 동작에 의해 처리로(202) 내에 반입(로딩)되고, 처리로(202)의 하부가 씰 캡(219)에 의해 폐색된다.
로딩 후는, 처리로(202)에서 웨이퍼(200)에 대하여 임의의 처리가 실시된다. 그 처리 후는, 상술한 것과는 반대의 순서로, 웨이퍼(200) 및 카세트(100)가 광체(101)의 외부로 반출된다.
<처리로의 구성>
다음으로 도 2, 도 3 및 도 4를 이용하여 전술한 기판 처리 장치에 적용되는 처리로(202)에 대해서 설명한다.
도 2 및 도 3에 도시되는 바와 같이, 처리로(202)에는 웨이퍼(200)를 가열하기 위한 가열 장치(가열 수단)인 히터(207)가 설치되어 있다. 히터(207)는 상방이 폐색된 원통 형상의 단열 부재와 복수 개의 히터 소선(素線)을 구비하고 있고, 단열 부재에 대하여 히터 소선이 설치된 유닛 구성을 가지고 있다. 히터(207)의 내측에는, 웨이퍼(200)를 처리하기 위한 석영제의 반응관(203)이 설치되어 있다.
반응관(203)의 하단에는, 기밀 부재인 O링(220)을 개재해서 스텐레스 등으로 구성된 매니폴드(209)가 설치되어 있다. 매니폴드(209)의 하단 개구(開口)는, O링(220)을 개재해서 개체(蓋體)로서의 씰 캡(219)에 의해 기밀하게 폐색되어 있다. 처리로(202)에서는, 적어도, 반응관(203), 매니폴드(209) 및 씰 캡(219)에 의해 처리실(201)이 형성되어 있다.
씰 캡(219)에는 보트(217)를 지지하는 보트 지지대(218)가 설치되어 있다. 도 1에 도시되는 바와 같이, 보트(217)는 보트 지지대(218)에 고정된 저판(210)과 그 상방에 배치된 천판(211)을 포함하고 있고, 저판(210)과 천판(211)의 사이에 복수 개의 지주(221, 支柱)가 가설(架設)된 구성을 가지고 있다. 보트(217)에는 복수 매의 웨이퍼(200)가 보지되어 있다. 복수 매의 웨이퍼(200)는, 서로 일정한 간격을 두면서 수평 자세를 보지한 상태로 보트(217)의 지주(221)에 지지되어 있다.
이상의 처리로(202)에서는, 뱃치(batch) 처리 되는 복수 매의 웨이퍼(200)가 보트(217)에 대하여 다단으로 적층된 상태에 있어서, 보트(217)가 보트 지지대(218)로 지지되면서 처리실(201)에 삽입되고, 히터(207)가 처리실(201)에 삽입된 웨이퍼(200)를 소정의 온도로 가열하도록 되어 있다.
도 2 및 도 3에 도시되는 바와 같이, 처리실(201)에는, 제1 처리 가스 및 제2 처리 가스를 공급하기 위한 3개의 가스 공급관(310, 320, 330)[제1 가스 공급관(310), 제2 가스 공급관(320), 제3 가스 공급관(330)]이 접속되어 있다.
[제1 처리 가스 공급계]
제1 가스 공급관(310)에는 상류측으로부터 순서대로 유량 제어 장치(유량 제어 수단)인 매스 플로우 컨트롤러(312), 기화 유닛(기화 수단)인 기화기(700) 및 개폐 밸브인 밸브(314)가 설치되어 있다. 제1 가스 공급관(310)의 선단부(先端部)에는 노즐(410)[제1 노즐(410)]이 연결되어 있다. 제1 노즐(410)은, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200)의 사이에 있어서의 원호(圓弧) 형상의 공간에서, 반응관(203)의 내벽에 따른 상하 방향[웨이퍼(200)의 적재 방향]에 연재(延在)하고 있다. 제1 노즐(410)의 측면에는, 도 4에 도시되는 바와 같이, 제1 처리 가스를 공급하는 복수의 제1 가스 공급공(410a)이 설치되어 있다. 제1 가스 공급공(410a)은, 하부로부터 상부에 걸쳐 각각 동일 또는, 크기에 경사를 준 개구 면적을 가지며, 같은 개구 피치로 설치되어 있다.
또한, 제1 가스 공급관(310)에는 기화기(700)와 밸브(314)의 사이에, 후술하는 배기관(231)에 접속된 벤트 라인(610) 및 밸브(614)가 설치되어 있다. 제1 가스 공급관(310)은, 제1 처리 가스를 처리실(201)에 공급하지 않는 경우는, 밸브(614)를 개재해서 제1 처리 가스를 벤트 라인(610)에 공급한다.
또한, 제1 가스 공급관(310)에는 캐리어 가스를 공급하기 위한 캐리어 가스 공급관(510)이 접속되고 있다. 캐리어 가스 공급관(510)에는 매스 플로우 컨트롤러(512) 및 밸브(514)가 설치되어 있다.
또한, 제1 가스 공급관(310)에는, 벤트 라인(610)과의 접속부와 밸브(314)의 사이에 제2 가스 공급관(320)이 접속되어 있다. 제2 가스 공급관(320)에는 개폐 밸브인 밸브(324)가 설치되어 있다. 제2 가스 공급관(320)의 선단부에는 노즐420(제2 노즐)이 연결되어 있다. 제2 노즐(420)은, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200)와의 사이에 있어서의 원호 형상의 공간에서, 반응관(203)의 내벽에 따른 상하 방향[웨이퍼(200)의 적재 방향]으로 연재하고 있다. 제2 노즐(420)의 측면에는, 도 4에 도시되는 바와 같이, 제1 처리 가스를 공급하는 복수의 제2 가스 공급공(420a)이 설치되어 있다. 제2 가스 공급공(420a)은, 하부로부터 상부에 걸쳐 각각 동일 또는, 크기에 경사를 준 개구 면적을 가지며, 같은 개구 피치로 설치되어 있다.
제1 노즐(410)의 제1 가스 공급공(410a)과 제2 노즐(420)의 제2 가스 공급공(420a)의 개구 면적[공경(孔徑)]은 각각 다른 크기로 한다. 예컨대, 제2 노즐(420)의 제2 가스 공급공(420a)의 개구 면적을 제1 노즐(410)의 제1 가스 공급공(410a)의 개구 면적보다 작아지도록 개구시킨다.
또한, 제2 가스 공급관(320)에는 캐리어 가스를 공급하기 위한 캐리어 가스 공급관(520)이 접속되어 있다. 캐리어 가스 공급관(520)에는 매스 플로우 컨트롤러(522) 및 밸브(524)가 설치되어 있다.
[제2 처리 가스 공급계]
제3 가스 공급관(330)에는 상류측으로부터 순서대로 유량 제어 장치(유량 제어 수단)인 매스 플로우 컨트롤러(332) 및 밸브(334)가 설치되어 있다. 제3 가스 공급관(330)의 선단부에는 노즐(430)[제3 노즐(430)]이 연결되어 있다. 제3 노즐(430)도, 제1 노즐(410)과 같은 식으로, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200)의 사이에 있어서의 원호 형상의 공간에서, 반응관(203)의 내벽에 따른 상하 방향[웨이퍼(200)의 적재 방향]으로 연재하고 있다. 제3 노즐(430)의 측면에는, 도 4에 도시되는 바와 같이, 제2 처리 가스를 공급하는 복수의 제3 가스 공급공(430a)이 설치되어 있다. 제3 가스 공급공(430a)도, 제1 가스 공급공(410a)과 같은 식으로, 하부로부터 상부에 걸쳐 각각 동일 또는, 크기에 경사를 준 개구 면적을 가지며, 같은 개구 피치로 설치되어 있다.
제3 가스 공급관(330)에는 캐리어 가스를 공급하기 위한 캐리어 가스 공급관(530)이 연결되어 있다. 캐리어 가스 공급관(530)에는 매스 플로우 컨트롤러(532) 및 밸브(534)가 설치되어 있다.
예컨대 제1 가스 공급관(310)으로부터 공급되는 원료가 액체인 경우, 제1 가스 공급관(310)으로부터, 매스 플로우 컨트롤러(312), 기화기(700) 및 밸브(314)를 개재하고, 캐리어 가스 공급관(510)과 합류하고, 제1 노즐(410)을 더 개재해서 처리실(201) 내에 제1 처리 가스가 공급된다. 또한, 밸브(314) 및 밸브(324)의 제어에 의해, 제1 처리 가스는 제1 가스 공급관(310) 만으로부터 공급되거나, 제2 가스 공급관(320) 만으로부터 공급되거나, 혹은 제1 가스 공급관(310) 및 제2 가스 공급관(320)의 양쪽으로부터 처리실(201) 내에 공급되도록 할 수 있다. 또한, 예컨대 제1 가스 공급관(310)으로부터 공급되는 원료가 기체의 경우에는, 매스 플로우 컨트롤러(312)를 기체용 매스 플로우 컨트롤러로 교환하고, 기화기(700)는 불필요하게 된다. 또한, 제3 가스 공급관(330)로부터 매스 플로우 컨트롤러(332), 밸브(334)를 개재하고, 캐리어 가스 공급관(530)과 합류하고, 제3 노즐(430)을 개재하여 처리실(201)에 제2 처리 가스가 공급된다.
상기 구성에 따른 일 예로서, 제1 가스 공급관(310)에는 제1 처리 가스의 일 예로서 티탄(Ti) 함유 원료[사염화티탄(TiCl4)]이나 테트라키스디메틸아미노티탄{TDMAT, Ti[N(CH3)2]4}, 테트라키스디에틸아미노티탄{TDEAT, Ti[N(CH2CH3)2]4} 등이 도입된다. 제3 가스 공급관(330)에는, 제1 처리 가스를 개질(改質)하는 개질 가스로서의 제2 처리 가스의 일 예로서 질화 원료인 암모니아(NH3), 질소(N2), 아산화질소(N2O), 모노메틸히드라진(CH6N2) 또는 수소(H2) 등이 도입된다.
[배기계]
처리실(201)에는 밸브(243)를 개재해서 처리실(201) 내를 배기하기 위한 배기관(231)이 접속되어 있다. 배기관(231)에는 배기 장치(배기 수단)인 진공 펌프(246)가 접속되어 있고, 진공 펌프(246)의 작동으로 처리실(201) 내를 진공 배기할 수 있게 되어 있다. 밸브(243)는 개폐 동작에 의해 처리실(201)의 진공 배기의 기동과 정지를 할 수 있고, 밸브 개도가 조절 가능하며 처리실(201)의 내부의 압력 조정도 가능하게 하는 개폐 밸브이다.
반응관(203) 내의 중앙부에는 보트(217)가 설치되어 있다. 보트(217)는, 보트 엘리베이터(121)에 의해 반응관(203)에 대하여 승강(출입)할 수 있게 되어 있다. 보트(217)를 지지하는 보트 지지대(218)의 하단부에는, 처리의 균일성을 향상시키기 위해서 보트(217)를 회전시키는 보트 회전 기구(267)가 설치되어 있다. 보트 회전 기구(267)를 구동시키는 것에 의해, 보트 지지대(218)에 지지된 보트(217)을 회전시킬 수 있게 되어 있다.
이상의 매스 플로우 컨트롤러(312, 332, 512, 522, 532), 밸브(314, 324, 334, 514, 524, 534, 243, 614), 히터(207), 진공 펌프(246), 보트 회전 기구(267), 보트 엘리베이터(121) 등의 각 부재는 컨트롤러(280)에 접속되어 있다. 컨트롤러(280)는, 기판 처리 장치(1)의 전체의 동작을 제어하는 제어부(제어 수단)의 일 예로서, 매스 플로우 컨트롤러(312, 332, 512, 522, 532)의 유량 조정, 밸브(314, 324, 334, 514, 524, 534, 614)의 개폐 동작, 밸브(243)의 개폐 및 압력 조정 동작, 히터(207)의 온도 조정, 진공 펌프(246)의 기동??정지, 보트 회전 기구(267)의 회전 속도 조절, 보트 엘리베이터(121)의 승강 동작 등을 각각 제어하도록되어 있다.
<반도체 장치의 제조 방법>
다음으로, 상술한 기판 처리 장치의 처리로(202)를 이용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 대규모 집적 회로(Large Scale Integration; LSI)를 제조하는 경우 등에, 기판 상에 절연막을 성막하는 방법의 예에 대해서 설명한다. 또한, 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(280)에 의해 제어된다.
[제1 실시 형태]
본 실시 형태에서는, 도전성 막으로서 질화티탄 막을 기판 상에 형성하는 방법에 대해서 설명한다. 질화티탄 막을 기판 상에 각각 공경이 다른 제1 노즐(410)과 제2 노즐(420)을 이용하여 2개의 공정을 통하여 CVD 법으로 형성한다. 우선 제1 성막 공정으로서 가스 공급공[410a, 제1 노즐(410)]으로부터 티탄 함유 원료를 공급하여 기판 상에 질화티탄 막을 성막한다(스텝 1). 다음으로, 제2 성막 공정으로서, 질화 가스와 비교해서 상대적으로 티탄 함유 원료의 유량을 저하시키면서 질화 가스는 충분한 공급량으로 공급하는 것으로 실현하는, 가스 공급공(410a)보다 공경이 작은 가스 공급공[420a, 제2 노즐(420)]으로부터 티탄 함유 원료를 공급하여 기판 상에 질화티탄 막을 성막한다(스텝 2). 제1 성막 공정과 제2 성막 공정을 적어도 1회 이상 동일한 처리실(201) 내에서 수행하는 것에 의해, 기판 상에 질화티탄 층을 형성한다. 본 실시 형태에서는, 티탄(Ti)함유 원료로서 TiCl4, 질화 가스로서 NH3을 이용하는 예로 설명한다.
도 5에, 본 실시 형태에 따른 질화티탄 막의 성막 시퀀스를 도시한다. 또한, 도 6은, 본 실시 형태에 있어서의 프로세스를 설명하는 플로우 챠트이다. 성막 프로세스에서는, 컨트롤러(280)가, 기판 처리 장치(1)를 하기와 같이 제어한다. 즉, 히터(207)를 제어하여 처리실(201) 내를 CVD 반응이 일어나는 온도로서, 예컨대 250℃∼800℃의 범위의 온도로서, 바람직하게는 700℃ 이하, 보다 바람직하게는 450℃로 보지한다. 그 후, 복수 매의 웨이퍼(200)를 보트(217)에 장전하고, 보트(217)를 처리실(201)에 반입한다. 그 후, 보트(217)를 보트 구동 기구(267)에 의해 회전시켜서, 웨이퍼(200)를 회전시킨다. 그 후, 진공 펌프(246)를 작동시키는 것과 함께 밸브(243)를 열어 처리실(201) 내를 진공 흡인하고, 웨이퍼(200)의 온도가 450℃에 달하여 온도 등이 안정되면, 처리실(201) 내의 온도를 450℃로 보지한 상태로 후술하는 시퀀스를 수행한다.
CVD 법을 이용한 질화티탄 막은, TiCl4과 NH3이 처리실(201) 내에서 동시에 존재하는 타이밍이 생기고 TiCl4과 NH3이 기상 중에서 반응하여 그 반응물이 웨이퍼(200)의 표면에 퇴적하도록, 컨트롤러(280)가 밸브, 매스 플로우 컨트롤러, 진공 펌프 등을 제어하여 형성된다. 이하, 구체적인 성막 시퀀스를 설명한다.
TiCl4은 상온에서 액체이며, 처리실(201)에 공급하기 위해서는, 가열하여 기화시키고 나서 공급하는 방법, 기화기(700)를 사용해서 캐리어 가스라고 불리는 He(헬륨), Ne(네온), Ar(아르곤), N2(질소) 등의 불활성 가스를 TiCl4용기 내에 통과시키고, 기화하고 있는 만큼을 그 캐리어 가스와 함께 처리실(201)에 공급하는 방법 등이 있지만, 예로서 후자의 케이스로 설명한다.
본 시퀀스에서는, TiCl4과 NH3을 동시에 흘려보낸다. 구체적으로는, 제1 가스 공급관(310) 또는 제2 가스 공급관(320)에 TiCl4을, 캐리어 가스 공급관(510)또는 캐리어 가스 공급관(520)에 캐리어 가스(N2)를 흘려보낸다. 제1 가스 공급관(310)의 밸브(314) 또는 제2 가스 공급관(320)의 밸브(324), 캐리어 가스 공급관(510)의 밸브(514) 또는 캐리어 가스 공급관(520)의 밸브(524) 및 배기관(231)의 밸브(243)를 연다. 캐리어 가스는, 캐리어 가스 공급관(510) 또는 캐리어 가스 공급관(520)으로부터 흐르고, 매스 플로우 컨트롤러(512) 또는 매스 플로우 컨트롤러(522)에 의해 유량 조정된다. TiCl4은, 제1 가스 공급관(310)으로부터 흘러, 매스 플로우 컨트롤러(312)에 의해 유량 조정되고, 기화기(700)에 의해 기화되고, 유량 조정된 캐리어 가스를 혼합하고, 제1 노즐(410)의 제1 가스 공급공(410a)으로부터 처리실(201) 내에 공급된다. 제2 가스 공급관(320)에 분기된 TiCl4은, 제2 노즐(420)의 제2 가스 공급공(420a)으로부터 처리실(201) 내에 공급된다.
한편, 제2 노즐(420)의 제2 가스 공급공(420a)의 개구 면적은, 제1 노즐(410)의 제1 가스 공급공(410a)의 개구 면적보다 작게 한다. 제1 가스 공급공(410a)의 개구 면적은, 제2 가스 공급공(420a)의 개구 면적보다 크기 때문에, 고속CVD 법을 이용하여 질화티탄 막을 형성하는 제1 성막 공정인 스텝 S11에서는 대유량(大流量)의 TiCl4이 제1 노즐(410)의 제1 가스 공급공(410a)으로부터 처리실(201)내에 공급되고, 저속 CVD 법을 이용하여 질화티탄 막을 형성하는 제2 성막 공정인 스텝 S13에서는 소유량(小流量)의 TiCl4이 제2 노즐(420)의 제2 가스 공급공(420a)으로부터 처리실(201) 내에 공급된다.
또한, 제3 가스 공급관(330)에 NH3을, 캐리어 가스 공급관(530)에 캐리어 가스(N2)를 흘려보낸다. 제3 가스 공급관(330)의 밸브(334), 캐리어 가스 공급관(530)의 밸브(534) 및 배기관(231)의 밸브(243)를 함께 연다. 캐리어 가스는, 캐리어 가스 공급관(530)으로부터 흐르고, 매스 플로우 컨트롤러(532)에 의해 유량 조정된다. NH3은, 제3 가스 공급관(330)으로부터 흐르고, 매스 플로우 컨트롤러(332)에 의해 유량 조정되고, 유량 조정된 캐리어 가스를 혼합하고, 제3 노즐(430)의 제3 가스 공급공(430a)으로부터 처리실(201) 내에 공급된다.
그리고, 처리실(201) 내에 공급된 TiCl4과 NH3은, 배기관(231)으로부터 배기된다. 이 때, 밸브(243)를 적정하게 조정해서 처리실(201) 내의 압력을 5∼50Pa의 범위로서, 예컨대 20Pa로 유지한다. 매스 플로우 컨트롤러(312)에서 제어하는 TiCl4의 공급량은, 제1 가스 공급관(310)으로부터 공급하는 경우는 0.8∼3.0g/min이며, 제2 가스 공급관(320)으로부터 공급하는 경우는 0.05∼0.3g/min이다. 매스 플로우 컨트롤러(332)에서 제어하는 NH3의 공급량은 0.3∼15slm이다. TiCl4 및 NH3에 웨이퍼(200)를 노출하는 시간은 원하는 막 두께에 달할 때 까지이다. 이 때 히터(207) 온도는, 웨이퍼(200)의 온도가 250℃∼800℃의 범위의 온도이며, 예컨대 450℃가 되도록 설정되어 있다. 한편, 웨이퍼(200)의 온도가, 예컨대 250℃ 미만이 되면 TiCl4와 NH3의 반응 속도가 낮아지기 때문에 소정의 시간에 원하는 막 두께를 얻는 것은 곤란하게 되어, 공업상 실용적인 이용이 곤란해진다. 따라서, 고속으로 충분히 CVD 반응을 생기게 하기 위해서는, 웨이퍼(200)의 온도는 300℃∼700℃의 범위의 온도로 하는 것이 바람직하다.
(스텝 S11)
스텝 S11에서는, 제1 성막 공정으로서 고속의 CVD법을 이용하여 기판 상에 질화티탄 막을 성막하기 위해서, 제1 노즐(410)을 이용하여 TiCl4을 공급하고, TiCl4과 동시에 NH3을 공급한다. 제1 가스 공급관(310)에 TiCl4을 흘려보내고, 제3 가스 공급관(330)에 NH3을 흘려보내고, 캐리어 가스 공급관(510, 530)에 캐리어 가스(N2)를 흘려보낸다. 제1 가스 공급관(310), 제3 가스 공급관(330)의 밸브(314, 334), 캐리어 가스 공급관(510, 530)의 밸브(514, 534) 및 배기관(231)의 밸브(243)를 함께 열고, 제2 가스 공급관(320)의 밸브(324)를 닫는다. 캐리어 가스는, 캐리어 가스 공급관(510, 530)으로부터 흐르고, 매스 플로우 컨트롤러(512, 532)에 의해 유량 조정된다. TiCl4은, 제1 가스 공급관(310)으로부터 흘러, 매스 플로우 컨트롤러(312)에 의해 유량 조정되고, 기화기(700)에 의해 기화되고, 유량 조정된 캐리어 가스를 혼합하고, 제1 노즐(410)의 제1 가스 공급공(410a)으로부터 처리실(201) 내에 공급되면서 배기관(231)으로부터 배기된다. NH3은, 제3 가스 공급관(330)으로부터 흐르고, 매스 플로우 컨트롤러(332)에 의해 유량 조정되고, 유량 조정된 캐리어 가스를 혼합하고, 제3 노즐(430)의 제3 가스 공급공(430a)으로부터 처리실(201) 내에 공급되면서 배기관(231)으로부터 배기된다.
이 때, 밸브(243)를 적정하게 조정해서 처리실(201) 내의 압력을 20∼50Pa의 범위로서, 예컨대 30Pa로 유지한다. 매스 플로우 컨트롤러(312)에서 제어하는 TiCl4의 공급량은, 예컨대 0.8∼1.5g/min이다. 또한, 매스 플로우 컨트롤러(332)에서 제어하는 NH3의 공급 유량은 예컨대 5.0∼8.0slm이다. TiCl4 및 NH3에 웨이퍼(200)를 노출하는 시간은 예컨대 5∼30초이다.
이 때, 처리실(201) 내에 흘려보내고 있는 가스는, TiCl4, NH3 및 N2 등의 불활성 가스이며, TiCl과 NH3이 기상 반응(열 CVD 반응)을 일으켜서 웨이퍼(200)의 표면이나 하지막(下地膜) 상에 소정 막 두께의 질화티탄 층이 퇴적(데포지션)된다. 여기서 질화티탄 층은 질화티탄에 의해 구성되는 연속적인 층 이외에, 불연속인 층이나 그들이 겹쳐져서 만들어지는 박막이나, 막 중에 다른 원소가 첨가된 박막도 포함한다. 또한, 질화티탄에 의해 구성되는 연속적인 층을 질화티탄 박막이라고 하는 경우도 있다.
동시에, 제2 가스 공급관(320)의 도중에 연결되어 있는 캐리어 가스 공급관(520)으로부터, 밸브(524)를 열어서 불활성 가스를 흘려보내면, 제2 가스 공급관(320) 측에 TiCl4 및 NH3 등의 가스가 유입되는 것을 막을 수 있다.
(스텝 S12)
스텝 S12에서는, 제1 가스 공급관(310), 제3 가스 공급관(330)의 밸브(314, 334)를 닫아서 처리실(201)로의 TiCl4 및 NH3의 공급을 정지하고, 밸브(614)를 열어서 벤트 라인(610)에 TiCl4을 흘린다. 이에 의해 TiCl4을 항상 안정되게 처리실(201)에 공급할 수 있다. 이 때 가스 배기관(231)의 밸브(243)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 20Pa이하가 될 때까지 배기하고, 잔류하는 TiCl4 및 NH3을 처리실(201) 내로부터 배제한다. 이 때 N2 등의 불활성 가스를 처리실(201) 내에 공급하면, 잔류하는 TiCl4 및 NH3을 배제하는 효과가 더욱 높아진다.
(스텝 S13)
스텝 S13에서는, 제2 성막 공정으로서 저속 CVD 법을 이용하여 기판 상에 질화티탄 막을 성막하기 위해서, 제1 노즐(410)보다 공경이 작은 제2 노즐(420)을 이용하여 TiCl4의 공급량을 스텝 S11보다 감소시켜서 공급하는 것과 동시에 매스 플로우 컨트롤러(332)를 제어해서 NH3의 공급량을 증가시킨다. 즉, 제2 가스 공급관(320)의 밸브(324)와 제3 가스 공급관(330)의 밸브(334)를 연다. TiCl4은, 제2 가스 공급관(320)으로부터 흘러, 유량 조정된 캐리어 가스를 혼합하고, 제2 노즐(420)의 가스 공급공(420a)으로부터 처리실(201) 내에 공급되면서 배기관(231)으로부터 배기된다. 매스 플로우 컨트롤러(312)에서 제어하는 TiCl4의 공급량은, 예컨대 0.05∼0.3g/min이다.
또한, 매스 플로우 컨트롤러(332)에 의해 NH3의 공급량을 제어하고, 예컨대, 공급량을 6.0∼15slm으로 한다. TiCl4 및 NH3에 웨이퍼(200)를 노출하는 시간은, 예컨대 15∼120초이다. 이 때에 형성되는 질화티탄 막은, 고속 CVD 법에 의해 형성된 질화티탄 막보다도 막 중의 Cl의 잔류가 적고, 저(低) 저항으로 치밀한 연속막이 된다. 또한, 성막량에 대하여 충분히 과잉된 NH3이 막 중에 침투하며, 내부에 존재하는 고속 CVD막 중의 Cl을 저감하는 효과도 갖는다.
동시에, 제1 가스 공급관(310)의 도중에 연결되는 캐리어 가스 공급관(510)으로부터, 개폐 밸브(514)를 연 상태로 하고, 불활성 가스를 흘려보내면, 제1 가스 공급관(310) 측에 처리실(201)로부터 TiCl4 및 NH3 등의 가스가 유입되는 것을 막을 수 있다.
(스텝 S14)
제2 가스 공급관(320)의 밸브(324)와 제3 가스 공급관(330)의 밸브(334)를 닫아서 처리실(201)로의 TiCl4 및 NH3의 공급을 정지하고, 밸브(614)를 열어서 벤트 라인(610)에 TiCl4을 흘린다. 이에 의해 TiCl4을 항상 안정되게 처리실(201)에 공급할 수 있다. 이 때 가스 배기관(231)의 밸브(243)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 20Pa이하가 될 때 까지 배기하고, 잔류하는 TiCl4 및 NH3을 처리실(201) 내로부터 배제한다. 이 때 N2 등의 불활성 가스를 처리실(201) 내에 공급하면, 잔류하는 TiCl4 및 NH3을 배제하는 효과가 높아진다.
상기 스텝 S11∼스텝 S14를 1사이클로 하여 적어도 1회 이상 소정 횟수 수행하는 것에 의해, 처리실(201) 내의 가스 제거를 하면서 복수의 다른 종류의 CVD 법을 이용하여 웨이퍼(200) 상에 소정 막 두께의 질화티탄 막을 성막한다.
본 실시 형태에 의하면, 다른 조건에서의 CVD 성막의 인터벌로 반응성 가스의 도입을 정지함으로써 처리실(201) 내의 반응 생성물을 처리실(201)의 밖으로 보다 효율적으로 배출하도록 할 수 있다. 또한, 반응 생성물의 배출이 보다 효율적으로 수행되고, Cl 잔류의 저감, 이물 저감 등에 대한 효과가 기대된다. 또한 2종의 CVD 조건을 분리하는 것에 의해, 유량 변경 시에 막질을 완전히 제어할 수 없는 점근적(漸近的)인 질화티탄 막이 형성되는 포텐셜을 저감할 수 있기 때문에, 막질의 제어에도 유효하다.
[제2 실시 형태]
본 실시 형태에서는, 제1 실시 형태와 다른 개소만 설명한다.
제1 실시 형태에서는, 제2 성막 공정으로서 제1 노즐(410)로부터 TiCl4을 흘려보내지 않고, 제1 노즐(410)보다 공경이 작은 제2 노즐(420)을 이용하여 TiCl4의 공급량을 감소시키는 것과 함께 NH3의 공급량을 증가시키는 저속 CVD 법을 이용했다. 그러나, 본 실시 형태에서는, 제2 성막 공정에 있어서도 제1 노즐(410)로부터 TiCl4을 흘려보낸다. 도 7에, 본 실시 형태에 있어서의 시퀀스를 도시한다. 또한, 도 8은, 본 실시 형태에 있어서의 프로세스를 설명하는 플로우 챠트이다. 이하, 도 7 및 도 8을 참조하면서 본 실시 형태에 있어서의 시퀀스를 설명한다.
(스텝 S21)
스텝 S21에서는, 제1 성막 공정으로서 고속의 CVD 법을 이용하여 기판 상에 질화티탄 막을 성막하기 위해서, 스텝 S11과 동일한 조건에서 TiCl4과 NH3을 동시에 흘려보낸다.
(스텝 S22)
스텝 S12와 마찬가지로 제1 가스 공급관(310), 제3 가스 공급관(330)의 밸브(314, 334)를 닫아서 처리실(201)로의 TiCl4과 NH3의 공급을 정지하고, 밸브(614)를 열어서 벤트 라인(610)에 TiCl4을 흘린다.
(스텝 S23)
스텝 S23에서는, 제2 성막 공정으로서 저속의 CVD 법을 이용하여 기판 상에 질화티탄 막을 형성하기 위해서, 제1 노즐(410)로부터 공급시키는 TiCl4의 공급량을 감소시키고 제2 노즐(420)로부터도 TiCl4을 공급시키는 것과 동시에 NH3의 공급량을 증가시킨다. 이 때, 밸브(314)를 열어서 제1 가스 공급관(310)에는 TiCl4을 흘려보내는 것과 동시에, 밸브(324)를 열어서 제2 가스 공급관(320)에 TiCl4을 흘려보낸다. TiCl4은, 제1 가스 공급관(310) 및 제2 가스 공급관(320)으로부터 흘러, 매스 플로우 컨트롤러(312)에 의해 유량 조정되고, 유량 조정된 캐리어 가스를 혼합하고, 제1 노즐(410)의 제1 가스 공급공(410a) 및 제2 노즐(420)의 제2 가스 공급공(420a)로부터 처리실(201) 내에 공급되면서 배기관(231)으로부터 배기된다. 매스 플로우 컨트롤러(312)에서 제어하는 TiCl4의 공급량은, 저속의 CVD 반응이 생기는 정도의 공급량이며, 예컨대 0.05∼0.3g/min이다.
또한, 밸브(334)를 열어서 제3 가스 공급관(330)에 NH3을 흘려보내고, 매스 플로우 컨트롤러(332)에 의해 공급량을 제어하고, 예컨대, 공급량을 6.0∼15slm으로 한다. TiCl4 및 NH3에 웨이퍼(200)를 노출하는 시간은, 예컨대 15∼120초이다. 이 때에 형성되는 질화티탄 막은, 고속 CVD 법에 의해 형성된 질화티탄 막보다도 막 중의 Cl의 잔류가 적고, 저 저항으로 치밀한 연속막이 된다. 또한, 성막량에 대하여 충분히 과잉한 NH3이 막 중에 침투하고, 내부에 존재하는 고속 CVD 막 중의 Cl을 저감하는 효과도 갖는다.
(스텝 S24)
제1 가스 공급관(310), 제2 가스 공급관(320) 및 제3 가스 공급관(330)의 밸브(314, 324, 334)를 닫아서 처리실(201)로의 TiCl4 및 NH3의 공급을 정지하고, 밸브(614)를 열어서 벤트 라인(610)에 TiCl4을 흘린다. 이에 의해 TiCl4을 항상 안정되게 처리실(201)에 공급할 수 있다. 이 때 가스 배기관(231)의 밸브(243)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 20Pa이하가 될 때까지 배기하고, 잔류하는 TiCl4 및 NH3을 처리실(201) 내로부터 배제한다. 이 때 N2등의 불활성 가스를 처리실(201) 내에 공급하면, 잔류하는 TiCl4 및 NH3을 배제하는 효과가 높아진다.
상기 스텝 S21∼스텝 S24를 1사이클로 하여 적어도 1회 이상 소정 회수 수행하는 것으로 의해, 처리실(201) 내의 가스 제거를 하면서 복수의 다른 종류의 CVD 법을 이용하여 웨이퍼(200) 상에 소정 막 두께의 질화티탄 막을 성막한다.
한편, 도 2에서는 최종적으로 제1 노즐(410) 및 제2 노즐(420)에 연결되는 기화 유닛 및 매스 플로우 컨트롤러는 기화기(700) 및 매스 플로우 컨트롤러(312)로 공용되는 형태를 채택하고 있지만, 도 9에 도시되는 바와 같이 독립적으로 각각의 기화 유닛과 매스 플로우 컨트롤러를 포함하여도 좋다. 즉, 제2 가스 공급관(320)에 상류측으로부터 순서대로 매스 플로우 컨트롤러(322), 기화기(702) 및 밸브(324)를 설치하고, 캐리어 가스 공급관(520)에 연결되어서, 선단부에 제2 노즐(420)이 연결되도록 해도 좋다. 또한, 이 경우에는, 제1 가스 공급관(310)과 마찬가지로 기화기(702)와 밸브(324)의 사이에, 배기관(231)에 접속된 벤트 라인(620) 및 밸브(624)를 설치하고, 제1 처리 가스를 처리실(201)에 공급하지 않는 경우는 밸브(624)를 개재해서 제1 처리 가스를 벤트 라인(620)에 공급하도록 한다.
상술의 실시 형태에 있어서는, 공경이 다른 제1 처리 가스(TiCl4)를 공급하는 노즐이 2개[제1 노즐(410), 제2 노즐(420)], 제2 처리 가스(NH3)를 공급하는 노즐이 1개[제3 노즐(430)]인 경우에 대해서 상술했지만, 이에 한하지 않고, 본 발명은, 예컨대 도 10에 도시되는 바와 같이, 제2 처리 가스(NH3)를 공급하는 제3 노즐(430)의 제3 가스 공급공(430a)과 공경이 다른 제4 가스 공급공(440a)을 가지는 제4 노즐(440)을 더 포함하고, 제1 처리 가스(TiCl4)를 공급하는 노즐이 2개[제1 노즐(410), 제2 노즐(420)], 제2 처리 가스(NH3)를 공급하는 노즐이 2개[제3 노즐(430), 제4 노즐(440)]의 경우에도 적용할 수 있다. 이에 의해, 제1 처리 가스(TiCl4), 제2 처리 가스(NH3) 모두에 유량 변화를 현저하게 할 수 있다.
도 11에는, 공경이 다른 제1 노즐(410) 및 제2 노즐(420)로의 제1 처리 가스(TiCl4)의 가스 공급 방법의 예가 도시되어 있다.
[가스 공급예 1]
도 11의 (a)에 도시된 바와 같이, 제1 처리 가스(TiCl4)를 공급하는 제1 가스 공급관(310)은, 상류측으로부터 순서대로 밸브(vt1), 매스 플로우 컨트롤러(312), 밸브(vt2) 및 밸브(v1)를 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 제1 가스 공급관(310)은, 상류측으로부터 순서대로 밸브(vt1), 매스 플로우 컨트롤러(312), 밸브(vt2)로부터 분기되어서 제2 가스 공급관(320)에 접속되고, 밸브(v2)를 개재해서 제2 노즐(420)에 접속되어 있다. 또한, 캐리어 가스(N2) 공급관[510( 520)]은, 상류측으로부터 순서대로 밸브(vn1), 매스 플로우 컨트롤러(512 또는 522), 밸브(vn2) 및 밸브(v1)을 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 캐리어 가스 공급관[510(520)]은, 상류측으로부터 순서대로 밸브(vn1), 매스 플로우 컨트롤러[512(522)], 밸브(vn2)로부터 분기되어서 제2 가스 공급관(320)에 접속되고, 밸브(v2)를 개재해서 제2 노즐(420)에 접속되어 있다. 즉, 밸브(vt1, vt2, vn1, vn2, v1, v2), 매스 플로우 컨트롤러[312 및 512(522)]를 조정하는 것으로, 제1 노즐(410)의 제1 가스 공급공(410a) 및 제2 노즐(420)의 제2 가스 공급공(420a)의 적어도 어느 하나로부터 TiCl4 및 N2의 적어도 어느 하나가 처리실(201)에 공급되고, 공급이 정지된다.
[가스 공급예 2]
도 11의 (b)에 도시되는 바와 같이, 제1 가스 공급관(310)은, 상류측으로부터 순서대로 밸브(vt1), 매스 플로우 컨트롤러(312), 밸브(vt2) 및 밸브(v1)를 개재하여 제1 노즐(410)에 접속되어 있다. 또한, 제1 가스 공급관(310)은, 상류측으로부터 순서대로 밸브(vt1), 매스 플로우 컨트롤러(312), 밸브(vt2)로부터 분기되어서 제2 가스 공급관(320) 및 제2 노즐(420)에 접속되어 있다. 또한, 캐리어 가스(N2) 공급관[510(520)]은, 상류측으로부터 순서대로 밸브(vn1), 매스 플로우 컨트롤러[512(522)], 밸브(vn2) 및 밸브(v1)를 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 캐리어 가스 공급관[510(520)]은, 상류측으로부터 순서대로 밸브(vn1), 매스 플로우 컨트롤러[512(522)], 밸브(vn2)로부터 분기되어서 제2 가스 공급관(320) 및 제2 노즐(420)에 접속되어 있다. 즉, 밸브(vt1, vt2, vn1, vn2, v1), 매스 플로우 컨트롤러[312 및 512(522)]를 조정하는 것으로, 제1 노즐(410)의 제1 가스 공급공(410a) 및 제2 노즐(420)의 제2 가스 공급공(420a)의 적어도 어느 하나로부터 TiCl4 및 N2의 적어도 어느 하나가 처리실(201)에 공급되고, 공급이 정지된다.
[가스 공급예 3]
도 11의 (c)에 도시되는 바와 같이, 제1 가스 공급관(310)은, 상류측으로부터 순서대로 밸브(vt1), 매스 플로우 컨트롤러(312), 밸브(vt2), 매스 플로우 컨트롤러(600) 및 밸브(v1)를 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 제1 가스 공급관(310)은, 상류측으로부터 순서대로 밸브(vt1), 매스 플로우 컨트롤러(312), 밸브(vt2)로부터 분기되어서 제2 가스 공급관(320) 및 제2 노즐(420)에 접속되어 있다. 또한, 캐리어 가스(N2) 공급관[510(520)]은, 상류측으로부터 순서대로 밸브(vn1), 매스 플로우 컨트롤러[512(522)], 밸브(vn2), 매스 플로우 컨트롤러(600) 및 밸브(v1)를 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 캐리어 가스 공급관[510(520)]은, 상류측으로부터 순서대로 밸브(vn1), 매스 플로우 컨트롤러[512(522)], 밸브(vn2)로부터 분기되어서 제2 가스 공급관(320) 및 제2 노즐(420)에 접속되어 있다. 즉, 밸브(vt1, vt2, vn1, vn2, v1), 매스 플로우 컨트롤러[312, 512(522) 및 600]을 조정하는 것으로, 제1 노즐(410)의 제1 가스 공급공(410a) 및 제2 노즐(420)의 제2 가스 공급공(420a)의 적어도 어느 하나로부터 TiCl4 및 N2의 적어도 어느 하나가 처리실(201)에 공급되어, 공급이 정지된다.
[가스 공급예 4]
도 11의 (d)에 도시되는 바와 같이, 제1 가스 공급관(310)은, 상류측으로부터 순서대로 밸브(vt1), 매스 플로우 컨트롤러(312a), 밸브(vt2) 및 밸브(v1)를 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 제1 가스 공급관(310)은, 밸브(vt1)의 상류측으로부터 분기되어 밸브(vt3), 매스 플로우 컨트롤러(312b), 밸브(vt4)를 개재해서 제2 가스 공급관(320)에 접속되고, 밸브(v2)를 개재해서 제2 노즐(420)에 접속되어 있다. 또한, 캐리어 가스(N2) 공급관[510(520)]은, 상류측으로부터 순서대로 밸브(vn1), 매스 플로우 컨트롤러[512a(522a)], 밸브(vn2) 및 밸브(v1)를 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 캐리어 가스 공급관[510(520)]은, 밸브(vn1)의 상류측으로부터 분기되어 밸브(vn3), 매스 플로우 컨트롤러[512b( 522b)], 밸브(vn4)를 개재해서 제2 가스 공급관(320)에 접속되고, 밸브(v2)를 개재해서 제2 노즐(420)에 접속되어 있다. 즉, 밸브(vt1, vt2, vt3, vt4, vn1, vn2, vn3, vn4, v1, v2), 매스 플로우 컨트롤러[312a, 312b, 512a(522a) 및 512b(522b)]를 조정하는 것으로, 제1 노즐(410)의 제1 가스 공급공(410a) 및 제2 노즐(420)의 제2 가스 공급공(420a)의 적어도 어느 하나로부터 TiCl4 및 N2의 적어도 어느 하나가 처리실(201)에 공급되고, 공급이 정지된다.
[가스 공급예 5]
도 11의 (e)에 도시되는 바와 같이, 제1 가스 공급관(310)은, 상류측으로부터 순서대로 밸브(vt1), 매스 플로우 컨트롤러(312a), 밸브(vt2)를 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 제1 가스 공급관(310)은, 밸브(vt1)의 상류측으로부터 분기되어 있고 밸브(vt3), 매스 플로우 컨트롤러(312b), 밸브(vt4)를 개재하여 제2 가스 공급관(320)에 접속되고, 제2 가스 공급관(320)은 제2 노즐(420)에 접속되어 있다. 또한, 캐리어 가스(N2) 공급관[510(520)]은, 상류측으로부터 순서대로 밸브(vn1), 매스 플로우 컨트롤러[512a(522a)], 밸브(vn2)를 개재해서 제1 노즐(410)에 접속되어 있다. 또한, 캐리어 가스 공급관[510(520)]은, 밸브(vn1)의 상류측으로부터 분기되어 밸브(vn3), 매스 플로우 컨트롤러[512b(522b)], 밸브(vn4)를 개재해서 제2 가스 공급관(320)에 접속되고, 제2 가스 공급관(320)은 제2 노즐(420)에 접속되어 있다. 즉, 밸브(vt1, vt2, vt3, vt4, vn1, vn2, vn3, vn4), 매스 플로우 컨트롤러[312a, 312b, 512a(522a) 및 512b(522b)]를 조정하는 것으로, 제1 노즐(410)의 제1 가스 공급공(410a) 및 제2 노즐(420)의 제2 가스 공급공(420a)의 적어도 어느 하나로부터 TiCl4 및 N2의 적어도 어느 한 쪽이 처리실(201)에 공급되고, 공급이 정지된다.
또한, 상술에 있어서는, 공경이 다른 제1 노즐(410) 및 제2 노즐(420)에의 제1 처리 가스(TiCl4)의 가스 공급 방법의 예에 대해서 상술했지만, 본 가스 공급예는, 제2 처리 가스(NH3)의 가스 공급 방법에 있어서도 적용할 수 있다.
한편, 본 실시 형태에 있어서는 다른 유량의 가스 공급을 2개의 노즐로 공급하는 예에 대해서 상술했지만, 공경이나 압력의 조정을 하는 것에 의해, 다른 유량의 가스 공급을 1개의 노즐로 수행해도 좋다.
또한, 대유량으로 가스를 공급할 경우(고속 CVD)는, 2개의 노즐 양쪽으로부터 가스를 공급해도 좋다. 또한, 소유량으로 가스를 공급하는 경우(저속 CVD)는, 공경이 작은 가스 공급공을 갖는 노즐로부터만 가스를 공급한다. 이에 의해, 소유량으로 가스를 공급하는 경우여도, 약하게 가스를 공급하면 상류측으로부터밖에 가스가 공급되지 않는 것이 방지되어, 균일하게 가스를 공급할 수 있다.
또한, 매엽 장치에 본 발명을 적용하는 경우에는, 다단(多段) 샤워 플레이트를 이용하여 매스 플로우 컨트롤러에서 유량 제어를 하면 좋다. 그러한 경우, 유량은 제어하기 쉽고, 페이스 플레이트 때문에 유량을 변동시켰다고 하여도 균일하게 가스를 공급할 수 있다.
고속 CVD 법에 의한 질화티탄 막과 저속 CVD 법에 의한 성막을 반복하여 수행하는 것으로 의해, ALD 법을 이용하여 질화티탄 막을 형성하는 경우와 같은 고품질의 막을 고(高) 스루풋(throughput)으로 형성하는 것이 가능해진다.
또한, 고속 CVD 법에 의한 질화티탄 막 상에, 저속 CVD 법에 의한 질화티탄 막을 형성하는 것에 의해, 하지가 되는 고속 CVD 막이 개질되어, 보다 치밀한 연속막을 형성하는 것이 가능해진다.
또한, 본 발명에 의해, 생산성을 높게 유지하면서 질화티탄 막의 저항 저감 및 염소(Cl) 농도 저감 등의 막질 개선을 할 수 있다.
또한, 상기에서는, 주로 종형 장치에 대해서 설명했지만, 본 발명에 있어서의 적어도 2종 이상의 다른 CVD 법을 이용한 질화티탄 막의 형성에 대해서는, 종형 장치에 한하지 않고, 매엽 장치 등 다른 장치에도 적용 가능하다. 또한, 상기에서는, 주로 종형의 열 CVD 장치에 대해서 설명했지만, 본 발명에 있어서의 적어도 2종 이상의 다른 CVD법을 이용한 질화 티탄 막의 형성에 대해서는, 열 CVD 장치에 한하지 않고, 플라즈마 CVD 장치, 광 CVD 장치 등, 다른 장치에도 적용 가능하다.
또한, 주로 질화 티탄 막에 대해서 기재했지만, 본 발명은 이에 한하지 않고, 다른 막 종에도 적용 가능하다. 원료로서 할로겐화 금속 화합물이나 유기 금속 화합물을 이용하여 기판(웨이퍼) 상에 형성되는 도전성 막(Ti, TiN, TiAlN, TiSiN, Ta, TaN, TaSiN, Al, Cu, Mn, MnO, Ru, W, GeSb, GeSbTe, ZnO, ZnAlO 등) 또는 절연성 막(HfO, HfON, HfSiO, HfSiON, HfAlO, HfAlON, ZrO, AlO, AlN 등)에도 적용이 가능하다. 또한, 상기에 더하여, Si계 할로겐화물(DCS, HCD 등) 또는 Si계 유기 재료(TEOS, TDMAT 등)를 이용하여 기판 상에 형성되는 Si계 막에도 적용가능하다.
또한, 원료는, Ti, Al, Si, Ta, Cu, Mn, Ru, W, Ge, Sb, Te, Hf, Zr의 적어도 어느 하나를 포함하는 원료라면 적용 가능하다. 또한, 기판 상에 형성된 할로겐화 금속 화합물이나 유기 금속 화합물의 일부를 포함하는 막을 개질하는 원료는, NH3에 한하지 않고, O함유 원료 혹은 N함유 원료라면 적용가능하고, 예컨대, N2, N2O, CH6N2, O2, O3, H2O, H2O2, O2 및 H2의 어느 하나여도 좋다.
[본 발명의 바람직한 형태]
이하, 본 발명이 바람직한 형태에 대해서 부기(付記)한다.
(부기 1)
본 발명의 일 형태에 의하면, 2종류 이상의 가스를 반응시키는 것에 의해, 피처리 기판 상에 박막을 형성하는 성막 장치로서, 상기의 반응에 제공하는 가스 중 적어도 하나를 펄스 형상으로 처리실 내에 공급하면서 일련의 성막 시퀀스로 2종류 이상의 다른 형태의 펄스를 이용하여 박막을 성막하는 것을 특징으로 하는 박막 성막 장치가 제공된다.
(부기 2)
바람직하게는, 성막 장치가 5매 이상의 기판을 동시에 처리하는 뱃치 처리 장치이다.
(부기 3)
바람직하게는, 적어도 1종류의 가스를 처리실 내에 공급하는 가스 도입부가, 2종류 존재한다.
(부기 4)
바람직하게는, 적어도 1종류의 가스를 처리실 내에 공급하는 2종류의 가스 공급부의 각각 공급되는 가스의 도입 타이밍 및 도입량을, 독립적으로 제어한다.
(부기 5)
바람직하게는, 적어도 1종류의 가스를 처리실 내에 공급하는 가스 공급부가 2개 이상인 노즐이다.
(부기 6)
바람직하게는, 노즐은 각각 공경이 다른 다공 노즐이다.
(부기 7)
바람직하게는, 성막되는 박막이 금속 화합물이다.
(부기 8)
바람직하게는, 성막되는 박막이, 질화 티탄(TiN)막이다.
(부기 9)
바람직하게는, 성막에 제공하는 반응 가스에 TiCl4과 NH3을 포함한다.
(부기 10)
바람직하게는, 일련의 성막 시퀀스로, 2종류 이상의 다른 형태의 펄스를 이용하여 공급되는 가스가 TiCl4이다.
(부기 11)
본 발명의 다른 일 형태에 의하면, 복수의 기판을 적층하여 수용하는 처리실과, 제1 처리 가스를 상기 처리실에 공급하는 제1 처리 가스 공급계와, 제2 처리 가스를 상기 처리실에 공급하는 제2 처리 가스 공급계와, 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계를 제어하는 제어부를 포함하는 기판 처리 장치로서, 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계의 적어도 어느 하나는, 상기 기판의 적층 방향에 따라 연재하는 형상이 다른 2개의 노즐을 포함하고, 상기 제어부는, 상기 제1 처리 가스 및 상기 제2 처리 가스를 성막 속도가 다른 펄스로 상기 처리실에 공급하여 상기 기판에 막을 형성할 때, 상기 제1 처리 가스 및 상기 제2 처리 가스의 적어도 어느 하나를 상기 형상이 다른 2개의 노즐로부터 각각 공급하도록 구성되는 기판 처리 장치가 제공된다.
(부기 12)
바람직하게는, 부기 11에 있어서, 상기 형상이 다른 2개의 노즐은, 제1 개구 면적을 가지는 복수의 제1 가스 분출공이 개구하는 제1 노즐 및 상기 제1 개구 면적과는 다른 개구 면적을 가지는 복수의 제2 가스 분출공이 개구하는 제2 노즐로부터 구성된다.
(부기 13)
바람직하게는, 부기 12에 있어서, 상기 제2 노즐로부터 공급되는 상기 제2 처리 가스의 공급량은 상기 제1 노즐로부터 공급되는 상기 제1 처리 가스의 공급량보다 적다.
(부기 14)
바람직하게는, 부기 13에 있어서, 상기 제2 가스 공급공의 개구 면적은 상기 제1 가스 공급공의 개구 면적보다 작다.
(부기 15)
바람직하게는, 부기 14에 있어서, 대유량으로 상기 제1 처리 가스를 상기 처리실에 공급할 때는 상기 제1 노즐 및 상기 제2 노즐의 양쪽의 노즐로부터 상기 제1 처리 가스를 공급하고, 소유량으로 상기 제1 처리 가스를 공급할 때는 상기 제2 노즐로부터만 상기 제1 처리 가스를 공급한다.
(부기 16)
바람직하게는, 부기 11에 있어서, 상기 형상이 다른 2개의 노즐로부터 공급하는 가스는, 형성하는 막을 조성하는 원소이며 그것 단독으로 고체가 되는 원소를 포함하는 가스이다.
(부기 17)
본 발명의 다른 일 형태에 의하면, 복수의 기판을 적층하여 수용하는 처리실과, 제1 처리 가스를 상기 처리실에 공급하는 제1 처리 가스 공급계와, 제2 처리 가스를 상기 처리실에 공급하는 제2 처리 가스 공급계와, 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계를 제어하는 제어부를 포함하는 기판 처리 장치로서, 상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계는, 상기 기판의 적층 방향을 따라 연재하는 형상이 다른 2개의 노즐을 각각 포함하고, 상기 제어부는, 상기 제1 처리 가스 및 상기 제2 처리 가스를 성막 속도가 다른 펄스로 상기 처리실에 공급하여 상기 기판에 막을 형성할 때는, 상기 형상이 다른 2개의 노즐로부터 각각 공급하도록 구성되는 기판 처리 장치가 제공된다.
(부기 18)
바람직하게는, 부기 11∼부기 17 중 어느 하나의 기판 처리 장치를 이용하여 제조된 반도체 장치이다.
(부기 19)
본 발명의 다른 일 형태에 의하면, 기판이 수용된 처리실에 제1 처리 가스를 제1 노즐로부터 공급하면서 제2 처리 가스를 제2 노즐로부터 공급하는 공정과, 상기 처리실을 배기하는 공정과, 상기 처리실에 상기 제1 처리 가스를 상기 제1 노즐과는 형상이 다른 제3 노즐로부터 공급하면서, 상기 제2 처리 가스를 상기 제2 노즐로부터 공급하는 공정과, 상기 처리실을 배기하는 공정을 순서대로 1회 이상 수행하는 것에 의해, 상기 기판에 원하는 막을 형성하는 반도체 장치의 제조 방법이 제공된다.
(부기 20)
바람직하게는, 부기 19에 기재된 반도체 장치의 제조 방법을 이용하여 제조된 반도체 장치이다.
1…기판 처리 장치 200…웨이퍼
201…처리실 202…처리로
203…반응관 207…히터
217…보트 218…보트 지지대
231…배기관 243…밸브
246…진공 펌프 267…보트 회전 기구
280…컨트롤러 310, 320, 330…가스 공급관
312, 322, 332…매스 플로우 컨트롤 314, 324, 334…밸브
410, 420, 430…노즐 410a, 420a, 430a…가스 공급공

Claims (9)

  1. 복수의 기판을 적층하여 수용하는 처리실;
    제1 처리 가스를 상기 기판에 공급하는 제1 처리 가스 공급계;
    제2 처리 가스를 상기 기판에 공급하는 제2 처리 가스 공급계; 및
    상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계를 제어하는 제어부;를 포함하는 기판 처리 장치로서,
    상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계의 적어도 어느 하나는, 상기 기판의 적층 방향을 따라 연재(延在)하는 형상이 다른 2개의 노즐을 포함하고,
    상기 제어부는, 상기 제1 처리 가스 및 상기 제2 처리 가스를 성막 속도가 다른 복수의 펄스로 간헐적으로 상기 기판에 공급하여 상기 기판에 막을 형성할 때, 상기 제1 처리 가스 및 상기 제2 처리 가스의 적어도 어느 하나를 형상이 다른 2개의 노즐로부터 각각 공급하도록 구성되는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 형상이 다른 2개의 노즐은, 제1 개구 면적을 가지는 복수의 제1 가스 분출공이 개구(開口)하는 제1 노즐 및 상기 제1 개구 면적과는 다른 개구 면적을 가지는 복수의 제2 가스 분출공이 개구하는 제2 노즐로부터 구성되는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 제어부는, 상기 성막 속도가 다른 복수 펄스가 제1 공급량의 펄스와 상기 제1 공급량보다도 적은 제2 공급량의 펄스를 포함하도록 상기 제1 처리 가스 공급계와 상기 제2 처리 가스 공급계를 제어하는 기판 처리 장치.
  4. 제2항에 있어서,
    상기 제2 가스 분출공의 개구 면적은 상기 제1 가스 분출공의 개구 면적보다 작은 기판 기판 처리 장치.
  5. 제4항에 있어서,
    대유량(大流量)으로 상기 제1 처리 가스를 상기 처리실에 공급할 때는, 상기 제1 노즐 및 상기 제2 노즐의 양방(兩方)의 노즐로부터 상기 제1 처리 가스를 공급하고, 소유량(小流量)으로 상기 제1 처리 가스를 공급할 때는, 상기 제2 노즐로부터만 상기 제1 처리 가스를 공급하는 기판 처리 장치.
  6. 제1항에 있어서,
    상기 형상이 다른 2개의 노즐로부터 공급하는 가스는, 형성하는 막을 조성하는 원소로서 단독으로 고체가 되는 원소를 포함하는 가스인 기판 처리 장치.
  7. 복수의 기판을 적층하여 수용하는 처리실;
    제1 처리 가스를 상기 기판에 공급하는 제1 처리 가스 공급계;
    제2 처리 가스를 상기 기판에 공급하는 제2 처리 가스 공급계; 및
    상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계를 제어하는 제어부;를 포함하는 기판 처리 장치로서,
    상기 제1 처리 가스 공급계 및 상기 제2 처리 가스 공급계는, 상기 기판의 적층 방향을 따라 연재하는 형상이 다른 2개의 노즐을 각각 포함하고,
    상기 제어부는, 상기 제1 처리 가스 및 상기 제2 처리 가스를 성막 속도가 다른 복수의 펄스로 간헐적으로 상기 기판에 공급하여 상기 기판에 막을 형성할 때는, 상기 형상이 다른 2개의 노즐로부터 각각 공급하도록 구성되는 기판 처리 장치.
  8. 삭제
  9. 삭제
KR1020110007637A 2010-01-29 2011-01-26 기판 처리 장치 및 반도체 장치의 제조 방법 KR101304368B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2010018908 2010-01-29
JPJP-P-2010-018908 2010-01-29
JPJP-P-2010-278995 2010-12-15
JP2010278995A JP5610438B2 (ja) 2010-01-29 2010-12-15 基板処理装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
KR20110089072A KR20110089072A (ko) 2011-08-04
KR101304368B1 true KR101304368B1 (ko) 2013-09-11

Family

ID=44340891

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110007637A KR101304368B1 (ko) 2010-01-29 2011-01-26 기판 처리 장치 및 반도체 장치의 제조 방법

Country Status (4)

Country Link
US (1) US8808455B2 (ko)
JP (1) JP5610438B2 (ko)
KR (1) KR101304368B1 (ko)
TW (1) TWI515328B (ko)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP2012069723A (ja) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
JP5243519B2 (ja) * 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
KR101344921B1 (ko) * 2012-03-28 2013-12-27 세메스 주식회사 기판처리장치 및 방법
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
SG11201702331YA (en) * 2014-09-30 2017-04-27 Hitachi Int Electric Inc Substrate processing device, manufacturing method for semiconductor device, and reaction tube
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6317698B2 (ja) * 2015-03-25 2018-04-25 東芝メモリ株式会社 半導体製造装置および半導体製造方法
CN111243994A (zh) * 2015-07-17 2020-06-05 株式会社国际电气 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6568508B2 (ja) * 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6689179B2 (ja) * 2016-11-30 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6780557B2 (ja) * 2017-03-21 2020-11-04 東京エレクトロン株式会社 ガス供給部材及びガス処理装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6653308B2 (ja) * 2017-11-15 2020-02-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP6749954B2 (ja) * 2018-02-20 2020-09-02 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7007481B2 (ja) * 2018-07-05 2022-01-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6920262B2 (ja) * 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
JP7199286B2 (ja) * 2019-03-29 2023-01-05 東京エレクトロン株式会社 基板処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6894482B2 (ja) * 2019-09-12 2021-06-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220122856A1 (en) * 2020-10-15 2022-04-21 Changxin Memory Technologies, Inc. Diffusion furnace
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100596495B1 (ko) * 2004-12-13 2006-07-04 삼성전자주식회사 금속 화합물의 증착 방법 및 이를 수행하기 위한 장치
JP2008303452A (ja) * 2007-06-11 2008-12-18 Hitachi Kokusai Electric Inc 基板処理装置
JP2010018889A (ja) * 2003-05-13 2010-01-28 Tokyo Electron Ltd 処理装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6435865B1 (en) * 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
JP2003218106A (ja) * 2002-01-23 2003-07-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP4040505B2 (ja) * 2003-03-20 2008-01-30 株式会社日立製作所 半導体製造装置
KR100870807B1 (ko) * 2003-08-07 2008-11-27 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
WO2005020309A1 (ja) * 2003-08-26 2005-03-03 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
US20060128127A1 (en) * 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
KR100597322B1 (ko) * 2005-03-16 2006-07-06 주식회사 아이피에스 박막증착방법
JP5117856B2 (ja) * 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
WO2007020874A1 (ja) 2005-08-16 2007-02-22 Hitachi Kokusai Electric Inc. 薄膜形成方法および半導体デバイスの製造方法
JP4983063B2 (ja) * 2006-03-28 2012-07-25 東京エレクトロン株式会社 プラズマ処理装置
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
JP4899744B2 (ja) * 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
US20080145533A1 (en) * 2006-11-29 2008-06-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
US8716147B2 (en) * 2007-11-19 2014-05-06 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5087657B2 (ja) * 2009-08-04 2012-12-05 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
WO2011074604A1 (ja) * 2009-12-18 2011-06-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び半導体装置
JP2011168881A (ja) * 2010-01-25 2011-09-01 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
CN102985363A (zh) * 2010-03-19 2013-03-20 Gtat有限公司 用于多晶硅沉积的系统和方法
JP5735304B2 (ja) * 2010-12-21 2015-06-17 株式会社日立国際電気 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
JP6078279B2 (ja) * 2012-09-20 2017-02-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010018889A (ja) * 2003-05-13 2010-01-28 Tokyo Electron Ltd 処理装置
KR100596495B1 (ko) * 2004-12-13 2006-07-04 삼성전자주식회사 금속 화합물의 증착 방법 및 이를 수행하기 위한 장치
JP2008303452A (ja) * 2007-06-11 2008-12-18 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
JP2011174170A (ja) 2011-09-08
US20110186984A1 (en) 2011-08-04
JP5610438B2 (ja) 2014-10-22
TWI515328B (zh) 2016-01-01
KR20110089072A (ko) 2011-08-04
TW201142076A (en) 2011-12-01
US8808455B2 (en) 2014-08-19

Similar Documents

Publication Publication Date Title
KR101304368B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR101160805B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 반도체 장치
KR101107096B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
KR101317219B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP6270575B2 (ja) 反応管、基板処理装置及び半導体装置の製造方法
KR20100129236A (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR20120095297A (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR100935289B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2011054590A (ja) 基板処理装置
JP5385439B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2007194331A (ja) 基板処理装置
JP2009200298A (ja) 基板処理装置
JP2011151294A (ja) 半導体装置の製造方法
JP2011100896A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180816

Year of fee payment: 6