TWI515328B - 基板處理裝置及半導體裝置之製造方法 - Google Patents

基板處理裝置及半導體裝置之製造方法 Download PDF

Info

Publication number
TWI515328B
TWI515328B TW100103230A TW100103230A TWI515328B TW I515328 B TWI515328 B TW I515328B TW 100103230 A TW100103230 A TW 100103230A TW 100103230 A TW100103230 A TW 100103230A TW I515328 B TWI515328 B TW I515328B
Authority
TW
Taiwan
Prior art keywords
processing
gas
gas supply
nozzle
substrate
Prior art date
Application number
TW100103230A
Other languages
English (en)
Other versions
TW201142076A (en
Inventor
齋藤達之
境正憲
加我友紀直
橫川貴史
Original Assignee
日立國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日立國際電氣股份有限公司 filed Critical 日立國際電氣股份有限公司
Publication of TW201142076A publication Critical patent/TW201142076A/zh
Application granted granted Critical
Publication of TWI515328B publication Critical patent/TWI515328B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

基板處理裝置及半導體裝置之製造方法
本發明係有關基板處理裝置及半導體裝置之製造方法,特別是使用鹵素化金屬化合物、或有機金屬化合物作為原料,於基板(晶圓)上形成金屬膜或金屬化合物的基板處理裝置及半導體裝置之製造方法。
於基板上形成預定之膜的方法之一有CVD(化學氣相沈積法(Chemical Vapor Deposition))法。CVD法係指利用氣相中或者基板表面中2種以上原料的反應,將原料分子中所含有的元素作為構成要素之膜成膜於基板上的方法。又,於基板上形成預定之膜的其他方法,有ALD(原子層沈積法(Atomic Layer Deposition))法。ALD法係指在某種成膜條件(溫度、時間等)之下,將成膜所使用之為2種以上原料的原料逐一交替地供給於基板上,使其以原子層單位吸附,利用表面反應進行以原子層等級控制的成膜方法。相較於CVD法,可在更低的基板溫度(處理溫度)中處理,並可進行藉由成膜循環次數所成膜的膜厚之控制。
又,於基板上所形成的導電性膜可例舉如專利文獻1之氮化鈦(TiN)膜。又,其他的導電性膜可例舉鉭、鋁、鎢、錳、或其氮化物、錳或鋅之氧化物、鈦等。又,絕緣性膜可例舉鉿、鋯或鋁之氧化物及氮化物等。
[先前技術文獻] [專利文獻]
[專利文獻1]國際公開第2007/020874號
於被處理基板上使氮化鈦膜成膜而作為導電性膜時,例如有使用四氯化鈦(TiCl4)作為含有鈦(Ti)的原料、使用氨(NH3)作為氮化氣體的情形,但相較於以CVD法成膜與以ALD法成膜的情形,因膜中易帶進氯(Cl)等之理由,故有導致電阻率上升等之問題。
另一方面,以ALD法成膜之氮化鈦膜的連續膜,相較於以CVD法成膜的情形,可獲得平滑的表面,且可獲得電阻值比低的氮化鈦膜。
又,可獲得良好階梯覆蓋性(step coverage)。但是,相反地,相較於使用CVD法的情形,因成膜速度慢,故必須極為費時才可得到所期望的膜厚,使基板的熱預算(thermal budget)增加。又,因成膜速度慢,故亦有生產性變低的問題。
因此,本發明之主要目的係提供一種基板處理裝置及半導體裝置之製造方法來解決上述問題,其係以快速成膜速度形成緻密且歸因原料之雜質濃度低且電阻率低的導電性膜。
為了解決上述課題,根據本發明之一態樣,係提供一種基板處理裝置,其具有:處理室,係積層並收容複數片基板;第1處理氣體供給系統,係供給第1處理氣體於該處理室;第2處理氣體供給系統,係供給第2處理氣體於該處理室;及控制部,係控制該第1處理氣體供給系統及該第2處理氣體供給系統,該基板處理裝置係構成為:該第1處理氣體供給系統及該第2處理氣體供給系統之至少任一供給系統,具有沿著該基板之積層方向立設之形狀相異的2支噴嘴,該控制部,係在以成膜速度不同的脈衝供給該第1處理氣體及該第2處理氣體於該處理室而形成膜於該基板時,從該形狀相異的2支噴嘴各自供給該第1處理氣體及該第2處理氣體之至少任一氣體。
根據本發明之另一態樣,係提供一種基板處理裝置,其具有:處理室,係積層並收容複數片基板;第1處理氣體供給系統,係供給第1處理氣體於該處理室;第2處理氣體供給系統,係供給第2處理氣體於該處理室;及控制部,係控制該第1處理氣體供給系統及該第2處理氣體供給系統,該基板處理裝置係構成為:該第1處理氣體供給系統及該第2處理氣體供給系統,各自具有沿著該基板之積層方向立設之形狀相異的2支噴嘴,該控制部,係在以成膜速度不同的脈衝供給該第1處理氣體及該第2處理氣體於該處理室而形成膜於該基板時,從形狀相異的2支噴嘴各自供給。
根據本發明之另一態樣,係提供一種半導體裝置之製造方法,其係藉由將下述依序進行一次以上而形成所期望的膜於該基板:對收容有基板的處理室一面從第1噴嘴供給第1處理氣體,一面從第2噴嘴供給第2處理氣體之製程;將該處理室排氣之製程;在該處理室中,一面從形狀與該第1噴嘴相異之第3噴嘴供給該第1處理氣體,一面從該第2噴嘴供給該第2處理氣體之製程;及將該處理室排氣之製程。
根據本發明,相較於以先前CVD法所形成之氮化鈦膜,可提供良質的氮化鈦膜,而相較於以ALD法所形成之氮化鈦膜,可提供快速的成膜速度,亦即高生產性。
[用於實施發明的形態]
以下,一面參照圖面,一面說明本發明之較佳的實施例如下。
本實施例之基板處理裝置之構成,係作為半導體裝置(IC(積體電路,Integrated Circuits))之製造所使用之半導體製造裝置的一例。
在下述的說明中敘述基板處理裝置之一例,係使用到縱型裝置,其對基板進行成膜處理等的情形。但是,本發明並非以使用縱型裝置為前提,亦可使用例如單片裝置。
<裝置全體構成>
如第1圖所示,在基板處理裝置1中,係使用收納有為基板一例之晶圓200的晶圓收納盒(cassette)100,晶圓200係由矽等材料所構成。基板處理裝置1具備框體101,框體101內部設置有晶圓收納盒臺105。晶圓收納盒100藉由製程內搬送裝置(省略圖示)搬入晶圓收納盒臺105上,或從晶圓收納盒臺105上搬出。
藉由製程內搬送裝置,載置晶圓收納盒臺105,以使晶圓收納盒100內之晶圓200保持垂直姿勢,且晶圓收納盒100之晶圓出入口朝上方。晶圓收納盒臺105的構成,係使晶圓收納盒100在框體101後方順時針縱方向旋轉90°,使晶圓收納盒100內之晶圓200成水平姿勢,使晶圓收納盒100之晶圓出入口朝向框體101之後方,而可動作。
在框體101內之前後方向的大致中央部,設置有晶圓收納盒棚架109,晶圓收納盒棚架109之構成係以複數段複數列,保管複數個晶圓收納盒100的構成。
在晶圓收納盒棚架109中設置有移載棚架123,其係收納作為晶圓移載機構125之搬送對象的晶圓收納盒100。
晶圓收納盒臺105的上方設置預備晶圓收納盒棚架110,其構成為預備地保管晶圓收納盒100。
在晶圓收納盒臺105與晶圓收納盒棚架109之間,設置有晶圓收納盒搬送裝置115。晶圓收納盒搬送裝置115的構成係:原樣保持晶圓收納盒100之可升降的晶圓收納 盒升降機115a、及作為搬送機構的晶圓收納盒搬送機構115b。晶圓收納盒搬送裝置115的構成係:藉由晶圓收納盒升降機115a、及晶圓收納盒搬送機構115b之連續動作,在晶圓收納盒臺105、晶圓收納盒棚架109、預備晶圓收納盒棚架110之間搬送晶圓收納盒100。
在晶圓收納盒棚架109之後方設置有晶圓移載機構125。晶圓移載機構125之構成係:使晶圓200在水平方向可旋轉至直線運動(linearly movable)的晶圓移載裝置125a、及用於使晶圓移載裝置125a升降的晶圓移載裝置升降機125b所構成。在晶圓移載裝置125a中設置有用來拾取晶圓200的鉗子夾(tweezers)125c。晶圓移載機構125的構成係:藉由晶圓移載裝置125a及晶圓移載裝置升降機125b的連續動作,將鉗子夾125c作為晶圓200的載置部,使晶圓200對晶舟217裝填(charging),或從晶舟217卸下(discharging)。
在框體101之後部上方設置熱處理晶圓200的處理爐202,其構成為,處理爐202的下端部以爐口遮擋板116進行開關。
在處理爐202之下方設置使晶舟217對處理爐202升降的晶舟升降機121。在晶舟升降機121之升降台連結有臂122,在臂122中水平地安裝有密封蓋219。密封蓋219之構成,係垂直地支持晶舟217,同時可閉塞處理爐202之下端部。
晶舟217具備複數個保持構件,其構成為:在使複數片(例如50至150片左右)之晶圓200,其中心對齊,並在垂直方向整列的狀態下,各自保持水平。
晶圓收納盒棚架109上方設置有供給為經潔淨化氣體環境之潔淨空氣的潔淨單元134a。潔淨單元134a之構成係供給風扇及防塵過濾器,並構成為使潔淨空氣流通至框體101內部的構成。
在框體101之左側端部設置有供給潔淨空氣的潔淨單元134b。潔淨單元134b亦由供給風扇及防塵過濾器所構成,其構成為使潔淨空氣流通至晶圓移載裝置125a、或晶舟217等附近的構成。該潔淨空氣係在晶圓移載裝置125a、晶舟217等附近流通後,就會被排氣至框體101的外部。
<處理裝置之動作>
接著說明基板處理裝置1的主要動作。
若藉由製程內搬送裝置(省略圖示)使晶圓收納盒100搬入晶圓收納盒臺105上時,晶圓收納盒100係載置為:使晶圓200在晶圓收納盒臺105之上保持垂直姿勢,使晶圓收納盒100之晶圓出入口朝向上方。其後,晶圓收納盒100藉由晶圓收納盒臺105,使得晶圓收納盒100內晶圓200成為水平狀態,在框體101後方順時針縱方向旋轉90°,以使晶圓收納盒100之晶圓出入口朝向框體101後方。
其後,晶圓收納盒100係藉由晶圓收納盒搬送裝置115自動地搬送、並交接至晶圓收納盒棚架109或預備晶圓收納盒棚架110之被指定的棚架位置,予以暫時保管後,藉由晶圓收納盒搬送裝置115從晶圓收納盒棚架100或預備晶圓收納盒棚架110,而被移載至移載棚架123,或者搬送至直接移載棚架123。
若晶圓收納盒100被移載至移載棚架123時,藉由晶圓移載裝置125a的鉗子夾125c,使晶圓200從晶圓收納盒100通過晶圓出入口被拾取,並裝填(charging)於晶舟217中。已將晶圓200交接至晶舟217的晶圓移載裝置125a返回至晶圓收納盒100,並裝填後續之晶圓200於晶舟217中。
若裝填事先被指定片數的晶圓200於晶舟217時,則開啟已關閉處理爐202下端部的爐口遮擋板116,使處理爐202的下端部開放。其後,保持有晶圓200群的晶舟217藉由晶舟升降機121之上升動作被搬入(裝載(loading))處理爐202內,且處理爐202之下部被密封蓋219所閉塞。
裝載後,以處理爐202對晶圓200實施任意的處理。其處理後,以與上述相反的順序,將晶圓200及晶圓收納盒100搬出至框體101的外部。
<處理爐之構成>
接著使用第2圖、第3圖及第4圖說明可適用於前述基板處理裝置的處理爐202。
如第2圖及第3圖所示,在處理爐202中設置加熱器207,其係用於加熱晶圓200之加熱裝置(加熱手段)。加熱器207具備有上方被閉塞之圓筒狀的隔熱構件及複數支加熱器股線(heater strand),其具有設置相對於隔熱構件的加熱器股線的單元構成。在加熱器207內側設置有用以處理晶圓200的石英製反應管203。
在反應管203下端設置有歧管(manifold)209,其係經由為氣密構件的O型環220,以不銹鋼等構成。歧管209之下端開口係經由O型環220,藉由作為蓋體的密封蓋219而氣密地閉塞。在處理爐202中至少藉由反應管203、歧管209及密封蓋219形成處理室201。
在密封蓋219中設置有支持晶舟217的晶舟支持台218。如第1圖所示,晶舟217具有固定於晶舟支持台218的底板210及配置在其上方的天板211,底板210與天板211之間具有架設複數支支柱221的構成。在晶舟217中保持有複數片的晶圓200。複數片的晶圓200在互相隔著一定的間隔同時保持水平姿勢的情況下,支持於晶舟217的支柱221。
在以上的處理爐202中,在經批次處理的複數片晶圓200對晶舟217為多段積層的狀態下,晶舟217一面以晶舟支持體218支持,一面插入處理室201中,加熱器207就會將插入處理室201的晶圓200加熱至所設定的溫度。
如第2圖及第3圖所示,處理室201中連接有用於供給第1處理氣體及第2處理氣體的3支氣體供給管310、320、330(第1氣體供給管310、第2氣體供給管320、第3氣體供給管330)。
[第1處理氣體供給系統]
在第1氣體供給管310中自上游側依序設置:為流量控制裝置(流量控制手段)的質量流控制器312、氣化單元(氣化手段)的氣化器700、及為開關閥的閥314。在第1氣體供給管310前端部連結有噴嘴410(第1噴嘴410)。第1噴嘴410在構成處理室201之反應管203內壁及晶圓200之間的圓弧狀空間,在沿著反應管203內壁之上下方向(晶圓200之積載方向)延伸。如第4圖所示,在第1噴嘴410之側面,設置供給第1處理氣體的複數個第1氣體供給孔410a。第1氣體供給孔410a由下部遍及上部各自具有相同或在大小上漸次變化的開口面積,進一步係以相同開口間距設置。
再者,在第1氣體供給管310中,於氣化器700與閥314之間,設置有連接於後述排氣管231的排放管線(vent line)610及閥614,在不供給第1處理氣體於處理室201的情形時,則經由閥614對排放管線610供給第1處理氣體。
又,在第1氣體供給管310連接有用以供給載體氣體的載體氣體供給管510。在載體氣體供給管510上設置質量流控制器512及閥514。
又,在第1氣體供給管310上,與排放管線610的連接部及閥314之間,連接有第2氣體供給管320。第2氣體供給管320上設置有為開閉閥的閥324。在第2氣體供給管320的前端部連結有噴嘴420(第2噴嘴420)。第2噴嘴420在構成處理室201的反應管203內壁、及晶圓200之間的圓弧狀空間內,在沿著反應管203內壁的上下方向(晶圓200之積載方向)延伸。如第4圖所示,在第2噴嘴420的側面,設置供給第1處理氣體的複數個第2氣體供給孔420a。第2氣體供給孔420a由下部遍及上部,各自具有相同或在大小上漸次變化的開口面積,進一步係以相同開口間距設置。
設定第1噴嘴410之第1氣體供給孔410a與第2噴嘴420之第2氣體供給孔420a之開口面積(孔徑)為各自不同的大小。例如開口方式係使第2噴嘴420之第2氣體供給孔420a的開口面積,較第1噴嘴410之第1氣體供給孔410a的開口面積還小。
又,在第2氣體供給管320連接有用以供給載體氣體的載體氣體供給管520。載體氣體供給管520上設置質量流控制器522及閥524。
[第2處理氣體供給系統]
第3氣體供給管330自上游側依序設置為流量控制裝置(流量控制手段)的質量流控制器332及閥334。第3氣體供給管330之前端部連結有噴嘴430(第3噴嘴430)。第3 噴嘴430亦與第1噴嘴410相同,在構成處理室201之反應管203內壁與晶圓200之間的圓弧狀空間內,在沿著反應管203內壁往上下方向(晶圓200之積載方向)延伸。如第4圖所示,第3噴嘴430之側面設置供給第2處理氣體之複數個第3氣體供給孔430a。第3氣體供給孔430a亦與第1氣體供給孔410a相同,由下部遍及上部各自具有相同,或在大小上漸次變化的開口面積,進一步以相同開口間距設置。
再者第3氣體供給管330連結有用以供給載體氣體之載體氣體供給管530。載體氣體供給管530上設置質量流控制器532及閥534。
例如由第1氣體供給管310供給之原料為液體的情形,從第1氣體供給管310,經由質量流控制器312、氣化器700、及閥314,與載體氣體供給管510合流,再經由第1噴嘴410供給第1處理氣體於處理室201內。又,藉由閥314及閥324的控制,第1處理氣體可僅由第1氣體供給管310供給,或僅由第2氣體供給管320供給,或者由第1氣體供給管310及第2氣體供給管320之兩方供給於處理室201內。又,例如由第1氣體供給管310供給之原料為氣體的情形,則將質量流控制器312換成氣體用質量流控制器,氣化器700則不需要。又,由第3氣體供給管330經由質量流控制器332、閥334,與載體氣體供給管530合流,再經由第3噴嘴430供給第2處理氣體於處理室201內。
作為上述構成之一例,作為在第1氣體供給管310中第1處理氣體之一例,係導入含有鈦(Ti)的原料(四氯化鈦(TiCl4)、肆(二甲基胺)鈦(TDMAT、Ti[N(CH3)2]4)、肆(二乙基胺)鈦(TDEAT,Ti[N(CH2CH3)2]4)等)。在第3氣體供給管330中,作為使第1處理氣體改質之改質氣體的第2處理氣體之一例,係導入為氮化原料的氨(NH3)、氮(N2)、一氧化二氮(N2O)、單甲基肼(CH6N2)、或氫(H2)等。
[排氣系統]
在處理室201中,經由閥243連接用以將處理室201內排氣的排氣管231。排氣管231連接為排氣裝置(排氣手段)的真空泵246,啟動真空泵246就可將處理室201內真空排氣。閥243為一種開閉弁,其除了藉由開閉動作可使處理室201之真空排氣起動與停止外,還可調節其閥開度(valve opening),而可調整處理室201的內部壓力。
在反應管203內的中央部設置晶舟217。晶舟217藉由晶舟升降機121就可對反應管203升降(進出)。為了提高處理的均一性,則於支持晶舟217的晶舟支持台218下端部,設置使晶舟217旋轉的晶舟旋轉機構267。藉由使晶舟旋轉機構267驅動,可使晶舟支持台218所支持的晶舟217旋轉。
以上的質量流控制器312、332、512、522、532;閥314、324、334、514、524、534、243、614;加熱器207、真空泵246、晶舟旋轉機構267、晶舟升降機121等之各構 件連接於控制器280上。控制器280為控制基板處理裝置1之全體動作的控制部(控制手段)之一例,其可各自控制:質量流控制器312、332、512、522、532的流量調整;閥314、324、334、514、524、534、614的開閉動作;閥243的開閉及壓力調整動作;加熱器207的溫度調整;真空泵246的起動‧停止;晶舟旋轉機構267的旋轉速度調節;晶舟升降機121的升降動作等。
<半導體裝置之製造方法>
接著說明使用上述基板處理裝置的處理爐202,作為半導體裝置(device)之製造製程的一製程,於製造大型積體電路(Large Scale Integration;LSI)之際等,於基板上使絕緣膜成膜的方法之例子。
另外,在以下的說明中,構成基板處理裝置的各部動作係藉由控制器280控制。
[第1實施形態]
本實施形態說明於基板上形成氮化鈦膜作為導電性膜的方法。
使用孔徑各自不同的第1噴嘴410與第2噴嘴420經由2個製程以CVD法於基板上形成氮化鈦膜。
首先,第1成膜製程係從氣體供給孔410a(第1噴嘴410)供給含鈦原料,於基板上使氮化鈦膜成膜(步驟1)。接著,第2成膜製程,係使相較於氮化氣體相對地含鈦原料的流量降低,且從孔徑比藉由以充分的供給量供給氮化氣 體而實現之氣體供給孔410a還小的氣體供給孔420a(第2噴嘴420),供給含鈦原料,而於基板上使氮化鈦膜成膜(步驟2)。藉由在相同的處理室201內進行至少一次以上的第1成膜製程與第2成膜製程,而於基板上形成氮化鈦層。
本實施形態係就使用TiCl4作為含鈦(Ti)原料、使用NH3作為氮化氣體的例子說明。
第5圖表示本實施形態之氮化鈦膜的成膜序列(sequence)。又,第6圖係說明本實施形態中的製程之流程圖。在成膜製程中,控制器280係如下述控制基板處理裝置1。亦即,控制加熱器207,使處理室201內在產生CVD反應的溫度下,例如在250℃至800℃範圍之溫度下,較佳為保持700℃以下,更佳為450℃。其後,將複數片晶圓200裝填至晶舟217中,將晶舟217搬入處理室201中。其後,以晶舟驅動機構267使晶舟217旋轉,並使晶圓200旋轉。其後,啟動真空泵246,同時打開閥243,將處理室201內抽真空,在晶圓200的溫度達到450℃,並使溫度等穩定了之後,在將處理室201內溫度保持450℃的狀態下,進行後述的序列。
使用CVD法的氮化鈦膜,係在處理室201內TiCl4與NH3可同時存在的時機(timing),並以控制器280控制閥、質量流控制器、真空泵等,以使TiCl4與NH3在氣相中反應,使其反應物堆積於晶圓200的表面而形成。以下具體說明成膜序列。
TiCl4在常溫下為液體,為了供給於處理室201,則有:加熱使之氣化之後供給的方法;使用氣化器700,使稱為載體氣體的He(氦)、Ne(氖)、Ar(氬)、N2(氮)等惰性氣體通過TiCl4容器之中,將氣化的部分與其載體氣體一起供給於處理室201的方法等,茲以後者之案例為例加以說明。
在本序列中,同時流入TiCl4與NH3。具體言之,在第1氣體供給管310或第2氣體供給管320中流入TiCl4,而在載體氣體供給管510或載體氣體供給管520中流入載體氣體(N2)。開啟第1氣體供給管310的閥314或第2氣體供給管320的閥324、載體氣體供給管510的閥514或載體氣體供給管520的閥524、及排氣管231的閥243。載體氣體係由載體氣體供給管510或載體氣體供給管520流入,藉由質量流控制器512或質量流控制器522調整流量。TiCl4由第1氣體供給管310流入,藉由質量流控制器312調整流量,混合以氣化器700氣化,並經調整流量的載體氣體,由第1噴嘴410的第1氣體供給孔410a供給於處理室201內。對第2氣體供給管320分支的TiCl4,係從第2噴嘴420的第2氣體供給孔420a供給於處理室201內。
另外,使第2噴嘴420之第2氣體供給孔420a的開口面積比第1噴嘴410之第1氣體供給孔410a的開口面積還小。因第1氣體供給孔410a之開口面積較第2氣體供給孔420a之開口面積還大,故在使用高速CVD法形成氮化鈦膜的第1成膜製程之步驟S11中,大流量的TiCl4從第1噴 嘴410的第1氣體供給孔410a供給於處理室201內,在使用低速CVD法形成氮化鈦膜之第2成膜製程的步驟S13中,小流量的TiCl4從第2噴嘴420的第2氣體供給孔420a供給於處理室201內。
又,在第3氣體供給管330中流入NH3,在載體氣體供給管530中流入載體氣體(N2)。共同開啟第3氣體供給管330之閥334、載體氣體供給管530之閥534、及排氣管231之閥243。載體氣體由載體氣體供給管530流入,以質量流控制器532調整流量。
從第3氣體供給管330流入NH3,以質量流控制器332調整流量,並混合經調整流量的載體氣體,從第3噴嘴430之第3氣體供給孔430a供給於處理室201內。
接著,將供給於處理室201內的TiCl4與NH3從排氣管231排氣。此時,適當調整閥243,使處理室201內的壓力為5至50Pa的範圍,例如維持於20Pa。以質量流控制器312控制的TiCl4之供給量,從第1氣體供給管310供給的情形為0.8至3.0g/min,從第2氣體供給管320供給的情形為0.05至0.3g/min。以質量流控制器332控制的NH3之供給量為0.3至15slm。將晶圓200曝露在TiCl4及NH3中的時間為達到所期望之膜厚為止。此時,加熱器207溫度設定在晶圓200之溫度為250℃至800℃的溫度範圍,例如450℃。另外,晶圓200的溫度,例如未達250℃時,則因TiCl4與NH3的反應速度變低,故難以在預定時間內 獲得所期望的膜厚,工業上實際的利用變得困難。因而,為了產生高速且充分地CVD反應,晶圓200之溫度較佳為設定在300℃至700℃的溫度範圍內。
(步驟S11)
在步驟S11中,第1成膜製程方面,為了使用高速CVD法於基板上使氮化鈦膜成膜,則使用第1噴嘴410供給TiCl4,並與TiCl4同時供給NH3。於第1氣體供給管310中流入TiCl4,於第3氣體供給管330中流入NH3,於載體氣體供給管510、530中流入載體氣體(N2)。共同開啟第1氣體供給管310、第3氣體供給管330的閥314、334、載體氣體供給管510、530的閥514、534及排氣管231的閥243,並關閉第2氣體供給管320的閥324。從載體氣體供給管510、530流入載體氣體,藉由質量流控制器512、532調整流量。從第1氣體供給管310流入TiCl4,藉由質量流控制器312調整流量,以氣化器700氣化,並混合經調整流量的載體氣體,一面從第1噴嘴410之第1氣體供給孔410a供給於處理室201內,一面由排氣管231排氣。從第3氣體供給管330流入NH3,並藉由質量流控制器332調整流量,混合經調整流量的載體氣體,一面從第3噴嘴430之第3氣體供給孔430a供給於處理室201內,一面由排氣管231排氣。
此時,適當調整閥243,使處理室201內的壓力為20至50Pa的範圍,例如維持於30Pa。以質量流控制器312 控制之TiCl4的供給量,例如為0.8至1.5g/min。又,以質量流控制器332控制之NH3的供給流量為例如5.0至8.0slm。將晶圓200曝露在TiCl4及NH3中的時間為例如5至30秒。
此時,流入處理室201內的氣體為TiCl4、NH3及N2等之惰性氣體,TiCl4與NH3產生氣相反應(熱CVD反應),而在晶圓200表面、或基底膜上堆積(deposition)了預定膜厚的氮化鈦層。在此,氮化鈦層係指除了以氮化鈦所構成之連續的層以外,亦包含不連續層、或它們重疊而成的薄膜、或膜中添加其他元素的薄膜在內。另外,亦有將以氮化鈦所構成之連續的層稱為氮化鈦薄膜的情形。
同時,若開啟閥524,從連結於第2氣體供給管320中途的載體氣體供給管520流入惰性氣體時,則可防止TiCl4及NH3等氣體回流至第2氣體供給管320側。
(步驟S12)
在步驟S12中,關閉第1氣體供給管310、第3氣體供給管330的閥314、334,停止對處理室201供給TiCl4及NH3,開啟閥614,流入TiCl4於排放管線610。藉此,可使TiCl4經常且穩定地供給至處理室201。此時,保持開啟氣體排氣管231之閥243,藉由真空泵246加以排氣直到處理室201內成為20Pa以下為止,並由處理室201內排除殘留的TiCl4及NH3。此時,若供給N2等之惰性氣體於處理室201內,則可更提高排除殘留之TiCl4及NH3的效果。
(步驟S13)
在步驟S13中,第2成膜製程方面,為了使用低速CVD法於基板上使氮化鈦膜成膜,則使用孔徑較第1噴嘴410還小的第2噴嘴420,使TiCl4的供給量較步驟S11減少而供給,同時控制質量流控制器332,使NH3的供給量增加。亦即,開啟第2氣體供給管320之閥324及第3氣體供給管330之閥334。從第2氣體供給管320流入TiCl4,混合經調整流量的載體氣體,一面從第2噴嘴420的氣體供給孔420a供給於處理室201內,一面由排氣管231排氣。以質量流控制器312控制之TiCl4的供給量為例如0.05至0.3g/min。
又,藉由質量流控制器332控制NH3的供給量,例如控制在6.0至15slm。將晶圓200曝露在TiCl4及NH3中的時間為例如15至120秒。此時,所形成的氮化鈦膜相較以高速CVD法所形成的氮化鈦膜,膜中的氯殘留少,成為電阻低且緻密的連續膜。又,亦具有相對於成膜量為充分過剩的NH3滲透於膜中,且使存在於內部之高速CVD膜中的氯降低的效果。
同時,若事先將開閉閥514維持開啟狀態,從連接第1氣體供給管310中途的載體氣體供給管510流入惰性氣體,則可防止TiCl4及NH3等之氣體從處理室201回流至第1氣體供給管310側。
(步驟S14)
關閉第2氣體供給管320的閥324及第3氣體供給管330的閥334,停止對處理室201供給TiCl4及NH3,開啟閥614,對排放管線610流入TiCl4。藉此,可使TiCl4經常且穩定地供給於處理室201。此時,將氣體排氣管231之閥243維持開啟,以真空泵246使處理室201內排氣至20Pa以下,由處理室201內排除殘留的TiCl4及NH3。此時,若供給N2等之惰性氣體於處理室201內,可進一步提高排除殘留之TiCl4及NH3的效果。
藉由以上述步驟S11至S14為一循環,進行至少1次以上預定次數,一面進行處理室201內的氣體除去,一面使用複數種不同種類的CVD法,於晶圓200上使預定膜厚的氮化鈦膜成膜。
根據本實施形態,藉由在相異條件下的CVD成膜之間隔(interval),停止反應性氣體的導入,即可使處理室201內的反應生成物更有效率的排出至處理室201外。又,可更有效率地進行反應生成物的排出,對減低氯之殘留、減低異物等的效果可被期待。再者,因藉由分離2種CVD條件,而可減低流量切換時不能充分控制膜質之形成漸近式氮化鈦膜的可能性(potential),故在膜質之的控制上亦為有效。
[第2實施形態]
在本實施形態,僅說明與第1實施形態相異之處。在第1實施形態,第2成膜製程方面,並不從第1噴嘴410流入TiCl4,而是使用孔徑較第1噴嘴410還小的第2噴嘴420,使TiCl4的供給量減少,同時增加NH3的供給量的低速CVD法,但在本實施形態的第2成膜製程中,亦從第1噴嘴410流入TiCl4
第7圖表示本實施形態中的序列。又,第8圖係說明本實施形態中之製程的流程圖。以下一面參照第7圖及第8圖,一面說明本實施形態中的序列。
(步驟S21)
在步驟S21中,第1成膜製程方面,為了使用高速CVD法,於基板上使氮化鈦膜成膜,則以與步驟S11相同的條件,同時流入TiCl4與NH3
(步驟S22)
與步驟S12相同,關閉第1氣體供給管310、第3氣體供給管330的閥314、334,並停止對處理室201供給TiCl4及NH3,開啟閥614,對排放管線610流入TiCl4
(步驟S23)
在步驟S23中,第2成膜製程方面,為了使用低速CVD法於基板上形成氮化鈦膜,則使從第1噴嘴410供給的TiCl4之供給量減少,亦從第2噴嘴420供給TiCl4,同時增加NH3的供給量。此時,開啟閥314,在第1氣體供給 管310中流入TiCl4,同時,開啟閥324,於第2氣體供給管320中流入TiCl4。從第1氣體供給管310及第2氣體供給管320流入TiCl4,藉由質量流控制器312調整流量,混合經調整流量的載體氣體,一面從第1噴嘴410的第1氣體供給孔410a及第2噴嘴420的第2氣體供給孔420a供給於處理室201內,一面從排氣管231排氣。以質量流控制器312控制之TiCl4的供給量,係產生低速CVD反應左右的供給量,例如為0.05至0.3g/min。
又,開啟閥334,於第3氣體供給管330中流入NH3,藉由質量流控制器332控制供給量,例如設在6.0至15slm。將晶圓200曝露在TiCl4及NH3中的時間,為例如15至120秒。此時,所形成之氮化鈦膜,相較於以高速CVD法所形成之氮化鈦膜,在膜中氯的殘留更少,成為低電阻且緻密的連續膜。又,亦具有相對於成膜量為充分過剩的NH3滲透於膜中,使存在於內部之高速CVD膜中的氯減低的效果。
(步驟S24)
關閉第1氣體供給管310、第2氣體供給管320及第3氣體供給管330之閥314、324及334,停止對處理室供給TiCl4及NH3,開啟閥614,對排放管線610流入TiCl4。藉此,可經常且穩定地將TiCl4供給至處理室。此時,使氣體排氣管231之閥243維持開啟,以真空泵246將處理室201內排氣至20Pa以下為止,將殘留的TiCl4及NH3由處 理室201內排除。此時,若將N2等惰性氣體供給至處理室201內,則可進一步提高排除殘留之TiCl4及NH3的效果。
以上述步驟S21至S24為一循環,藉由進行至少1次以上預定次數,一面進行處理室201內之氣體去除,一面使用複數種相異種類的CVD法,於晶圓200上使預定膜厚的氮化鈦膜成膜。
另外,在第2圖中,第1噴嘴410及第2噴嘴420所連結的氣化單元及質量流控制器,最終係採取氣化器700及質量流控制器312共用的形態,不過亦可如第9圖所示,具有獨立的個別的氣化單元與質量流控制器。亦即,在第2氣體供給管320上,自上游側依序設置質量流控制器322、氣化器702及閥324,並連結於載體氣體供給管520,前端部亦可連結第2噴嘴420。再者,在此情形下,與第1氣體供給管310相同,在氣化器702與閥324之間,設置連接於排氣管231的排放管線620及閥624,在不供給第1處理氣體於處理室201的情形下,經由閥624對排放管線620供給第1處理氣體。
在上述的實施形態中,係詳述孔徑不同的供給第1處理氣體(TiCl4)的噴嘴為2支(第1噴嘴410、第2噴嘴420),供給第2處理氣體(NH3)的噴嘴為1支(第3噴嘴430)的情形,但不限於該等,本發明,亦可例如第10圖所示,進一步具有供給第2處理氣體(NH3)的第3噴嘴430之第3氣體供給孔430a、與具有孔徑不同的第4氣體供給孔440a的第 4噴嘴440,亦可適用於供給第1處理氣體(TiCl4)的噴嘴為2支(第1噴嘴410、第2噴嘴420),供給第2處理氣體(NH3)的噴嘴為2支(第3噴嘴430、第4噴嘴440)的情形。藉此,可同時使第1處理氣體(TiCl4)、第2處理氣體(NH3)的流量有顯著的變化。
第11圖中,例示對孔徑相異之第1噴嘴410及第2噴嘴420供給第1處理氣體(TiCl4)的氣體供給方法。
[氣體供給例1]
如第11圖(a)所示,供給第1處理氣體(TiCl4)的第1氣體供給管310,係由上游側依序經由閥vt1、質量流控制器312、閥vt2及閥v1而連接於第1噴嘴410。又,第1氣體供給管310,係由上游側依序由閥vt1、質量流控制器312、閥vt2分支而連接第2氣體供給管320,經由閥v2連接第2噴嘴420。又,載體氣體(N2)供給管510(520),係由上游側依序經由閥vn1、質量流控制器512(522)、閥vn2及閥v1連接第1噴嘴410。又,載體氣體供給管510(520),係由上游側依序由閥vn1、質量流控制器512(522)、閥vn2分支而連接第2氣體供給管320,經由閥v2連接第2噴嘴420。亦即,藉由調整閥vt1、vt2、vn1、vn2、v1、v2、質量流控制器312及512(522),可從第1噴嘴410之第1氣體供給孔410a及第2噴嘴420之第2氣體供給孔420a之至少一方,供給TiCl4及N2之至少一方於處理室201,或停止供給。
[氣體供給例2]
如第11(b)圖所示,第1氣體供給管310,係從上游側依序經由閥vt1、質量流控制器312、閥vt2及閥v1而連接第1噴嘴410。又,第1氣體供給管310,係由上游側依序從閥vt1、質量流控制器312、閥vt2分支而連接第2氣體供給管320及第2噴嘴420。又,載體氣體(N2)供給管510(520),係從上游側依序經由閥vn1、質量流控制器512(522)、閥vn2及閥v1連接第1噴嘴410。又,載體氣體供給管510(520),係從上游側依序由閥vn1、質量流控制器512(522)、閥vn2分支而連接第2氣體供給管320及第2噴嘴420。亦即,藉由調整閥vt1、vt2、vn1、vn2、v1、質量流控制器312及512(522),可從第1噴嘴410之第1氣體供給孔410a及第2噴嘴420之第2氣體供給孔420a之至少一方,供給TiCl4及N2之至少一方於處理室201,或停止供給。
[氣體供給例3]
如第11(c)圖所示,第1氣體供給管310,係從上游側依序經由閥vt1、質量流控制器312、閥vt2、質量流控制器600及閥v1連接第1噴嘴410。又,第1氣體供給管310,係從上游側依序由閥vt1、質量流控制器312、閥vt2分支而連接第2氣體供給管320及第2噴嘴420。又,載體氣體(N2)供給管510(520),係從上游側依序經由閥vn1、質量流控制器512(522)、閥vn2、質量流控制器600及閥v1而 連接第1噴嘴410。又,載體氣體供給管510(520),係從上游側依序由閥vn1、質量流控制器512(522)、閥vn2分支而連接第2氣體供給管320及第2噴嘴420。亦即,藉由調整閥vt1、vt2、vn1、vn2、v1、質量流控制器312、512(522)及600,可從第1噴嘴410之第1氣體供給孔410a及第2噴嘴420之第2氣體供給孔420a之至少一方,供給TiCl4及N2之至少一方於處理室201,或停止供給。
[氣體供給例4]
如第11(d)圖所示,第1氣體供給管310,係從上游側依序經由閥vt1、質量流控制器312a、閥vt2及閥v1而連接第1噴嘴410。又,第1氣體供給管310,係從閥vt1之上游側分支,經由閥vt3、質量流控制器312b、閥vt4而連接第2氣體供給管320,並經由閥v2而連接第2噴嘴420。又,載體氣體(N2)供給管510(520),係從上游側依序經由閥vn1、質量流控制器512a(522a)、閥vn2及閥v1而連接第1噴嘴410。又,載體氣體供給管510(520),係從閥vn1之上游側分支,經由閥vn3、質量流控制器512b(522b)、閥vn4而連接第2氣體供給管320,並經由閥v2而連接第2噴嘴420。亦即,藉由調整閥vt1、vt2、vt3、vt4、vn1、vn2、vn3、vn4、v1、v2、質量流控制器312a、312b、512a(522a)及512b(522b),可從第1噴嘴410之第1氣體供給孔410a及第2噴嘴420之第2氣體供給孔420a之至少一方,供給TiCl4及N2之至少一方於處理室201,或停止供給。
[氣體供給例5]
如第11(e)圖所示,第1氣體供給管310,係從上游側依序經由閥vt1、質量流控制器312a、閥vt2而連接第1噴嘴410。又,第1氣體供給管310,係從閥vt1之上游側分支,經由閥vt3、質量流控制器312b、閥vt4而連接第2氣體供給管320,第2氣體供給管320連接第2噴嘴420。又,載體氣體(N2)供給管510(520),係從上游側依序經由閥vn1、質量流控制器512a(522a)、閥vn2而連接第1噴嘴410。又,載體氣體供給管510(520),係從閥vn1之上游側分支,經由閥vn3、質量流控制器512b(522b)、閥vn4而連接第2氣體供給管320,第2氣體供給管320連接第2噴嘴420。亦即,藉由調整閥vt1、vt2、vt3、vt4、vn1、vn2、vn3、vn4、質量流控制器312a、312b、512a(522a)及512b(522b),從第1噴嘴410之第1氣體供給孔410a及第2噴嘴420之第2氣體供給孔420a之至少一方,供給TiCl4及N2之至少一方於處理室201,或停止供給。
此外,在上述,雖有詳述對孔徑相異之第1噴嘴410及第2噴嘴420供給第1處理氣體(TiCl4)的氣體供給方法之例子,但本氣體供給例亦可適用於第2處理氣體(NH3)之氣體供給方法中。
此外,在本實施形態中詳述以2支噴嘴供給相異流量之氣體供給例子,但亦可藉由調整孔徑、壓力,以1支噴嘴進行相異流量的氣體供給。
又,以大流量供給氣體的情形(高速CVD)時,亦可從2支噴嘴兩方供給氣體。又,在以小流量供給氣體的情形(低速CVD),僅由具有孔徑小之氣體供給孔的噴嘴供給氣體。藉此,即使是在以小流量供給氣體的情況下,仍能防止微弱地供給氣體及只從上游側供給氣體,可均一地供給氣體。
又,在應用本發明於單片裝置的情形,較佳為使用多段之噴淋板(shower plate),以質量流控制器控制流量。在此情形下,流量容易控制,由於表面平板(face plate)即使使流量變動,也可均一地供給氣體。
藉著重複進行由高速CVD法所致氮化鈦膜及由低速CVD法所致成膜,即可以高產量(throughput)形成如使用ALD法形成的氮化鈦膜之情況般之高品質膜。
再者,藉由在由高速CVD法所致氮化鈦膜上,形成由低速CVD法所致氮化鈦膜,成為基底的高速CVD膜可被改質,可形成更緻密的連續膜。
又,藉由本發明,可一面高度保持生產性,一面進行氮化鈦膜之電阻減低及氯(Cl)濃度減低等之膜質改善。
另外,在上述雖主要說明縱型裝置,但關於本發明中使用至少2種以上不同的CVD法形成氮化鈦膜,並不限於縱型裝置,亦可應用於單片裝置等其他之裝置。
又,上述主要說明縱型之熱CVD裝置,但就本發明中使用至少2種以上不同的CVD法形成氮化鈦膜,並不限於熱CVD裝置,亦可應用於電漿CVD裝置、光CVD裝置等其他之裝置。
又,主要就氮化鈦膜記載,但本發明不限於此,亦可應用於其他的膜種。原料方面亦可應用於使用鹵素化金屬化合物、有機金屬化合物於基板(晶圓)上形成的導電性膜(鈦、TiN、TiAlN、TiSiN、鉭、TaN,TaSiN、鋁、銅、錳、MnO、釕、鎢、GeSb、GeSbTe、ZnO、ZnAlO等)乃至絕緣性膜(HfO、HfON、HfSiO、HfSiON、HfAlO、HfAlON、ZrO、AlO、AlN等)。再者,除了上述以外,亦可應用於使用矽系鹵素化物(DCS、HCD等)乃至矽系有機材料(TEOS、TDMAT等)形成於基板上的矽系膜。
再者,原料,只要是含有鈦、鋁、矽、鉭、銅、錳、釕、鎢、鍺、銻、碲、鉿、鋯之至少任何一種則可適用。又,將在基板上形成的含有鹵素化金屬化合物、有機金屬化合物之一部分的膜改質的原料,並不限於NH3,只要是含有O的原料或者含有N的原料則可適用,例如可為N2、N2O、CH6N2、O2、O3、H2O、H2O2、O2及H2的任一種。
[本發明之較佳態樣]
以下,附註本發明之較佳態樣。
(附註1)
根據本發明之一態樣,係提供一種薄膜成膜裝置,其特徵為藉由使2種以上之氣體反應,而於被處理基板上形成薄膜的成膜裝置,其中將供予該反應的氣體之至少一種脈衝狀地供給於處理室內,且以一連串之成膜序列,使用2種以上不同形態之脈衝,使薄膜成膜。
(附註2)
較佳為,成膜裝置為同時處理5片以上基板的批次處理裝置。
(附註3)
較佳為,存在兩種氣體導入部,其係供給至少一種氣體於處理室內。
(附註4)
較佳為,獨立控制氣體之導入時機及導入量,該氣體係各供給於使至少一種氣體供給於處理室內之二種氣體供給部。
(附註5)
較佳為,供給至少一種氣體於處理室內的氣體供給部為2支以上的噴嘴。
(附註6)
較佳為,噴嘴為孔徑各自不同的多孔噴嘴。
(附註7)
較佳為,所成膜的薄膜為金屬化合物。
(附註8)
較佳為,所成膜的薄膜為氮化鈦(TiN)膜。
(附註9)
較佳為,在供成膜的反應氣體中含有TiCl4與NH3
(附註10)
較佳為,以一連串的成膜序列,使用2種以上不同形態之脈衝所供給的氣體為TiCl4
(附註11)
根據本發明之另一態樣,係提供一種基板處理裝置,其具有:處理室,係積層並收容複數片基板;第1處理氣體供給系統,係供給第1處理氣體於該處理室;第2處理氣體供給系統,係供給第2處理氣體於該處理室;及控制部,係控制該第1處理氣體供給系統及該第2處理氣體供給系統,該基板處理裝置係構成為:該第1處理氣體供給系統及該第2處理氣體供給系統之至少任一供給系統,具有沿著該基板之積層方向立設之形狀相異的2支噴嘴,該控制部,係在以成膜速度不同的脈衝供給該第1處理氣體及該第2處理氣體於該處理室而形成膜於該基板時,從該形狀相異的2支噴嘴各自供給該第1處理氣體及該第2處理氣體之至少任一氣體。
(附註12)
較佳為,在(附註11)中,該形狀相異之2支噴嘴,係由具有第1孔徑的複數個第1氣體供給孔開口之第1噴嘴、及具有大小與該第1孔徑不同之第2孔徑的複數個第2氣體供給孔開口之第2噴嘴所構成。
(附註13)
較佳為,在(附註12)中,從該第2噴嘴所供給之該第2處理氣體的供給量,比從該第1噴嘴所供給之該第1處理氣體之供給量還少。
(附註14)
較佳為,在(附註13)中,該第2氣體供給孔之開口面積,比該第1氣體供給孔之開口面積還小。
(附註15)
較佳為,在(附註14)中,在以大流量供給該第1處理氣體於該處理室時,係從該第1噴嘴及該第2噴嘴之兩噴嘴供給該第1處理氣體,而在以小流量供給該第1處理氣體時,則僅自該第2噴嘴供給該第1處理氣體。
(附註16)
較佳為,在(附註11)中,從該形狀相異之2支噴嘴所供給之氣體,係包含組成形成之膜的元素且其單獨成為固體的元素之氣體。
(附註17)
根據本發明之另一態樣,係提供一種基板處理裝置,其係具有:處理室,係積層並收容複數片基板;第1處理氣體供給系統,係供給第1處理氣體於該處理室;第2處理氣體供給系統,係供給第2處理氣體於該處理室;及控制部,係控制該第1處理氣體供給系統及該第2處理氣體供給系統,該基板處理裝置係構成為:該第1處理氣體供 給系統及該第2處理氣體供給系統各自具有沿著該基板之積層方向立設之形狀相異之2支噴嘴,該控制部,係在以成膜速度不同的脈衝供給該第1處理氣體及該第2處理氣體於該處理室而形成膜於該基板時,從該形狀相異之2支噴嘴各自供給。
(附註18)
較佳為,使用(附註11)至(附註17)之任一基板處理裝置所製造的半導體裝置。
(附註19)
根據本發明之另一態樣,係提供一種半導體裝置之製造方法,其係藉由將下述製程依序進行一次以上而形成所期望的膜於該基板:對收容有基板的處理室一面從第1噴嘴供給第1處理氣體,一面從第2噴嘴供給第2處理氣體之製程;將該處理室排氣之製程;在該處理室中,一面從形狀與該第1噴嘴相異之第3噴嘴供給該第1處理氣體,一面從該第2噴嘴供給該第2處理氣體之製程;及將該處理室排氣之製程。
(附註20)
較佳為,一種半導體裝置,係使用(附註19)記載之半導體裝置之製造方法所製造。
1‧‧‧基板處理裝置
200‧‧‧晶圓
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
217‧‧‧晶舟
218‧‧‧晶舟支持台
231‧‧‧排氣管
246‧‧‧真空泵
267‧‧‧晶舟旋轉機構
280‧‧‧控制器
310、320、330‧‧‧氣體供給管
312、322、332‧‧‧質量流控制器
314、324、334‧‧‧閥
410、420、430‧‧‧噴嘴
410a、420a、430a‧‧‧氣體供給孔
第1圖係表示在本發明之一實施形態中適當使用之基板處理裝置之概略構成的斜透視圖。
第2圖係表示在本發明之一實施形態中適當使用之處理爐之一例,與附隨該處理爐之構件的概略構成圖,特別是以縱剖面表示處理爐部分的圖。
第3圖係表示本發明之一實施形態中適當使用之第2圖所示之處理爐的A-A線剖面圖。
第4圖係用以說明在本發明之一實施形態中適當使用之噴嘴的概略構成圖。
第5圖係表示本發明之第1實施形態的成膜序列圖。
第6圖係說明本發明之第1實施形態中的製程之流程圖。
第7圖係表示本發明之第2實施形態的成膜序列圖。
第8圖係說明本發明之第2實施形態中的製程之流程圖。
第9圖係本發明之其他實施形態中適當使用之處理爐之一例,與附隨於該處理爐之構件的概略構成圖,特別是以縱剖面表示處理爐部分的圖。
第10圖係用以說明本發明其他實施形態中適當使用之噴嘴的概略構成圖。
第11圖表示本發明之一實施形態中適當使用之對噴嘴供給第1處理氣體(TiCl4)之氣體供給方法的例圖。
209‧‧‧歧管
310、320、330‧‧‧氣體供給管
410‧‧‧噴嘴
420‧‧‧噴嘴
430‧‧‧噴嘴
410a、420a、430a‧‧‧氣體供給孔

Claims (9)

  1. 一種基板處理裝置,其具有:處理室,係積層並收容複數片基板;第1處理氣體供給系統,係供給第1處理氣體於該處理室;第2處理氣體供給系統,係供給第2處理氣體於該處理室;及控制部,係控制該第1處理氣體供給系統及該第2處理氣體供給系統,該基板處理裝置係構成為:該第1處理氣體供給系統及該第2處理氣體供給系統之至少任一供給系統,具有沿著該基板之積層方向立設之形狀相異的2支噴嘴,該控制部,係在以成膜速度不同的脈衝供給該第1處理氣體及該第2處理氣體於該處理室而形成膜於該基板時,從形狀相異的2支噴嘴各自供給該第1處理氣體及該第2處理氣體之至少任一氣體。
  2. 如申請專利範圍第1項之基板處理裝置,其中該形狀相異之2支噴嘴,係由具有第1孔徑的複數個第1氣體噴出孔開口之第1噴嘴、及大小與該第1孔徑不同的複數個第2氣體噴出孔開口之第2噴嘴所構成。
  3. 如申請專利範圍第2項之基板處理裝置,其中從該第2噴嘴所供給之該第2處理氣體之供給量,比從該第1噴嘴所供給之該第1處理氣體之供給量還少。
  4. 如申請專利範圍第3項之基板處理裝置,其中該第2氣體噴出孔之開口面積,比該第1氣體噴出孔之開口面積還小。
  5. 如申請專利範圍第4項之基板處理裝置,其中在以大流量供給該第1處理氣體於該處理室時,係從該第1噴嘴及該第2噴嘴之兩噴嘴供給該第1處理氣體,而在以小流量供給該第1處理氣體時,則僅自該第2噴嘴供給該第1處理氣體。
  6. 如申請專利範圍第1項之基板處理裝置,其中從該形狀相異之2支噴嘴所供給之氣體,係包含組成形成之膜的元素且其單獨成為固體的元素之氣體。
  7. 一種基板處理裝置,其係具有:處理室,係積層並收容複數片基板;第1處理氣體供給系統,係供給第1處理氣體於該處理室;第2處理氣體供給系統,係供給第2處理氣體於該處理室;及控制部,係控制該第1處理氣體供給系統及該第2處理氣體供給系統,該基板處理裝置係構成為:該第1處理氣體供給系統及該第2處理氣體供給系統各自具有沿著該基板之積層方向立設之形狀相異之2支噴嘴, 該控制部,係在以成膜速度不同的脈衝供給該第1處理氣體及該第2處理氣體於該處理室而形成膜於該基板時,從該形狀相異之2支噴嘴各自供給。
  8. 一種半導體裝置之製造方法,其係藉由將下述製程依序進行一次以上而形成所期望的膜於該基板:對收容有基板的處理室一面從第1噴嘴供給第1處理氣體,一面從第2噴嘴供給第2處理氣體,藉此以第1成膜速度的脈衝,將該第1處理氣體及該第2處理氣體供給至該處理室,而將膜形成於該基板之製程;將該處理室排氣之製程;在該處理室中,一面從形狀與該第1噴嘴相異之第3噴嘴供給該第1處理氣體,一面從該第2噴嘴供給該第2處理氣體,藉此以與該第1成膜速度不同的第2成膜速度的脈衝,將該第1處理氣體及該第2處理氣體供給至該處理室,而將膜形成於該基板之製程;及將該處理室排氣之製程。
  9. 一種半導體裝置,係使用如申請專利範圍第8項之半導體裝置之製造方法所製造。
TW100103230A 2010-01-29 2011-01-28 基板處理裝置及半導體裝置之製造方法 TWI515328B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010018908 2010-01-29
JP2010278995A JP5610438B2 (ja) 2010-01-29 2010-12-15 基板処理装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW201142076A TW201142076A (en) 2011-12-01
TWI515328B true TWI515328B (zh) 2016-01-01

Family

ID=44340891

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100103230A TWI515328B (zh) 2010-01-29 2011-01-28 基板處理裝置及半導體裝置之製造方法

Country Status (4)

Country Link
US (1) US8808455B2 (zh)
JP (1) JP5610438B2 (zh)
KR (1) KR101304368B1 (zh)
TW (1) TWI515328B (zh)

Families Citing this family (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP2012069723A (ja) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
JP5243519B2 (ja) * 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
KR101344921B1 (ko) * 2012-03-28 2013-12-27 세메스 주식회사 기판처리장치 및 방법
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
JP6257000B2 (ja) * 2014-09-30 2018-01-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および反応管
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6317698B2 (ja) 2015-03-25 2018-04-25 東芝メモリ株式会社 半導体製造装置および半導体製造方法
CN106356289B (zh) * 2015-07-17 2020-03-03 株式会社国际电气 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6568508B2 (ja) * 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6689179B2 (ja) * 2016-11-30 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6780557B2 (ja) * 2017-03-21 2020-11-04 東京エレクトロン株式会社 ガス供給部材及びガス処理装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP6653308B2 (ja) * 2017-11-15 2020-02-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
JP6749954B2 (ja) * 2018-02-20 2020-09-02 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020008682A1 (ja) * 2018-07-05 2020-01-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6920262B2 (ja) * 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP7199286B2 (ja) * 2019-03-29 2023-01-05 東京エレクトロン株式会社 基板処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6894482B2 (ja) * 2019-09-12 2021-06-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220122856A1 (en) * 2020-10-15 2022-04-21 Changxin Memory Technologies, Inc. Diffusion furnace
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6435865B1 (en) * 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
JP2003218106A (ja) * 2002-01-23 2003-07-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP4040505B2 (ja) * 2003-03-20 2008-01-30 株式会社日立製作所 半導体製造装置
CN1788106B (zh) * 2003-05-13 2011-06-08 东京毅力科创株式会社 使用原料气体和反应性气体的处理装置
KR100870807B1 (ko) * 2003-08-07 2008-11-27 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP4164092B2 (ja) * 2003-08-26 2008-10-08 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
US20060128127A1 (en) * 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
KR100596495B1 (ko) 2004-12-13 2006-07-04 삼성전자주식회사 금속 화합물의 증착 방법 및 이를 수행하기 위한 장치
KR100597322B1 (ko) * 2005-03-16 2006-07-06 주식회사 아이피에스 박막증착방법
WO2007018016A1 (ja) * 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
WO2007020874A1 (ja) 2005-08-16 2007-02-22 Hitachi Kokusai Electric Inc. 薄膜形成方法および半導体デバイスの製造方法
JP4983063B2 (ja) * 2006-03-28 2012-07-25 東京エレクトロン株式会社 プラズマ処理装置
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
JP4899744B2 (ja) * 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
US20080145533A1 (en) * 2006-11-29 2008-06-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
JP5344663B2 (ja) 2007-06-11 2013-11-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および基板処理方法
US8716147B2 (en) * 2007-11-19 2014-05-06 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5087657B2 (ja) * 2009-08-04 2012-12-05 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5462885B2 (ja) * 2009-12-18 2014-04-02 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP2011168881A (ja) * 2010-01-25 2011-09-01 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2013522472A (ja) * 2010-03-19 2013-06-13 ジーティーエイティー・コーポレーション 多結晶シリコン堆積のためのシステム及び方法
JP5735304B2 (ja) * 2010-12-21 2015-06-17 株式会社日立国際電気 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
JP6078279B2 (ja) * 2012-09-20 2017-02-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Also Published As

Publication number Publication date
KR20110089072A (ko) 2011-08-04
KR101304368B1 (ko) 2013-09-11
JP5610438B2 (ja) 2014-10-22
US8808455B2 (en) 2014-08-19
US20110186984A1 (en) 2011-08-04
JP2011174170A (ja) 2011-09-08
TW201142076A (en) 2011-12-01

Similar Documents

Publication Publication Date Title
TWI515328B (zh) 基板處理裝置及半導體裝置之製造方法
TWI437635B (zh) 半導體裝置的製造方法、及基板處理裝置
TWI415190B (zh) 半導體裝置之製造方法及基板處理裝置
US8691708B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
TWI446404B (zh) 半導體裝置的製造方法、清潔方法及基板處理裝置
US9653301B2 (en) Semiconductor device having electrode made of high work function material, method and apparatus for manufacturing the same
TWI425572B (zh) 半導體裝置之製造方法及基板處理裝置
TW201135841A (en) Method of manufacturing semiconductor device, substrate processing apparatus and semiconductor device
JP2011252221A (ja) 半導体装置の製造方法及び基板処理装置
KR100935289B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP5385439B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2007194331A (ja) 基板処理装置
JP2011151294A (ja) 半導体装置の製造方法
KR20070038262A (ko) 원자층 적층 장치
JP2012054311A (ja) 半導体装置の製造方法及び基板処理装置
JP2008294190A (ja) 基板処理装置